; -------------------------------------------------------------------------------- ; @Title: AM572x On-Chip Peripherals ; @Props: Released ; @Author: ASK, JON ; @Changelog: 2016-03-29 ASK ; 2016-10-13 ASK ; 2022-05-17 JON ; @Manufacturer: TI - Texas Instruments ; @Doc: XML generated (TIXML2PER 2.1.2), based on: AM5726.xml (Rev. 2.0), ; AM5728.xml (Rev. 2.0) ; @Core: Cortex-A15, Cortex-M4, ARM9, C646X, PRU ; @Copyright: (C) 1989-2022 Lauterbach GmbH, licensed for use with TRACE32(R) only ; -------------------------------------------------------------------------------- ; $Id: peram572x.per 17736 2024-04-08 09:26:07Z kwisniewski $ sif (!cpuis("AM572X-ICSS?")&&cpu()!="PRU") sif cpuis("AM572X") tree "Core Registers (Cortex-A15MPCore)" ; -------------------------------------------------------------------------------- ; Identification registers ; -------------------------------------------------------------------------------- width 10. tree "ID Registers" group.long c15:0x0++0x0 line.long 0x0 "MIDR,Main ID Register" hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer code" bitfld.long 0x0 20.--23. " VAR ,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0 16.--19. " ARCH , Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7" textline " " hexmask.long.word 0x0 4.--15. 1. " PART ,Primary Part Number" bitfld.long 0x0 0.--3. " REV ,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x100++0x0 line.long 0x0 "CTR,Cache Type Register" bitfld.long 0x0 29.--31. " FORMAT ,Format" "Reserved,Reserved,Reserved,Reserved,ARMv7,?..." bitfld.long 0x0 24.--27. " CWG ,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x0 20.--23. " ERG ,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." textline " " bitfld.long 0x0 16.--19. " DMINLINE ,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x0 14.--15. " L1POLICY ,L1 Instruction cache policy" "Reserved,Reserved,Reserved,Physical" bitfld.long 0x0 0.--3. " IMINLINE ,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,8 words,16 words,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x100++0x0 line.long 0x0 "CTR,Cache Type Register" bitfld.long 0x0 29.--31. " FORMAT ,Format" "Reserved,Reserved,Reserved,Reserved,ARMv7,?..." bitfld.long 0x0 24.--27. " CWG ,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x0 20.--23. " ERG ,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." textline " " bitfld.long 0x0 16.--19. " DMINLINE ,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..." bitfld.long 0x0 14.--15. " L1POLICY ,L1 Instruction cache policy" "Reserved,Reserved,VIPT,?..." bitfld.long 0x0 0.--3. " IMINLINE ,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,8 words,?..." endif rgroup.long c15:0x300++0x0 line.long 0x0 "TLBTR,TLB Type Register" bitfld.long 0x0 0. " NU ,Unified or Separate TLBs" "Unified,?..." rgroup.long c15:0x500++0x0 line.long 0x0 "MPIDR,Multiprocessor Affinity Register" bitfld.long 0x00 31. " MPERF ,Multiprocessing Extensions register format" "Not supported,Supported" bitfld.long 0x00 30. " U ,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,Uniprocessor" bitfld.long 0x00 24. " MT ,Lowest level of affinity consist of logical processors" "Not implemented,Implemented" textline " " bitfld.long 0x00 8.--11. " CLUSTERID ,Value read in CLUSTERID configuration pins" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--1. " CPUID ,Value depends on the number of configured CPUs" "1,2,3,4" rgroup.long c15:0x400++0x0 line.long 0x0 "MIDR2,Main ID Register" hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer code" bitfld.long 0x0 20.--23. " VAR ,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0 16.--19. " ARCH , Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7" textline " " hexmask.long.word 0x0 4.--15. 1. " PART ,Primary Part Number" bitfld.long 0x0 0.--3. " REV ,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long c15:0x600++0x0 line.long 0x0 "REVIDR,Revision ID Register" rgroup.long c15:0x700++0x0 line.long 0x0 "MIDR3,Main ID Register" hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer code" bitfld.long 0x0 20.--23. " VAR ,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0 16.--19. " ARCH , Architecture" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7" textline " " hexmask.long.word 0x0 4.--15. 1. " PART ,Primary Part Number" bitfld.long 0x0 0.--3. " REV ,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x0410++0x00 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 28.--31. " IS ,Indicates innermost shareability domain implemented" "Reserved,Implemented,?..." bitfld.long 0x00 24.--27. " FCSE ,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.long 0x00 20.--23. " AR ,Auxiliary Register Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " TCM ,TCM and Associated DMA Support" "Not supported,?..." bitfld.long 0x00 12.--15. " SL ,Shareability levels" "Reserved,Implemented 2 levels,?..." bitfld.long 0x00 8.--11. " OSS ,Outer Shareable Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " PMSA ,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.long 0x00 0.--3. " VMSA ,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Supported,PXN,64-bit,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x0410++0x00 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 28.--31. " IS ,Indicates innermost shareability domain implemented" "Reserved,Implemented,?..." bitfld.long 0x00 24.--27. " FCSE ,Fast Context Switch Memory Mappings Support" "Not supported,?..." bitfld.long 0x00 20.--23. " AR ,Auxiliary Register Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " TCM ,TCM and Associated DMA Support" "Not supported,?..." bitfld.long 0x00 12.--15. " SL ,Shareability levels" "Reserved,Implemented 2 levels,?..." bitfld.long 0x00 8.--11. " OSS ,Outer Shareable Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 4.--7. " PMSA ,Physical Memory System Architecture (PMSA) Support" "Not supported,?..." bitfld.long 0x00 0.--3. " VMSA ,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Supported,?..." endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x0510++0x00 line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" bitfld.long 0x00 28.--31. " BTB ,Branch Predictor" "Reserved,Reserved,Required,?..." bitfld.long 0x00 24.--27. " L1TCO ,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 20.--23. " L1UCMO ,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." textline " " bitfld.long 0x00 16.--19. " L1HCMO ,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 12.--15. " L1UCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. " L1HCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " L1UCLMOMVA ,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 0.--3. " L1HCLMOMVA ,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x0510++0x00 line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" bitfld.long 0x00 28.--31. " BTB ,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,Required,?..." bitfld.long 0x00 24.--27. " L1TCO ,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 20.--23. " L1UCMO ,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..." textline " " bitfld.long 0x00 16.--19. " L1HCMO ,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 12.--15. " L1UCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. " L1HCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " L1UCLMOMVA ,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..." bitfld.long 0x00 0.--3. " L1HCLMOMVA ,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..." endif rgroup.long c15:0x0610++0x00 line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2" bitfld.long 0x00 28.--31. " HAF ,Hardware Access Flag Support" "Not supported,?..." bitfld.long 0x00 24.--27. " WFI ,Wait for Interrupt Stalling Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. " MBF ,Memory Barrier Operations Support" "Reserved,Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " UTLBMO ,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 12.--15. " HTLBMO ,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 8.--11. " HL1CMRO ,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " HL1BPCRO ,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." bitfld.long 0x00 0.--3. " HL1FPCRO ,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..." rgroup.long c15:0x0710++0x00 line.long 0x00 "ID_MMFR3,Memory Model Feature Register 3" bitfld.long 0x00 28.--31. " SS ,Supersection support" "Supported,?..." bitfld.long 0x00 24.--27. " PMS ,Physical memory size supported by processor caches" "Reserved,Reserved,40-bit,?..." bitfld.long 0x00 20.--23. " CW ,Coherent walk" "Reserved,Supported,?..." textline " " bitfld.long 0x00 12.--15. " MB ,Maintenance broadcast Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. " BPM ,Invalidate Branch predictor Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 4.--7. " HCMOSW ,Invalidate Cache by Set and Way/Clean by Set and Way/Invalidate and Clean by Set and Way Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 0.--3. " HCMOMVA ,Invalidate Cache MVA Support" "Reserved,Supported,?..." if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x0020++0x00 line.long 0x00 "ID_ISAR0,Instruction Set Attribute Register 0" bitfld.long 0x00 24.--27. " DIVI ,Divide Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 20.--23. " DEBI ,Debug Instructions Support" "Reserved,BKPT,?..." bitfld.long 0x00 16.--19. " CI ,Coprocessor Instructions Support" "Not supported,?..." textline " " bitfld.long 0x00 12.--15. " CBI ,Combined Compare and Branch Instructions Support" "Reserved,CBNZ/CBZ,?..." bitfld.long 0x00 8.--11. " BI ,Bitfield Instructions Support" "Reserved,BFC/BFI/SBFX/UBFX,?..." bitfld.long 0x00 4.--7. " BCI ,Bit Counting Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 0.--3. " SI ,Swap Instructions Support" "Not supported,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c15:0x0020++0x00 line.long 0x00 "ID_ISAR0,Instruction Set Attribute Register 0" bitfld.long 0x00 24.--27. " DIVI ,Divide Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 20.--23. " DEBI ,Debug Instructions Support" "Reserved,BKPT,?..." bitfld.long 0x00 16.--19. " CI ,Coprocessor Instructions Support" "Not supported,?..." textline " " bitfld.long 0x00 12.--15. " CBI ,Combined Compare and Branch Instructions Support" "Reserved,CBNZ/CBZ,?..." bitfld.long 0x00 8.--11. " BI ,Bitfield Instructions Support" "Reserved,BFC/BFI/SBFX/UBFX,?..." bitfld.long 0x00 4.--7. " BCI ,Bit Counting Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 0.--3. " SI ,Swap Instructions Support" "Supported,?..." endif rgroup.long c15:0x0120++0x00 line.long 0x00 "ID_ISAR1,Instruction Set Attribute Register 1" bitfld.long 0x00 28.--31. " JI ,Jazelle Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 24.--27. " INTI ,Interwork Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 20.--23. " IMMI ,Immediate Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " ITEI ,If Then Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. " EXTI ,Extend Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. " EARI ,Exception A and R Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 4.--7. " EXIN ,Exception in ARM Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. " ENDI ,Endian Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0220++0x00 line.long 0x00 "ID_ISAR2,Instruction Set Attribute Register 2" bitfld.long 0x00 28.--31. " RI ,Reversal Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 24.--27. " PSRI ,PSR Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. " UMI ,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " SMI ,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 12.--15. " MI ,Multiply Instructions Support" "Reserved,Reserved,MUL/MLA/MLS,?..." bitfld.long 0x00 8.--11. " II ,Multi-Access Interruptible Instructions Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " MHI ,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,PLD/PLI/PLWD,?..." bitfld.long 0x00 0.--3. " LSI ,Load and Store Instructions Support" "Reserved,LDRD/STRD,?..." rgroup.long c15:0x0320++0x00 line.long 0x00 "ID_ISAR3,Instruction Set Attribute Register 3" bitfld.long 0x00 28.--31. " TEEEI ,Thumb-EE Extensions Support" "Reserved,Supported,?..." bitfld.long 0x00 24.--27. " NOPI ,True NOP Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 20.--23. " TCI ,Thumb Copy Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 16.--19. " TBI ,Table Branch Instructions Support" "Reserved,TBB/TBH,?..." bitfld.long 0x00 12.--15. " SPI ,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..." bitfld.long 0x00 8.--11. " SVCI ,SVC Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 4.--7. " SIMDI ,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. " SI ,Saturate Instructions Support" "Reserved,Supported,?..." rgroup.long c15:0x0420++0x00 line.long 0x00 "ID_ISAR4,Instruction Set Attribute Register 4" bitfld.long 0x00 28.--31. " SWP_FRAC ,Memory System Locking Support" "Reserved,Supported,?..." bitfld.long 0x00 24.--27. " PSR_M_I ,PSR_M Instructions Support" "Not supported,?..." bitfld.long 0x00 20.--23. " SPRI ,Synchronization Primitive instructions" "Supported,?..." textline " " bitfld.long 0x00 16.--19. " BI ,Barrier Instructions Support" "Reserved,DMB/DSB/ISB,?..." bitfld.long 0x00 12.--15. " SMCI ,SMC Instructions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. " WBI ,Write-Back Instructions Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 4.--7. " WSI ,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..." bitfld.long 0x00 0.--3. " UI ,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..." rgroup.long c15:0x0010++0x00 line.long 0x00 "ID_PFR0,Processor Feature Register 0" bitfld.long 0x00 12.--15. " STATE3 ,Thumb Execution Environment (Thumb-EE) Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. " STATE2 ,Support for Jazelle extension" "Not supported,?..." bitfld.long 0x00 4.--7. " STATE1 ,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,Supported,?..." textline " " bitfld.long 0x00 0.--3. " STATE0 ,ARM Instruction Set Support" "Reserved,Supported,?..." rgroup.long c15:0x0110++0x00 line.long 0x00 "ID_PFR1,Processor Feature Register 1" bitfld.long 0x00 16.--19. " GT ,Generic Timer Support" "Reserved,Supported,?..." bitfld.long 0x00 12.--15. " VE ,Virtualization Extensions Support" "Reserved,Supported,?..." bitfld.long 0x00 8.--11. " MPM ,Microcontroller Programmer's Model Support" "Not supported,?..." textline " " bitfld.long 0x00 4.--7. " SE ,Security Extensions Architecture v1 Support" "Reserved,Supported,?..." bitfld.long 0x00 0.--3. " PM ,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..." rgroup.long c15:0x0210++0x00 line.long 0x00 "ID_DFR0,Debug Feature Register 0" bitfld.long 0x00 24.--27. " PMM ,Performance Monitor Model Support" "Reserved,Reserved,ID_DFR0,?..." bitfld.long 0x00 20.--23. " MDM_MM ,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..." bitfld.long 0x00 16.--19. " TM_MM ,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..." textline " " bitfld.long 0x00 12.--15. " CTM_CB ,Coprocessor-Based Trace Debug Model Support" "Not supported,?..." bitfld.long 0x00 8.--11. " CDM_MM ,Memory-Mapped Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7.1,?..." bitfld.long 0x00 4.--7. " SDM_CB ,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7.1/CP14,?..." textline " " bitfld.long 0x00 0.--3. " CDM_CB ,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,ARMv7.1/CP14,?..." if (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c15:0x6C9++0x0 line.long 0x00 "PMCEID0,Common Event Identification Register 0" bitfld.long 0x00 29. " PMCEID0[29] ,Bus cycle" "Not implemented,Implemented" bitfld.long 0x00 28. " [28] ,Instruction architecturally executed. Condition code check pass, write to TTBR" "Not implemented,Implemented" bitfld.long 0x00 27. " [27] ,Instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 26. " [26] ,Local memory error" "Not implemented,Implemented" textline " " bitfld.long 0x00 25. " [25] ,Bus access" "Not implemented,Implemented" bitfld.long 0x00 24. " [24] ,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 23. " [23] ,Level 2 data cache refill" "Not implemented,Implemented" bitfld.long 0x00 22. " [22] ,Level 2 data cache access" "Not implemented,Implemented" textline " " bitfld.long 0x00 21. " [21] ,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 20. " [20] ,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 19. " [19] ,Data memory access" "Not implemented,Implemented" bitfld.long 0x00 15. " [15] ,Instruction architecturally executed, condition code check pass, unaligned load or store" "Not implemented,Implemented" textline " " bitfld.long 0x00 14. " [14] ,Instruction architecturally executed, condition code check pass, procedure return" "Not implemented,Implemented" bitfld.long 0x00 13. " [13] ,Instruction architecturally executed, immediate branch" "Not implemented,Implemented" bitfld.long 0x00 12. " [12] ,Instruction architecturally executed, condition code check pass, software change of the PC" "Not implemented,Implemented" bitfld.long 0x00 11. " [11] ,Instruction architecturally executed, condition code check pass, write to CONTEXTIDR" "Not implemented,Implemented" textline " " bitfld.long 0x00 10. " [10] ,Instruction architecturally executed, condition code check pass, exception return" "Not implemented,Implemented" bitfld.long 0x00 9. " [9] ,Exception taken" "Not implemented,Implemented" bitfld.long 0x00 8. " [8] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 7. " [7] ,Instruction architecturally executed, condition code check pass, store" "Not implemented,Implemented" textline " " bitfld.long 0x00 6. " [6] ,Instruction architecturally executed, condition code check pass, load" "Not implemented,Implemented" bitfld.long 0x00 5. " [5] ,Level 1 data TLB refill" "Not implemented,Implemented" bitfld.long 0x00 2. " [2] ,Level 1 instruction TLB refill" "Not implemented,Implemented" bitfld.long 0x00 1. " [1] ,Level 1 instruction cache refill" "Not implemented,Implemented" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x6C9++0x0 line.long 0x00 "PMCEID0,Common Event Identification Register 0" bitfld.long 0x00 31. " PMCEID0[31] ,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 30. " [30] ,Level 1 data memory access" "Not implemented,Implemented" bitfld.long 0x00 29. " [29] ,Level 1 data memory access" "Not implemented,Implemented" bitfld.long 0x00 28. " [28] ,Level 1 data memory access" "Not implemented,Implemented" textline " " bitfld.long 0x00 27. " [27] ,Branches or other change in program flow that could have been predicted by the branch prediction resources of the processor" "Not implemented,Implemented" bitfld.long 0x00 26. " [26] ,Branch mispredicted or not predicted" "Not implemented,Implemented" bitfld.long 0x00 25. " [25] ,Unaligned access" "Not implemented,Implemented" bitfld.long 0x00 24. " [24] ,Unaligned access" "Not implemented,Implemented" textline " " bitfld.long 0x00 23. " [23] ,Branch speculatively executed - Procedure return" "Not implemented,Implemented" bitfld.long 0x00 22. " [22] ,Branch speculatively executed - Immediate branch" "Not implemented,Implemented" bitfld.long 0x00 21. " [21] ,Instruction speculatively executed - Software change of the PC" "Not implemented,Implemented" bitfld.long 0x00 20. " [20] ,Write to translation table register (TTBR0 or TTBR1)" "Not implemented,Implemented" textline " " bitfld.long 0x00 19. " [19] ,Change to ContextID retired" "Not implemented,Implemented" bitfld.long 0x00 18. " [18] ,Exception return architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 17. " [17] ,Exception taken. Counts the number of exceptions architecturally taken" "Not implemented,Implemented" bitfld.long 0x00 16. " [16] ,Instruction architecturally executed" "Not implemented,Implemented" textline " " bitfld.long 0x00 15. " [15] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 14. " [14] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 13. " [13] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 12. " [12] ,Instruction architecturally executed" "Not implemented,Implemented" textline " " bitfld.long 0x00 11. " [11] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 10. " [10] ,Instruction architecturally executed" "Not implemented,Implemented" bitfld.long 0x00 9. " [9] ,Store instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 8. " [8] ,Store instruction speculatively executed" "Not implemented,Implemented" textline " " bitfld.long 0x00 7. " [7] ,Load instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 6. " [6] ,Load instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 5. " [5] ,Data read or write operation that causes a TLB refill at (at least) the lowest level of TLB" "Not implemented,Implemented" bitfld.long 0x00 4. " [4] ,Data read or write operation that causes a cache access at (at least) the lowest level of data or unified cache" "Not implemented,Implemented" textline " " bitfld.long 0x00 3. " [3] ,Data read or write operation that causes a cache access at (at least) the lowest level of data or unified cache" "Not implemented,Implemented" bitfld.long 0x00 2. " [2] ,Data read or write operation that causes a refill at (at least) the lowest level of data or unified cache" "Not implemented,Implemented" bitfld.long 0x00 1. " [1] ,Instruction fetch that causes a TLB refill at (at least) the lowest level of TLB" "Not implemented,Implemented" bitfld.long 0x00 0. " [0] ,Instruction fetch that causes a refill at (at least) the lowest level of instruction or unified cache" "Not implemented,Implemented" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x6C9++0x0 line.long 0x00 "PMCEID0,Common Event Identification Register 0" bitfld.long 0x00 29. " PMCEID0[29] ,Bus cycle" "Not implemented,Implemented" bitfld.long 0x00 28. " [28] ,Instruction architecturally executed. Condition code check pass, write to TTBR" "Not implemented,Implemented" bitfld.long 0x00 27. " [27] ,Instruction speculatively executed" "Not implemented,Implemented" bitfld.long 0x00 26. " [26] ,Local memory error" "Not implemented,Implemented" textline " " bitfld.long 0x00 25. " [25] ,Bus access" "Not implemented,Implemented" bitfld.long 0x00 24. " [24] ,Level 2 data cache write-back" "Not implemented,Implemented" bitfld.long 0x00 23. " [23] ,Level 2 data cache refill" "Not implemented,Implemented" bitfld.long 0x00 22. " [22] ,Level 2 data cache access" "Not implemented,Implemented" textline " " bitfld.long 0x00 21. " [21] ,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 20. " [20] ,Level 1 instruction cache access" "Not implemented,Implemented" bitfld.long 0x00 19. " [19] ,Data memory access" "Not implemented,Implemented" bitfld.long 0x00 14. " [14] ,Instruction architecturally executed, condition code check pass, procedure return" "Not implemented,Implemented" textline " " bitfld.long 0x00 11. " [11] ,Instruction architecturally executed, condition code check pass, write to CONTEXTIDR" "Not implemented,Implemented" bitfld.long 0x00 10. " [10] ,Instruction architecturally executed, condition code check pass, exception return" "Not implemented,Implemented" bitfld.long 0x00 9. " [9] ,Exception taken" "Not implemented,Implemented" bitfld.long 0x00 5. " [5] ,Level 1 data TLB refill" "Not implemented,Implemented" textline " " bitfld.long 0x00 2. " [2] ,Level 1 instruction TLB refill" "Not implemented,Implemented" bitfld.long 0x00 1. " [1] ,Level 1 instruction cache refill" "Not implemented,Implemented" endif tree.end width 12. tree "System Control and Configuration" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x1++0x0 line.long 0x0 "SCTLR,Control Register" bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb" bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disabled,Enabled" bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disabled,Enabled" bitfld.long 0x0 25. " EE ,Exception endianness" "Little,Big" textline " " bitfld.long 0x0 20. " UWXN ,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x0 19. " WXN ,Write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disabled,Enabled" textline " " bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disabled,Enabled" bitfld.long 0x0 10. " SW ,SWP/SWPB Enable" "Disabled,Enabled" bitfld.long 0x0 2. " C ,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x0 1. " A ,Strict Alignment" "Disabled,Enabled" textline " " bitfld.long 0x0 0. " M ,MMU or Protection Unit" "Disabled,Enabled" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x1++0x0 line.long 0x0 "SCTLR,System Control Register" bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb" bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disabled,Enabled" bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disabled,Enabled" textline " " bitfld.long 0x0 25. " EE ,Exception endianness" "Little,Big" bitfld.long 0x0 20. " UWXN ,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x0 19. " WXN ,Write permission implies PL1 Execute Never" "Not forced,Forced" textline " " bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disabled,Enabled" textline " " bitfld.long 0x0 2. " C ,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x0 1. " A ,Alignment Fault Check enable" "Disabled,Enabled" bitfld.long 0x0 0. " M ,Address translation enable bit" "Disabled,Enabled" textline " " endif if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x0101++0x0 line.long 0x0 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 6. " SMP ,Enables coherent requests to the processor" "Disabled,Enabled" bitfld.long 0x00 3. " ASSE ,ACE STREX Signalling Enable" "Disabled,Enabled" bitfld.long 0x00 2. " L2PF ,Enable L2 prefetch" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " L1PF ,Enable L1 prefetch" "Disabled,Enabled" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x101++0x0 line.long 0x0 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 31. " SDEH ,Snoop-delayed exclusive handling" "Normal,Modified" bitfld.long 0x00 30. " FMCEA ,Force main clock processor enable active" "Not prevented,Prevented" bitfld.long 0x00 29. " FNVCEA ,Force NEON/VFP clock enable active" "Not prevented,Prevented" textline " " bitfld.long 0x00 27.--28. " WSNAT ,Write streaming no-allocate threshold" "12th,128th,512th,Disabled" bitfld.long 0x00 25.--26. " WSNL1AT ,Write streaming no L1-allocate threshold" "14th,64th,128th,Disabled" bitfld.long 0x00 24. " NCSE ,Non-cacheable streaming enhancement" "Disabled,Enabled" textline " " bitfld.long 0x00 23. " FIORRTTSSAW ,Forces in-order requests to the same set and way" "Not forced,Forced" bitfld.long 0x00 22. " FIOLI ,Force in-order load issue" "Not forced,Forced" bitfld.long 0x00 21. " DL2TLBP ,Disabled L2 TLB prefetching" "No,Yes" textline " " bitfld.long 0x00 20. " DL2TBWIPAPAC ,Disable L2 TBW IPA PA cache" "No,Yes" bitfld.long 0x00 19. " DL2TBWS1WC ,Disable L2 TBW Stage 1 walk cache" "No,Yes" bitfld.long 0x00 18. " DL2TBWS1L2PAC ,Disable L2 TBW stage 1 L2 PA cache" "No,Yes" textline " " bitfld.long 0x00 17. " DL2TLBPO ,Disable L2 TLB Performance Optimization" "No,Yes" bitfld.long 0x00 16. " EFSOADLR ,Enables full Strongly-ordered and Device load replay" "Disabled,Enabled" bitfld.long 0x00 15. " FIIBEU ,Force in-order issue in branch execution unit" "Not forced,Forced" textline " " bitfld.long 0x00 14. " FLOIGCDPC ,Force limit of one instruction group commit/de-allocate per cycle" "Not forced,Limited" bitfld.long 0x00 13. " FACP14WCP15 ,Flush after CP14 and CP15 writes" "Normal,Flushed" bitfld.long 0x00 12. " FPCP14CP15 ,Force push of CP14 and CP15 registers" "Not forced,Pushed" textline " " bitfld.long 0x00 11. " FOISEG ,Force one instruction to start and end a group" "Not forced,Forced" bitfld.long 0x00 10. " FSAEIG ,Force serialization after each instruction group" "Not forced,Forced" bitfld.long 0x00 9. " DFRO ,Disable flag renaming optimization" "No,Yes" textline " " bitfld.long 0x00 8. " EWFIIANOPI ,Executes WFI instructions as NOP instructions" "Disabled,Enabled" bitfld.long 0x00 7. " EWFEIANOPI ,Executes WFE instructions as NOP instructions" "Disabled,Enabled" bitfld.long 0x00 6. " SMP ,Broadcast of cache and TLB maintenance operations enable" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EPLDIANOP ,Execute PLD and PLDW instructions as a NOP instruction" "Disabled,Enabled" bitfld.long 0x00 4. " DIP ,Disable indirect predictor" "No,Yes" bitfld.long 0x00 3. " DMBTB ,Disable micro-BTB" "No,Yes" textline " " bitfld.long 0x00 2. " LOLBDPF ,Limits to one loop buffer detect per flush" "Normal,Limited" bitfld.long 0x00 1. " DLB ,Disable loop buffer" "No,Yes" bitfld.long 0x00 0. " EIBTB ,Enable invalidate of BTB" "Disabled,Enabled" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x101++0x0 line.long 0x0 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 28. " DBDI ,Disable branch dual issue" "No,Yes" bitfld.long 0x00 15. " DDVM ,Disable Distributed Virtual Memory (DVM) transactions" "No,Yes" bitfld.long 0x00 13.--14. " L1PCTL ,L1 Data prefetch control" "Disabled,1 pre-fetch,2 pre-fetches,3 pre-fetches" textline " " bitfld.long 0x00 12. " L1RADIS ,L1 Data Cache read-allocate mode disable" "No,Yes" bitfld.long 0x00 11. " L2RADIS ,L2 Data Cache read-allocate mode disable" "No,Yes" bitfld.long 0x00 10. " DODMBS ,Disable optimised data memory barrier behaviour" "No,Yes" textline " " bitfld.long 0x00 6. " SMP ,Enables coherent requests to the processor" "Disabled,Enabled" endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x140F++0x00 line.long 0x0 "ACTLR2,Auxiliary Control Register 2" bitfld.long 0x00 31. " ECRCG ,Enable CPU regional clock gates" "Disabled,Enabled" bitfld.long 0x00 0. " EDCCADCCI ,Execute data cache clean as data cache clean/invalidate" "Disabled,Enabled" textline " " else hgroup.long c15:0x140F++0x00 hide.long 0x0 "ACTLR2,Auxiliary Control Register 2" endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x201++0x00 line.long 0x0 "CPACR,Coprocessor Access Control Register" bitfld.long 0x0 31. " ASEDIS ,Disable Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x0 22.--23. " CP11 ,Coprocessor access control" "Denied,Privileged,Reserved,Full" bitfld.long 0x0 20.--21. " CP10 ,Coprocessor access control" "Denied,Privileged,Reserved,Full" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c15:0x201++0x00 line.long 0x0 "CPACR,Coprocessor Access Control Register" bitfld.long 0x0 31. " ASEDIS ,Disable Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x0 30. " D32DIS ,Disable use of registers D16-D31 of the VFP register file" "No,Yes" bitfld.long 0x0 22.--23. " CP11 ,Coprocessor access control" "Denied,Privileged,Reserved,Full" textline " " bitfld.long 0x0 20.--21. " CP10 ,Coprocessor access control" "Denied,Privileged,Reserved,Full" endif group.long c15:0x11++0x0 line.long 0x0 "SCR,Secure Configuration Register" bitfld.long 0x00 9. " SIF ,Secure Instruction Fetch" "Permitted,Not permitted" bitfld.long 0x00 8. " HCE ,Hyp Call enable" "Undefined,Enabled" bitfld.long 0x00 7. " SCD ,Secure Monitor Call disable" "No,Yes" textline " " bitfld.long 0x00 5. " AW ,Controls whether the Non-secure world can modify the A-bit in the CPSR" "Not allowed,Allowed" bitfld.long 0x00 4. " FW ,Controls whether the Non-secure world can modify the F-bit in the CPSR" "Not allowed,Allowed" bitfld.long 0x00 3. " EA ,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor" textline " " bitfld.long 0x00 2. " FIQ ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor" bitfld.long 0x00 1. " IRQ ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor" bitfld.long 0x00 0. " NS ,Secure mode " "Secure,Non-secure" group.long c15:0x0111++0x00 line.long 0x00 "SDER,Secure Debug Enable Register" bitfld.long 0x00 1. " SUNIDEN ,Non-Invasive Secure User Debug Enable bit" "Denied,Permitted" bitfld.long 0x00 0. " SUIDEN ,Invasive Secure User Debug Enable bit" "Denied,Permitted" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x0211++0x00 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 18. " NS_SMP ,Determines if the SMP bit of the Auxiliary Control Register is writeable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 17. " NS_L2ERR ,L2 internal asynchronous error and AXI asynchronous error writeable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 15. " NSASEDIS ,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes" textline " " bitfld.long 0x00 11. " CP11 ,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted" bitfld.long 0x00 10. " CP10 ,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x0211++0x00 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 18. " NS_SMP ,Determines if the SMP bit of the Auxiliary Control Register is writeable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 15. " NSASEDIS ,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 14. " NSD32DIS ,Disable the Non-secure use of D16-D31 of the VFP register file" "No,Yes" textline " " bitfld.long 0x00 11. " CP11 ,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted" bitfld.long 0x00 10. " CP10 ,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x0211++0x00 line.long 0x00 "NSACR,Non-Secure Access Control Register" bitfld.long 0x00 18. " NS_SMP ,Determines if the SMP bit of the Auxiliary Control Register is writeable in Non-secure state" "Non-writeable,Writeable" bitfld.long 0x00 17. " NS_L2ERR ,Determines if the L2 Extended Control Register(L2ECTLR), is writeable in Non-secure state" "Non-writeable,Writeable" bitfld.long 0x00 16. " NS_ACTLR_PF_WRITE ,Determines if the ACTLR.L1PF and ACTLR.L2PF registers are writeable in Non-secure state" "Non-writeable,Writeable" textline " " bitfld.long 0x00 15. " NSASEDIS ,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes" bitfld.long 0x00 14. " NSD32DIS ,Disable the Non-secure use of D16-D31 of the VFP register file" "No,Yes" bitfld.long 0x00 11. " CP11 ,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted" textline " " bitfld.long 0x00 10. " CP10 ,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted" endif group.long c15:0x000C++0x00 line.long 0x00 "VBAR,Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 " VBADDR ,Vector Base Address" group.long c15:0x010C++0x00 line.long 0x00 "MVBAR,Monitor Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 " MVBADDR ,Monitor Vector Base Address" textline " " rgroup.long c15:0x001C++0x00 line.long 0x00 "ISR,Interrupt Status Register" bitfld.long 0x00 8. " A ,External abort pending flag" "Not pending,Pending" bitfld.long 0x00 7. " I ,Interrupt pending flag" "Not pending,Pending" bitfld.long 0x00 6. " F ,Fast interrupt pending flag" "Not pending,Pending" textline " " if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c15:0x400F++0x00 line.long 0x00 "CBAR,Configuration Base Address Register" hexmask.long.tbyte 0x00 15.--31. 1. " PERIPHBASE[31:15] ,Periphbase[31:15]" hexmask.long.byte 0x00 0.--7. 1. " PERIPHBASE[39:32] ,Periphbase[39:32]" else hgroup.long c15:0x400F++0x00 hide.long 0x00 "CBAR,Configuration Base Address Register" endif if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c15:0x1609))&0x3)==0x3) group.long c15:0x1609++0x00 line.long 0x00 "SCUCTLR,SCU Control Register" bitfld.long 0x00 30. " PRM3 ,Disable processor 3 retention" "No,Yes" bitfld.long 0x00 28.--29. " PPS3 ,Processor 3 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 26. " PRM2 ,Disable processor 2 retention" "No,Yes" textline " " bitfld.long 0x00 24.--25. " PPS2 ,Processor 2 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 22. " PRM1 ,Disable processor 1 retention" "No,Yes" bitfld.long 0x00 20.--21. " PPS1 ,Processor 1 power status" "Normal,Not present,Retention,Powerdown" textline " " bitfld.long 0x00 18. " PRM0 ,Disable processor 0 retention" "No,Yes" bitfld.long 0x00 16.--17. " PPS0 ,Processor 0 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 7. " CPSMP[3] ,Copy of the ACTLR.SMP for processor 3" "Disabled,Enabled" textline " " bitfld.long 0x00 6. " CPSMP[2] ,Copy of the ACTLR.SMP for processor 2" "Disabled,Enabled" bitfld.long 0x00 5. " CPSMP[1] ,Copy of the ACTLR.SMP for processor 1" "Disabled,Enabled" bitfld.long 0x00 4. " CPSMP[0] ,Copy of the ACTLR.SMP for processor 0" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--1. " NP ,Number of processors" "1,2,3,4" textline " " elif (((d.l(c15:0x1609))&0x3)==0x2) group.long c15:0x1609++0x00 line.long 0x00 "SCUCTLR,SCU Control Register" bitfld.long 0x00 26. " PRM2 ,Disable processor 2 retention" "No,Yes" bitfld.long 0x00 24.--25. " PPS2 ,Processor 2 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 22. " PRM1 ,Disable processor 1 retention" "No,Yes" textline " " bitfld.long 0x00 20.--21. " PPS1 ,Processor 1 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 18. " PRM0 ,Disable processor 0 retention" "No,Yes" bitfld.long 0x00 16.--17. " PPS0 ,Processor 0 power status" "Normal,Not present,Retention,Powerdown" textline " " bitfld.long 0x00 6. " CPSMP[2] ,Copy of the ACTLR.SMP for processor 2" "Disabled,Enabled" bitfld.long 0x00 5. " CPSMP[1] ,Copy of the ACTLR.SMP for processor 1" "Disabled,Enabled" bitfld.long 0x00 4. " CPSMP[0] ,Copy of the ACTLR.SMP for processor 0" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--1. " NP ,Number of processors" "1,2,3,4" textline " " elif (((d.l(c15:0x1609))&0x3)==0x1) group.long c15:0x1609++0x00 line.long 0x00 "SCUCTLR,SCU Control Register" bitfld.long 0x00 22. " PRM1 ,Disable processor 1 retention" "No,Yes" bitfld.long 0x00 20.--21. " PPS1 ,Processor 1 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 18. " PRM0 ,Disable processor 0 retention" "No,Yes" textline " " bitfld.long 0x00 16.--17. " PPS0 ,Processor 0 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 5. " CPSMP[1] ,Copy of the ACTLR.SMP for processor 1" "Disabled,Enabled" bitfld.long 0x00 4. " CPSMP[0] ,Copy of the ACTLR.SMP for processor 0" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--1. " NP ,Number of processors" "1,2,3,4" textline " " elif (((d.l(c15:0x1609))&0x3)==0x0) group.long c15:0x1609++0x00 line.long 0x00 "SCUCTLR,SCU Control Register" bitfld.long 0x00 18. " PRM0 ,Disable processor 0 retention" "No,Yes" bitfld.long 0x00 16.--17. " PPS0 ,Processor 0 power status" "Normal,Not present,Retention,Powerdown" bitfld.long 0x00 4. " CPSMP[0] ,Copy of the ACTLR.SMP for processor 0" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--1. " NP ,Number of processors" "1,2,3,4" textline " " endif group.long c15:0x410F++0x00 line.long 0x00 "FILASTARTR,Peripheral port start address register" hexmask.long.tbyte 0x00 12.--31. 0x10 " FLT_START_ADDR ,Start address of the peripheral port physical memory region" bitfld.long 0x00 0. " FILT_EN ,FLT_START_ADDR and FLT_END_ADDR are valid" "Invalid,Valid" group.long c15:0x420F++0x00 line.long 0x00 "FILAENDR,Peripheral port end address register" hexmask.long.tbyte 0x00 12.--31. 0x10 " FLT_END_ADDR ,End address of the peripheral port physical memory region" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") hgroup.long c15:0x1609++0x00 hide.long 0x00 "SCUCTLR,SCU Control Register" hgroup.long c15:0x410F++0x00 hide.long 0x00 "FILASTARTR,Peripheral port start address register" hgroup.long c15:0x420F++0x00 hide.long 0x00 "FILAENDR,Peripheral port end address register" endif tree.end width 12. tree "Memory Management Unit" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x0001++0x0 line.long 0x0 "SCTLR,System Control Register" bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb" bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disabled,Enabled" bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disabled,Enabled" textline " " bitfld.long 0x0 25. " EE ,Exception endianness" "Little,Big" bitfld.long 0x0 20. " UWXN ,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x0 19. " WXN ,Write permission implies PL1 Execute Never" "Not forced,Forced" textline " " bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disabled,Enabled" textline " " bitfld.long 0x0 2. " C ,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x0 1. " A ,Alignment Fault Check enable" "Disabled,Enabled" bitfld.long 0x0 0. " M ,Address translation enable bit" "Disabled,Enabled" textline " " elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x1++0x0 line.long 0x0 "SCTLR,Control Register" bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb" bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disabled,Enabled" bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disabled,Enabled" textline " " bitfld.long 0x0 25. " EE ,Exception endianness" "Little,Big" bitfld.long 0x0 20. " UWXN ,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced" bitfld.long 0x0 19. " WXN ,Write permission implies PL1 Execute Never" "Not forced,Forced" textline " " bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000" bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disabled,Enabled" textline " " bitfld.long 0x0 10. " SW ,SWP/SWPB Enable" "Disabled,Enabled" bitfld.long 0x0 2. " C ,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x0 1. " A ,Strict Alignment" "Disabled,Enabled" textline " " bitfld.long 0x0 0. " M ,MMU or Protection Unit" "Disabled,Enabled" textline " " endif if (((d.l(c15:0x0002))&0x2)==0x2)&&(((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0002++0x00 line.long 0x00 "TTBR0,Translation Table Base Register 0" hexmask.long.tbyte 0x00 14.--31. 0x40 " TTB0_ADDR ,Translation table base 0 address" bitfld.long 0x00 5. " NOS ,Not Outer Shareable bit" "Outer,Inner" bitfld.long 0x00 3.--4. " RGN ,Region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 2. " IMP ,Implementation defined" "Low,High" bitfld.long 0x00 1. " S ,Shareable bit" "Non-shareable,Shareable" bitfld.long 0x00 0. 6. " IRGN ,Inner region bits for Multiprocessing Extensions" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" elif (((d.l(c15:0x0002))&0x2)==0x0)&&(((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0002++0x00 line.long 0x00 "TTBR0,Translation Table Base Register 0" hexmask.long.tbyte 0x00 14.--31. 0x40 " TTB0_ADDR ,Translation table base 0 address" bitfld.long 0x00 3.--4. " RGN ,Region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 2. " IMP ,Implementation defined" "Low,High" textline " " bitfld.long 0x00 1. " S ,Shareable bit" "Non-shareable,Shareable" bitfld.long 0x00 0. 6. " IRGN ,Inner region bits for Multiprocessing Extensions" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" elif (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.quad c15:0x10020++0x01 line.quad 0x00 "TTBR0,Translation Table Base Register 0" hexmask.quad.byte 0x00 48.--55. 1. " ASID ,ASID for the translation table base address" hexmask.quad 0x00 0.--39. 1. " BADDR ,Translation table base address" endif if (((d.l(c15:0x0102))&0x2)==0x2)&&(((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0102++0x00 line.long 0x00 "TTBR1,Translation Table Base Register 1" hexmask.long.tbyte 0x00 14.--31. 0x40 " TTB1_ADDR ,Translation table base 1 address" bitfld.long 0x00 5. " NOS ,Not Outer Shareable bit" "Outer,Inner" bitfld.long 0x00 3.--4. " RGN ,Region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 2. " IMP ,Implementation defined" "Low,High" bitfld.long 0x00 1. " S ,Shareable bit" "Non-shareable,Shareable" bitfld.long 0x00 0. 6. " IRGN ,Inner region bits for Multiprocessing Extensions" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" elif (((d.l(c15:0x0102))&0x2)==0x0)&&(((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0102++0x00 line.long 0x00 "TTBR1,Translation Table Base Register 1" hexmask.long.tbyte 0x00 14.--31. 0x40 " TTB1_ADDR ,Translation table base 1 address" bitfld.long 0x00 3.--4. " RGN ,Region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 2. " IMP ,Implementation defined" "Low,High" textline " " bitfld.long 0x00 1. " S ,Shareable bit" "Non-shareable,Shareable" bitfld.long 0x00 0. 6. " IRGN ,Inner region bits for Multiprocessing Extensions" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" elif (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.quad c15:0x11020++0x01 line.quad 0x00 "TTBR1,Translation Table Base Register 1" hexmask.quad.byte 0x00 48.--55. 1. " ASID ,ASID for the translation table base address" hexmask.quad 0x00 0.--39. 1. " BADDR ,Translation table base address" endif if (((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. " EAE ,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 5. " PD1 ,Translation table walk Disable bit for TTBR1" "No,Yes" bitfld.long 0x00 4. " PD0 ,Translation table walk Disable bit for TTBR0" "No,Yes" textline " " bitfld.long 0x00 0.--2. " N ,Indicate the width of the base address held in TTBR0" "16KB,8KB,4KB,2KB,1KB,512 bytes,256 bytes,128 bytes" else group.long c15:0x0202++0x00 line.long 0x00 "TTBCR,Translation Table Base Control Register" bitfld.long 0x00 31. " EAE ,Extended Address Enable" "32-bit,40-bit" bitfld.long 0x00 30. " IMP ,IMPLEMENTATION DEFINED" "Low,High" bitfld.long 0x00 28.--29. " SH1 ,Shareability attributes for the memory associated with the translation table walks using TTBR1" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" textline " " bitfld.long 0x00 26.--27. " ORGN1 ,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 24.--25. " IRGN1 ,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 23. " EPD1 ,Translation table walk disable for translations using TTBR1" "No,Yes" textline " " bitfld.long 0x00 22. " A1 ,Selects whether TTBR0 or TTBR1 defines the ASID" "TTBR0,TTBR1" bitfld.long 0x00 16.--18. " T1SZ ,The Size offset of the TTBR1 addressed region" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12.--13. " SH0 ,Shareability attributes for the memory associated with the translation table walks using TTBR0" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable" textline " " bitfld.long 0x00 10.--11. " ORGN0 ,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR0" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 8.--9. " IRGN0 ,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR0" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" bitfld.long 0x00 7. " EPD0 ,Translation table walk disable for translations using TTBR0" "No,Yes" textline " " bitfld.long 0x00 0.--2. " T0SZ ,The Size offset of the TTBR0 addressed memory region" "0,1,2,3,4,5,6,7" endif textline " " group.long c15:0x0003++0x00 line.long 0x00 "DACR,Domain Access Control Register" bitfld.long 0x0 30.--31. " D15 ,Domain Access 15" "Denied,Client,Reserved,Manager" bitfld.long 0x0 28.--29. " D14 ,Domain Access 14" "Denied,Client,Reserved,Manager" bitfld.long 0x0 26.--27. " D13 ,Domain Access 13" "Denied,Client,Reserved,Manager" textline " " bitfld.long 0x0 24.--25. " D12 ,Domain Access 12" "Denied,Client,Reserved,Manager" bitfld.long 0x0 22.--23. " D11 ,Domain Access 11" "Denied,Client,Reserved,Manager" bitfld.long 0x0 20.--21. " D10 ,Domain Access 10" "Denied,Client,Reserved,Manager" textline " " bitfld.long 0x0 18.--19. " D9 ,Domain Access 9" "Denied,Client,Reserved,Manager" bitfld.long 0x0 16.--17. " D8 ,Domain Access 8" "Denied,Client,Reserved,Manager" bitfld.long 0x0 14.--15. " D7 ,Domain Access 7" "Denied,Client,Reserved,Manager" textline " " bitfld.long 0x0 12.--13. " D6 ,Domain Access 6" "Denied,Client,Reserved,Manager" bitfld.long 0x0 10.--11. " D5 ,Domain Access 5" "Denied,Client,Reserved,Manager" bitfld.long 0x0 8.--9. " D4 ,Domain Access 4" "Denied,Client,Reserved,Manager" textline " " bitfld.long 0x0 6.--7. " D3 ,Domain Access 3" "Denied,Client,Reserved,Manager" bitfld.long 0x0 4.--5. " D2 ,Domain Access 2" "Denied,Client,Reserved,Manager" bitfld.long 0x0 2.--3. " D1 ,Domain Access 1" "Denied,Client,Reserved,Manager" textline " " bitfld.long 0x0 0.--1. " D0 ,Domain Access 0" "Denied,Client,Reserved,Manager" textline " " if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") if (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 0.--5. " STATUS ,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/First level,Translation fault/Second level,Translation fault/Third level,Reserved,Access flag fault/First level,Access flag fault/Second level,Access flag fault/Third level,Reserved,Permission fault/First level,Permission fault/Second level,Permission fault/Third level,Synchronous external abort,Asynchronous external abort,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/First level,Synchronous external abort on translation table walk/Second level,Synchronous external abort on translation table walk/Third level,Synchronous parity error on memory access,Asynchronous parity error on memory access,Reserved,Reserved,Reserved,Synchronous parity error on memory access on translation table walk/First level,Synchronous parity error on memory access on translation table walk/Second level,Synchronous parity error on memory access on translation table walk/Third level,Reserved,Alignment fault,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Lockdown Abort,Reserved,Reserved,Reserved,Reserved,Reserved,Coprocessor Abort,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 4.--7. " DOMAIN ,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" bitfld.long 0x00 0.--3. 10. " FS ,Fault Status" "Reserved,Alignment fault,Reserved,Reserved,Instruction cache maintenance fault,Translation fault/First level,Access flag fault/Second level,Translation fault/Second level,Synchronous external abort,Domain fault/First level,Reserved,Domain fault/Second level,Synchronous external abort/First level,Permission fault/First level,Synchronous external abort/Second level,Permission fault/Second level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external abort,Reserved,Asynchronous parity error on memory access,Synchronous parity error on memory access,Reserved,Reserved,Synchronous parity error on translation table walk/First level,Reserved,Synchronous parity error on translation table walk/Second level,Reserved" endif elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") if (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 0.--5. " STATUS ,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/First level,Translation fault/Second level,Translation fault/Third level,Reserved,Access flag fault/First level,Access flag fault/Second level,Access flag fault/Third level,Reserved,Permission fault/First level,Permission fault/Second level,Permission fault/Third level,Synchronous external abort,Asynchronous external abort,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/First level,Synchronous external abort on translation table walk/Second level,Synchronous external abort on translation table walk/Third level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Alignment fault,Debug event,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 4.--7. " DOMAIN ,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" bitfld.long 0x00 0.--3. 10. " FS ,Generated Exception Type" "Reserved,Alignment,Debug,Access/section,Instruction,Translation/section,Access/page,Translation/page,Non-translation/synchronous external,Domain/section,Reserved,Domain/page,L1/synchronous external,Permission/section,L2/synchronous external,Permission/page,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external,?..." endif elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c15:0x0202))&0x80000000)==0x00000000) group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 4.--7. " DOMAIN ,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15" bitfld.long 0x00 0.--3. 10. " FS ,Generated Exception Type" "Reserved,Alignment,Debug,Access/section,Reserved,Translation/section,Access/page,Translation/page,Reserved,Domain/section,Reserved,Domain/page,L1/external,Permission/section,L2/external,Permission/page,TLB,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external,?..." else group.long c15:0x0005++0x00 line.long 0x00 "DFSR,Data Fault Status Register" bitfld.long 0x00 13. " CM ,Cache maintenance fault" "Not aborted,Aborted" bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR" bitfld.long 0x00 11. " WNR ,Access Caused an Abort Type" "Read,Write" textline " " bitfld.long 0x00 0.--5. " STATUS ,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/1st level,Translation fault/2nd level,Translation fault/3rd level,Reserved,Access flag fault/1st level,Access flag fault/2nd level,Access flag fault/3rd level,Reserved,Permission fault/1st level,Permission fault/2nd level,Permission fault/3rd level,Reserved,Asynchronous external abort,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/1st level,Synchronous external abort on translation table walk/2nd level,Synchronous external abort on translation table walk/3rd level,TLB,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Alignment fault,Debug event,?..." endif endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x0015++0x00 line.long 0x00 "ADFSR,Auxiliary Data Fault Status Register" bitfld.long 0x00 31. " VALID ,L1 or L2 ECC double bit error indicator" "No error,Error" hexmask.long.byte 0x00 24.--30. 1. " RAMID ,RAM identifier" bitfld.long 0x00 23. " L2E ,L2 Error" "No error,Error" textline " " bitfld.long 0x00 18.--22. " B/W ,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.tbyte 0x00 0.--17. 1. " IND ,Index" else hgroup.long c15:0x0015++0x00 hide.long 0x00 "ADFSR,Auxiliary Data Fault Status Register" endif group.long c15:0x0006++0x00 line.long 0x00 "DFAR,Data Fault Address Register" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") if (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" textline " " bitfld.long 0x00 0.--5. " STATUS ,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/First level,Translation fault/Second level,Translation fault/Third level,Reserved,Access flag fault/First level,Access flag fault/Second level,Access flag fault/Third level,Reserved,Permission fault/First level,Permission fault/Second level,Permission fault/Third level,Synchronous external abort,Reserved,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/First level,Synchronous external abort on translation table walk/Second level,Synchronous external abort on translation table walk/Third level,Synchronous parity error on memory access,Reserved,Reserved,Reserved,Reserved,Synchronous parity error on memory access on translation table walk/First level,Synchronous parity error on memory access on translation table walk/Second level,Synchronous parity error on memory access on translation table walk/Third level,?..." else group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" textline " " bitfld.long 0x00 0.--3. 10. " FS ,Generated Exception Type" "Reserved,Reserved,Debug event,Access flag fault/First level,Reserved,Translation fault/First level,Access flag fault/Second level,Translation fault/Second level,Non-translation/synchronous external abort,Domain fault/First level,Reserved,Domain fault/Second level,Synchronous external abort on translation table walk/First level,Permission fault/First level,Synchronous external abort on translation table walk/Second level,Permission fault/Second level,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Synchronous parity error on memory access,Reserved,Reserved,Synchronous parity error on translation table walk,Reserved,Synchronous parity error on translation table walk,Reserved" endif elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") if (((d.l(c15:0x0202))&0x80000000)==0x80000000) group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" textline " " bitfld.long 0x00 0.--5. " STATUS ,Generated Exception Type" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/First level,Translation fault/Second level,Translation fault/Third level,Reserved,Access fault flag/First level,Access fault flag/Second level,Access fault flag/Third level,Reserved,Permission fault/First level,Permission fault/Second level,Permission fault/Third level,Synchronous external abort,Reserved,Debug event,?..." else group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" textline " " bitfld.long 0x00 0.--3. 10. " FS ,Generated Exception Type" "Reserved,Reserved,Debug,Access/section,Reserved,Translation/section,Access/page,Translation/page,Non-translation/synchronous external,Domain/section,Reserved,Domain/page,L1/external,Permission/section,L2/external,Permission/page,?..." endif elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c15:0x202))&0x80000000)==0x80000000) group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" bitfld.long 0x00 9. " LPAE ,Large physical address extension" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--5. " STATUS ,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/1st level,Translation fault/2nd level,Translation fault/3rd level,Reserved,Access flag fault/1st level,Access flag fault/2nd level,Access flag fault/3rd level,Reserved,Permission fault/1st level,Permission fault/2nd level,Permission fault/3rd level,Reserved,Asynchronous external abort,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/1st level,Synchronous external abort on translation table walk/2nd level,Synchronous external abort on translation table walk/3rd level,TLB,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Alignment fault,Debug event,?..." else group.long c15:0x0105++0x00 line.long 0x00 "IFSR,Instruction Fault Status Register" bitfld.long 0x00 12. " EXT ,External Abort Type" "DECERR,SLVERR" bitfld.long 0x00 9. " LPAE ,Large physical address extension" "Disabled,Enabled" textline " " bitfld.long 0x00 0.--3. 10. " FS ,Generated Exception Type" "Reserved,Alignment,Debug,Access/section,Reserved,Translation/section,Access/page,Translation/page,Reserved,Domain/section,Reserved,Domain/page,L1/external,Permission/section,L2/external,Permission/page,TLB,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external,?..." endif endif group.long c15:0x0206++0x00 line.long 0x00 "IFAR,Instruction Fault Address Register" if (((d.l(c15:0x202))&0x80000000)==0x80000000&&((d.q(c15:0x0047))&0x1)==0x0) group.quad c15:0x0047++0x01 line.quad 0x00 "PAR,Physical Address Register" hexmask.quad.byte 0x00 56.--63. 1. " ATTR ,Memory attributes for the returned PA" hexmask.quad 0x00 12.--39. 0x1000 " PA ,Physical Address" bitfld.quad 0x00 11. " LPAE ,Long-descriptor translation table format use" "Not used,Used" textline " " bitfld.quad 0x00 9. " NS ,Non-secure" "Secure,Non-secure" bitfld.quad 0x00 7.--8. " SH ,Shareability attribute" "Non-shareable,Unpredictable,Outer Shareable,Inner Shareable" bitfld.quad 0x00 0. " F ,Conversion completed successfully" "Successful,Unsuccessful" textline " " elif (((d.l(c15:0x202))&0x80000000)==0x80000000&&((d.q(c15:0x0047))&0x1)==0x1) group.quad c15:0x0047++0x01 line.quad 0x00 "PAR,Physical Address Register" bitfld.quad 0x00 11. " LPAE ,Long-descriptor translation table format use" "Not used,Used" bitfld.quad 0x00 9. " FSTAGE ,Translation stage at which the translation aborted" "Stage 1,Stage 2" bitfld.quad 0x00 8. " S2WLK ,Stage 2 fault during a stage 1 translation table walk" "Not occurred,Occurred" textline " " bitfld.quad 0x00 1.--6. " FS ,Fault status field" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation fault/1st level,Translation fault/2nd level,Translation fault/3rd level,Reserved,Access flag fault/1st level,Access flag fault/2nd level,Access flag fault/3rd level,Reserved,Permission fault/1st level,Permission fault/2nd level,Permission fault/3rd level,Synchronous external abort,Asynchronous external abort,Reserved,Reserved,Reserved,Synchronous external abort on translation table walk/1st level,Synchronous external abort on translation table walk/2nd level,Synchronous external abort on translation table walk/3rd level,Synchronous parity error on memory access, Asynchronous parity error on memory access,Reserved,Reserved,Reserved,Synchronous parity error on memory access on translation table walk/1st lvl,Synchronous parity error on memory access on translation table walk/2nd lvl,Synchronous parity error on memory access on translation table walk/3rd lvl,Reserved,Alignment fault,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Domain fault/1st lvl,Domain fault/2nd lvl,Reserved" textline " " bitfld.quad 0x00 0. " F ,Conversion completed successfully" "Successful,Unsuccessful" textline " " elif (((d.l(c15:0x202))&0x80000000)==0x00&&((d.q(c15:0x0047))&0x1)==0x0) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" hexmask.long.tbyte 0x00 12.--31. 0x1000 " PA ,Physical Address" bitfld.long 0x00 11. " LPAE ,Long-descriptor translation table format use" "Not used,Used" bitfld.long 0x00 10. " NOS ,Not Outer Shareable attribute" "Outer shareable,Not outer shareable" textline " " bitfld.long 0x00 9. " NS ,Non-secure" "Secure,Non-secure" bitfld.long 0x00 7. " SH ,Shareability attribute" "Non-shareable,Shareable" bitfld.long 0x00 4.--6. " INNER ,Inner memory attributes" "Non-cacheable,Strongly-ordered,-,Device,-,Write-Back Write-Allocate,Write-Through,Write-Back no Write-Allocate" textline " " bitfld.long 0x00 2.--3. " OUTER ,Outer memory attributes" "Non-cacheable,Write-Back Write-Allocate,Write-Through no Write-Allocate,Write-Back no Write-Allocate" bitfld.long 0x00 1. " SS ,Supersection" "Not a Supersection,Supersection" bitfld.long 0x00 0. " F ,Conversion completed successfully" "Successful,Unsuccessful" textline " " elif (((d.l(c15:0x202))&0x80000000)==0x00&&((d.q(c15:0x0047))&0x1)==0x1) group.long c15:0x0047++0x00 line.long 0x00 "PAR,Physical Address Register" bitfld.long 0x00 11. " LPAE ,Long-descriptor translation table format use" "Not used,Used" textline " " bitfld.long 0x00 1.--6. " FS ,Fault status" "Reserved,Alignment fault,Debug event,Access flag fault/1st lvl,Fault on instruction cache maintenance,Translation fault/1st lvl,Access flag fault/2nd lvl,Translation fault/2nd lvl,Synchronous external abort,Domain fault/1st lvl,Reserved,Domain fault/2nd lvl,Synchronous external abort on translation table walk/1st lvl,Permission fault/1st lvl,Synchronous external abort on translation table walk/2nd lvl,Permission fault/2nd lvl,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external abort,Reserved,Asynchronous parity error on memory access,Synchronous parity error on memory access,,,Synchronous parity error on translation table walk/1st lvl,Reserved,Synchronous parity error on translation table walk/2nd lvl,Reserved,?..." textline " " bitfld.long 0x00 0. " F ,Conversion completed successfully" "Successful,Unsuccessful" textline " " endif if (((d.l(c15:0x202))&0x80000000)==0x80000000) group.long c15:0x002A++0x00 line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0" hexmask.long.byte 0x00 24.--31. 1. " ATTR3 ,Attribute 3" hexmask.long.byte 0x00 16.--23. 1. " ATTR2 ,Attribute 2" hexmask.long.byte 0x00 8.--15. 1. " ATTR1 ,Attribute 1" hexmask.long.byte 0x00 0.--7. 1. " ATTR0 ,Attribute 0" group.long c15:0x012A++0x00 line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1" hexmask.long.byte 0x00 24.--31. 1. " ATTR7 ,Attribute 7" hexmask.long.byte 0x00 16.--23. 1. " ATTR6 ,Attribute 6" hexmask.long.byte 0x00 8.--15. 1. " ATTR5 ,Attribute 5" hexmask.long.byte 0x00 0.--7. 1. " ATTR4 ,Attribute 4" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x003A++0x00 line.long 0x00 "AMAIR0,Auxiliary Memory Attribute Indirection Register 0" hexmask.long.byte 0x00 24.--31. 1. " ATTR3 ,Attribute 3" hexmask.long.byte 0x00 16.--23. 1. " ATTR2 ,Attribute 2" hexmask.long.byte 0x00 8.--15. 1. " ATTR1 ,Attribute 1" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR0 ,Attribute 0" group.long c15:0x013A++0x00 line.long 0x00 "AMAIR1,Auxiliary Memory Attribute Indirection Register 1" hexmask.long.byte 0x00 24.--31. 1. " ATTR7 ,Attribute 7" hexmask.long.byte 0x00 16.--23. 1. " ATTR6 ,Attribute 6" hexmask.long.byte 0x00 8.--15. 1. " ATTR5 ,Attribute 5" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR4 ,Attribute 4" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") hgroup.long c15:0x003A++0x00 hide.long 0x00 "AMAIR0,Auxiliary Memory Attribute Indirection Register 0" hgroup.long c15:0x013A++0x00 hide.long 0x00 "AMAIR1,Auxiliary Memory Attribute Indirection Register 1" endif else group.long c15:0x002A++0x0 line.long 0x00 "PRRR,Primary Region Remap Register" bitfld.long 0x00 31. " NOS7 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 30. " NOS6 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 29. " NOS5 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" textline " " bitfld.long 0x00 28. " NOS4 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 27. " NOS3 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 26. " NOS2 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" textline " " bitfld.long 0x00 25. " NOS1 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 24. " NOS0 ,Outer Shareable property mapping for memory attributes" "Outer,Inner" bitfld.long 0x00 19. " NS1 ,Shareable Attribute Remap when S=1 for Normal Regions" "Remapped,Not remapped" textline " " bitfld.long 0x00 18. " NS0 ,Shareable Attribute Remap when S=0 for Normal Regions" "Not remapped,Remapped" bitfld.long 0x00 17. " DS1 ,Shareable Attribute Remap when S=1 for Device regions" "Remapped,Not remapped" bitfld.long 0x00 16. " DS0 ,Shareable Attribute Remap when S=0 for Device regions" "Not remapped,Remapped" textline " " bitfld.long 0x00 14.--15. " TR7 ,{TEX[0] C B} = b111 Remap" "Strongly ordered,Device,Normal,UNP" bitfld.long 0x00 12.--13. " TR6 ,{TEX[0] C B} = b110 Remap" "Strongly ordered,Device,Normal,UNP" bitfld.long 0x00 10.--11. " TR5 ,{TEX[0] C B} = b101 Remap" "Strongly ordered,Device,Normal,UNP" textline " " bitfld.long 0x00 8.--9. " TR4 ,{TEX[0] C B} = b100 Remap" "Strongly ordered,Device,Normal,UNP" bitfld.long 0x00 6.--7. " TR3 ,{TEX[0] C B} = b011 Remap" "Strongly ordered,Device,Normal,UNP" bitfld.long 0x00 4.--5. " TR2 ,{TEX[0] C B} = b010 Remap" "Strongly ordered,Device,Normal,UNP" textline " " bitfld.long 0x00 2.--3. " TR1 ,{TEX[0] C B} = b001 Remap" "Strongly ordered,Device,Normal,UNP" bitfld.long 0x00 0.--1. " TR0 ,{TEX[0] C B} = b000 Remap" "Strongly ordered,Device,Normal,UNP" group.long c15:0x012A++0x0 line.long 0x00 "NMRR,Normal Memory Remap Register" bitfld.long 0x00 30.--31. " OR7 ,Outer Attribute for {TEX[0] C B} = b111 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 28.--29. " OR6 ,Outer Attribute for {TEX[0] C B} = b110 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 26.--27. " OR5 ,Outer Attribute for {TEX[0] C B} = b101 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " bitfld.long 0x00 24.--25. " OR4 ,Outer Attribute for {TEX[0] C B} = b100 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 22.--23. " OR3 ,Outer Attribute for {TEX[0] C B} = b011 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 20.--21. " OR2 ,Outer Attribute for {TEX[0] C B} = b010 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " bitfld.long 0x00 18.--19. " OR1 ,Outer Attribute for {TEX[0] C B} = b001 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 16.--17. " OR0 ,Outer Attribute for {TEX[0] C B} = b000 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 14.--15. " IR7 ,Inner attribute for {TEX[0] C B} = b111 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " bitfld.long 0x00 12.--13. " IR6 ,Inner attribute for {TEX[0] C B} = b110 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 10.--11. " IR5 ,Inner attribute for {TEX[0] C B} = b101 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 8.--9. " IR4 ,Inner attribute for {TEX[0] C B} = b100 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " bitfld.long 0x00 6.--7. " IR3 ,Inner attribute for {TEX[0] C B} = b011 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 4.--5. " IR2 ,Inner attribute for {TEX[0] C B} = b010 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" bitfld.long 0x00 2.--3. " IR1 ,Inner attribute for {TEX[0] C B} = b001 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " bitfld.long 0x00 0.--1. " IR0 ,Inner attribute for {TEX[0] C B} = b000 Remap" "Noncacheable,Write-back allocate,Write-through no allocate,Write-back no allocate" textline " " endif if (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x400F++0x00 line.long 0x00 "CBAR,Configuration Base Address Register" hexmask.long.tbyte 0x00 15.--31. 0x80 " PERIPHBASE[31:15] ,Periphbase[31:15]" hexmask.long.byte 0x00 0.--7. 1. " PERIPHBASE[39:32] ,Periphbase[39:32]" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") hgroup.long c15:0x400F++0x00 hide.long 0x00 "CBAR,Configuration Base Address Register" endif textline " " if (((d.l(c15:0x202))&0x80000000)==0x80000000) group.long c15:0x10d++0x00 line.long 0x0 "CONTEXTIDR,Context ID Register" else group.long c15:0x10d++0x00 line.long 0x0 "CONTEXTIDR,Context ID Register" hexmask.long.tbyte 0x00 8.--31. 1. " PROCID ,Process identifier" hexmask.long.byte 0x00 0.--7. 1. " ASID ,Address space identifier" endif group.long c15:0x020D++0x00 line.long 0x00 "TPIDRURW,User Read/Write Thread ID Register" group.long c15:0x030D++0x00 line.long 0x00 "TPIDRURO,User Read-Only Thread ID Register" group.long c15:0x040D++0x00 line.long 0x00 "TPIDRPRW,EL1 only Thread ID Register" group.long c15:0x420D++0x00 line.long 0x00 "HTPIDR,Hyp Software Thread ID Register" tree.end width 15. tree "Virtualization Extensions" group.long c15:0x4000++0x00 line.long 0x0 "VPIDR,Virtualization Processor ID Register" group.long c15:0x4500++0x00 line.long 0x0 "VMPIDR,Virtualization Multiprocessor ID Register" group.long c15:0x4001++0x00 line.long 0x00 "HSCTLR,System Control Register" bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb" bitfld.long 0x0 25. " EE ,Exception endianness" "Little,Big" bitfld.long 0x0 19. " WXN ,Write permission implies XN" "Not forced,Forced" textline " " bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disabled,Enabled" bitfld.long 0x0 2. " C ,Enable unified cache or data cache" "Disabled,Enabled" bitfld.long 0x0 1. " A ,Strict Alignment" "Disabled,Enabled" textline " " bitfld.long 0x0 0. " M ,Enable address translation" "Disabled,Enabled" group.long c15:0x4011++0x00 line.long 0x00 "HCR,Hyp Configuration Register" bitfld.long 0x00 27. " TGE ,Trap General Exceptions" "Disabled,Enabled" bitfld.long 0x00 26. " TVM ,Trap Virtual Memory Controls" "Disabled,Enabled" bitfld.long 0x00 25. " TTLB ,Trap TLB maintenance instructions" "Disabled,Enabled" textline " " bitfld.long 0x00 24. " TPU ,Trap Cache maintenance instructions to point of unification" "Disabled,Enabled" bitfld.long 0x00 23. " TPC ,Trap Data/Unified cache maintenance instructions to point of coherency" "Disabled,Enabled" bitfld.long 0x00 22. " TSW ,Trap Data/Unified cache Set/Way instructions" "Disabled,Enabled" textline " " bitfld.long 0x00 21. " TAC ,Trap Auxiliary Control Register Accesses" "Disabled,Enabled" bitfld.long 0x00 20. " TIDCP ,Trap Lockdown" "Disabled,Enabled" bitfld.long 0x00 19. " TSC ,Trap SMC" "Disabled,Enabled" textline " " bitfld.long 0x00 18. " TID3 ,Trap ID Group 3" "Disabled,Enabled" bitfld.long 0x00 17. " TID2 ,Trap ID Group 2" "Disabled,Enabled" bitfld.long 0x00 16. " TID1 ,Trap ID Group 1" "Disabled,Enabled" textline " " bitfld.long 0x00 15. " TID0 ,Trap ID Group 0" "Disabled,Enabled" bitfld.long 0x00 14. " TWE ,Trap WFE" "Disabled,Enabled" bitfld.long 0x00 13. " TWI ,Trap WFI" "Disabled,Enabled" textline " " bitfld.long 0x00 12. " DC ,Default Cacheable" "Disabled,Enabled" bitfld.long 0x00 10.--11. " BSU ,Barrier Shareability Upgrade" "No effect,Inner,Outer,Full system" bitfld.long 0x00 9. " FB ,Force Broadcast of TLB maintenance BPIALL and ICIALLU instructions" "Disabled,Enabled" textline " " bitfld.long 0x00 8. " VA ,Virtual External Asynchronous Abort" "Not aborted,Aborted" bitfld.long 0x00 7. " VI ,Virtual IRQ interrupt" "No interrupt,Interrupt" bitfld.long 0x00 6. " VF ,Virtual FIQ interrupt" "No interrupt,Interrupt" textline " " bitfld.long 0x00 5. " AMO ,A-bit Mask Override" "No override,Override" bitfld.long 0x00 4. " IMO ,I-bit Mask Override" "No override,Override" bitfld.long 0x00 3. " FMO ,F-bit Mask Override" "No override,Override" textline " " bitfld.long 0x00 2. " PTW ,Protected Table Walk" "Disabled,Enabled" bitfld.long 0x00 1. " SWIO ,Set/Way Invalidation Override" "No override,Override" bitfld.long 0x00 0. " VM ,Second Stage of Translation Enable" "Disabled,Enabled" group.long c15:0x4111++0x00 line.long 0x00 "HDCR,Hyp Debug Control Register" bitfld.long 0x00 11. " TDRA ,Trap Debug ROM Access" "No effect,Valid" bitfld.long 0x00 10. " TDOSA ,Trap Debug OS-related register Access" "No effect,Valid" bitfld.long 0x00 9. " TDA ,Trap Debug Access" "No effect,Valid" textline " " bitfld.long 0x00 8. " TDE ,Trap Debug Exceptions" "No effect,Valid" bitfld.long 0x00 7. " HPME ,Hypervisor Performance Monitors Enable" "Disabled,Enabled" bitfld.long 0x00 6. " TPM ,Trap Performance Monitors accesses" "No effect,Valid" textline " " bitfld.long 0x00 5. " TPMCR ,Trap Performance Monitor Control Register accesses" "No effect,Valid" bitfld.long 0x00 0.--4. " HPMN ,Defines the number of Performance Monitors counters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long c15:0x4211++0x00 line.long 0x00 "HCPTR,Hyp Coprocessor Trap Register" bitfld.long 0x0 31. " TCPAC ,Trap Coprocessor Access Control" "Not trapped,Trapped" bitfld.long 0x0 15. " TASE ,Trap Advanced SIMD extensions" "Not trapped,Trapped" bitfld.long 0x0 11. " TCP11 ,Trap coprocessor 11" "Not trapped,Trapped" textline " " bitfld.long 0x0 10. " TCP10 ,Trap coprocessor 10" "Not trapped,Trapped" group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hyp Syndrome Register" bitfld.long 0x00 26.--31. " EC ,Exception class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 25. " IL ,Instruction length" "16-bit,32-bit" hexmask.long 0x00 0.--24. 1. " ISS ,Instruction specific syndrome" group.long c15:0x4311++0x00 line.long 0x00 "HSTR,Hyp System Trap Register" bitfld.long 0x00 17. " TJDBX ,Trap Jazelle-DBX" "Disabled,Enabled" bitfld.long 0x00 16. " TTEE ,Trap ThumbEE" "Disabled,Enabled" bitfld.long 0x00 15. " T15 ,Trap to Hyp mode Non-secure priv 15" "Not trapped,Trapped" textline " " bitfld.long 0x00 13. " T13 ,Trap to Hyp mode Non-secure priv 13" "Not trapped,Trapped" bitfld.long 0x00 12. " T12 ,Trap to Hyp mode Non-secure priv 12" "Not trapped,Trapped" bitfld.long 0x00 11. " T11 ,Trap to Hyp mode Non-secure priv 11" "Not trapped,Trapped" textline " " bitfld.long 0x00 10. " T10 ,Trap to Hyp mode Non-secure priv 10" "Not trapped,Trapped" bitfld.long 0x00 9. " T9 ,Trap to Hyp mode Non-secure priv 9" "Not trapped,Trapped" bitfld.long 0x00 8. " T8 ,Trap to Hyp mode Non-secure priv 8" "Not trapped,Trapped" textline " " bitfld.long 0x00 7. " T7 ,Trap to Hyp mode Non-secure priv 7" "Not trapped,Trapped" bitfld.long 0x00 6. " T6 ,Trap to Hyp mode Non-secure priv 6" "Not trapped,Trapped" bitfld.long 0x00 5. " T5 ,Trap to Hyp mode Non-secure priv 5" "Not trapped,Trapped" textline " " bitfld.long 0x00 3. " T3 ,Trap to Hyp mode Non-secure priv 3" "Not trapped,Trapped" bitfld.long 0x00 2. " T2 ,Trap to Hyp mode Non-secure priv 2" "Not trapped,Trapped" bitfld.long 0x00 1. " T1 ,Trap to Hyp mode Non-secure priv 1" "Not trapped,Trapped" textline " " bitfld.long 0x00 0. " T0 ,Trap to Hyp mode Non-secure priv 0" "Not trapped,Trapped" group.quad c15:0x14020++0x01 line.quad 0x00 "HTTBR,Hyp Translation Table Base Register" hexmask.quad 0x00 0.--39. 1. " BADDR ,Translation table base address" group.long c15:0x4202++0x00 line.long 0x00 "HTCR,Hyp Translation Control Register" bitfld.long 0x00 12.--13. " SH0 ,Shareability attributes for the memory associated with the translation table walks using HTTBR" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. " ORGN0 ,Outer Cacheability attributes for the memory associated with the translation table walks using HTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 8.--9. " IRGN0 ,Inner Cacheability attributes for the memory associated with the translation table walks VTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 0.--3. " T0SZ ,The Size offset(four-bit signed integer) of the VTCR addressed region" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1" group.quad c15:0x16020++0x01 line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register" hexmask.quad.byte 0x00 48.--55. 1. " VMID ,VMID for the translation table" hexmask.quad 0x00 0.--39. 1. " BADDR ,Translation table base address" group.long c15:0x4212++0x00 line.long 0x00 "VTCR,Virtualization Translation Control Register" bitfld.long 0x00 12.--13. " SH0 ,Shareability attributes for the memory associated with the translation table walks using VTTBR" "Non-shareable,Reserved,Outer Shareable,Inner Shareable" bitfld.long 0x00 10.--11. " ORGN0 ,Outer Cacheability attributes for the memory associated with the translation table walks using VTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 8.--9. " IRGN0 ,Inner Cacheability attributes for the memory associated with the translation table walks VTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable" textline " " bitfld.long 0x00 6.--7. " SL0 ,Starting Level for VTCR addressed region" "Second level,First level,Reserved,Reserved" bitfld.long 0x00 4. " S ,Sign extension bit" "0,1" bitfld.long 0x00 0.--3. " T0SZ ,The Size offset(four-bit signed integer) of the VTCR addressed region" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x4015++0x00 line.long 0x00 "HADFSR,Hyp Auxiliary Data Fault Status Syndrome Register" bitfld.long 0x00 31. " VALID ,L1 or L2 ECC double bit error indicator" "No error,Error" hexmask.long.byte 0x00 24.--30. 1. " RAMID ,RAM identifier" bitfld.long 0x00 23. " L2E ,L2 Error" "No error,Error" textline " " bitfld.long 0x00 18.--22. " B/W ,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.tbyte 0x00 0.--17. 1. " IND ,Index" endif group.long c15:0x4006++0x00 line.long 0x00 "HDFAR,Hyp Data Fault Address Register" group.long c15:0x4025++0x00 line.long 0x00 "HSR,Hyp Syndrome Register" bitfld.long 0x00 26.--31. " EC ,Exception class" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC,Trapped Jazelle instruction,Trapped BXJ,Reserved,Trapped MRRC,Reserved,Reserved,Reserved,Reserved,SVC,HVC,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hyp mode Instruction Abort,Executing within Hyp mode Instruction Abort,Reserved,Reserved,Entry into Hyp mode Data Abort,Executing within Hyp mode Data Abort,?..." textline " " bitfld.long 0x00 25. " IL ,Instruction length" "16-bit,32-bit" hexmask.long 0x00 0.--24. 1. " ISS ,Instruction specific syndrome" group.long c15:0x4206++0x00 line.long 0x00 "HIFAR,Hyp Instruction Fault Address Register" group.long c15:0x4406++0x00 line.long 0x00 "HPFAR,Hyp IPA Fault Address Register" hexmask.long 0x00 4.--31. 1. " FIPA ,Faulting IPA bits" textline " " hgroup.long c15:0x407++0x00 hide.long 0x00 "NOP,No Operation Register" in wgroup.long c15:0x17++0x00 line.long 0x00 "ICIALLUIS,Invalidate All Instruction Caches To PoU Inner Shareable Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x617++0x00 line.long 0x00 "BPIALLIS,Invalidate Entire Branch Predictor Array Inner Shareable Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x57++0x00 line.long 0x00 "ICIALLU,Invalidate Entire Instruction Cache Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x157++0x00 line.long 0x00 "ICIMVAU,Invalidate Instruction Cache Line by VA to Point-of-Unification Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x457++0x00 line.long 0x00 "CP15ISB,Instruction Synchronization Barrier Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x657++0x00 line.long 0x00 "BPIALL,Invalidate Entire Branch Predictor Array (NOP) Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x757++0x00 line.long 0x00 "BPIMVA,Invalidate MVA From Branch Predictors Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.word 0x00 5.--15. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x167++0x00 line.long 0x00 "DCIMVAC,Invalidate Data Cache Line by VA to PoC Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x267++0x00 line.long 0x00 "DCISW,Invalidate Data Cache Line by Set/Way Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x0087++0x00 line.long 0x00 "ATS1CPR,Stage 1 current state PL1 read" wgroup.long c15:0x0187++0x00 line.long 0x00 "ATS1CPW,Stage 1 current state PL1 write" wgroup.long c15:0x0287++0x00 line.long 0x00 "ATS1CUR,Stage 1 current state unprivileged (PL0) read" wgroup.long c15:0x0387++0x00 line.long 0x00 "ATS1CUW,Stage 1 current state unprivileged (PL0) write" wgroup.long c15:0x0487++0x00 line.long 0x00 "ATS12NSOPR,Stages 1 and 2 Non-secure PL1 read" wgroup.long c15:0x0587++0x00 line.long 0x00 "ATS12NSOPW,Stages 1 and 2 Non-secure PL1 write" wgroup.long c15:0x0687++0x00 line.long 0x00 "ATS12NSOUR,Stages 1 and 2 Non-secure unprivileged (PL0) read" wgroup.long c15:0x0787++0x00 line.long 0x00 "ATS12NSOUW,Stages 1 and 2 Non-secure unprivileged (PL0) write" wgroup.long c15:0x1a7++0x00 line.long 0x00 "DCCMVAC,Clean Data Cache Line to PoC by VA Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x2a7++0x00 line.long 0x00 "DCCSW,Clean Data Cache Line by Set/Way Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" bitfld.long 0x00 1.--3. " LEVEL ,Cache level" "0,1,2,3,4,5,6,7" wgroup.long c15:0x4a7++0x00 line.long 0x00 "CP15DSB,Data Synchronization Barrier Register" hexmask.long 0x00 5.--31. 0x20 " ADDRESS ,Address to invalidate or clean" wgroup.long c15:0x5a7++0x00 line.long 0x00 "CP15DMB,Data Memory Barrier Register" hexmask.long 0x00 5.--31. 0x20 " ADDRESS ,Address to invalidate or clean" wgroup.long c15:0x1b7++0x00 line.long 0x00 "DCCMVAU,Clean Data Or Unified Cache Line By VA To PoU Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" wgroup.long c15:0x1e7++0x00 line.long 0x00 "DCCIMVAC,Clean and Invalidate Data Cache Line by VA to PoC Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" wgroup.long c15:0x2e7++0x00 line.long 0x00 "DCCISW,Clean and Invalidate Data Cache Line by Set/Way Register" bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3" hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean" wgroup.long c15:0x4087++0x00 line.long 0x00 "ATS1HR,Address Translate Stage 1 Hyp mode Read" wgroup.long c15:0x4187++0x00 line.long 0x00 "ATS1HW,Address Translate Stage 1 Hyp mode Write" wgroup.long c15:0x0038++0x00 line.long 0x00 "TLBIALLIS,Invalidate entire TLB Inner Shareable" wgroup.long c15:0x0138++0x00 line.long 0x00 "TLBIMVAIS,Invalidate unified TLB entry by MVA Inner Shareable" wgroup.long c15:0x0238++0x00 line.long 0x00 "TLBIASIDIS,Invalidate unified TLB byASID match Inner Shareable" wgroup.long c15:0x0338++0x00 line.long 0x00 "TLBIMVAAIS,Invalidate unified TLB by MVA all ASID Inner Shareable" wgroup.long c15:0x0058++0x00 line.long 0x00 "ITLBIALL,Invalidate instruction TLB" wgroup.long c15:0x0158++0x00 line.long 0x00 "ITLBIMVA,Invalidate instruction TLB entry by MVA" wgroup.long c15:0x0258++0x00 line.long 0x00 "ITLBIASID,Invalidate instruction TLB by ASID match" wgroup.long c15:0x0068++0x00 line.long 0x00 "DTLBIALL,Invalidate data TLB" wgroup.long c15:0x0168++0x00 line.long 0x00 "DTLBIMVA,Invalidate data TLB entry by MVA" wgroup.long c15:0x0268++0x00 line.long 0x00 "DTLBIASID,Invalidate data TLB by ASID match" wgroup.long c15:0x0078++0x00 line.long 0x00 "TLBIALL,Invalidate unified TLB" wgroup.long c15:0x0178++0x00 line.long 0x00 "TLBIMVA,Invalidate unified TLB entry by MVA" wgroup.long c15:0x0278++0x00 line.long 0x00 "TLBIASID,Invalidate unified TLB byASID match" wgroup.long c15:0x0378++0x00 line.long 0x00 "TLBIMVAA,Invalidate unified TLB by MVA all ASID" wgroup.long c15:0x4038++0x00 line.long 0x00 "TLBIALLHIS,Invalidate entire Hyp unified TLB Inner Shareable" wgroup.long c15:0x4138++0x00 line.long 0x00 "TLBIMVAHIS,Invalidate Hyp unified TLB entry by MVA Inner Shareable" wgroup.long c15:0x4438++0x00 line.long 0x00 "TLBIALLNSNHIS,Invalidate entire Non-secure Non-Hyp unified TLB Inner Shareable" wgroup.long c15:0x4078++0x00 line.long 0x00 "TLBIALLH,Invalidate entire Hyp unified TLB" wgroup.long c15:0x4178++0x00 line.long 0x00 "TLBIMVAH,Invalidate Hyp unified TLB entry by MVA" wgroup.long c15:0x4478++0x00 line.long 0x00 "TLBIALLNSNH,Invalidate entire Non-secure Non-Hyp unified TLB" group.long c15:0x402A++0x00 line.long 0x00 "HMAIR0,Hyp Memory Attribute Indirection Register 0" hexmask.long.byte 0x00 24.--31. 1. " ATTR3 ,Attribute 3" hexmask.long.byte 0x00 16.--23. 1. " ATTR2 ,Attribute 2" hexmask.long.byte 0x00 8.--15. 1. " ATTR1 ,Attribute 1" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR0 ,Attribute 0" group.long c15:0x412A++0x00 line.long 0x00 "HMAIR1,Hyp Memory Attribute Indirection Register 1" hexmask.long.byte 0x00 24.--31. 1. " ATTR7 ,Attribute 7" hexmask.long.byte 0x00 16.--23. 1. " ATTR6 ,Attribute 6" hexmask.long.byte 0x00 8.--15. 1. " ATTR5 ,Attribute 5" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR4 ,Attribute 4" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x403A++0x00 line.long 0x00 "HAMAIR0,Hyp Auxiliary Memory Attribute Indirection Register 0" hexmask.long.byte 0x00 24.--31. 1. " ATTR3 ,Attribute 3" hexmask.long.byte 0x00 16.--23. 1. " ATTR2 ,Attribute 2" hexmask.long.byte 0x00 8.--15. 1. " ATTR1 ,Attribute 1" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR0 ,Attribute 0" group.long c15:0x413A++0x00 line.long 0x00 "HAMAIR1,Hyp Auxiliary Memory Attribute Indirection Register 1" hexmask.long.byte 0x00 24.--31. 1. " ATTR7 ,Attribute 7" hexmask.long.byte 0x00 16.--23. 1. " ATTR6 ,Attribute 6" hexmask.long.byte 0x00 8.--15. 1. " ATTR5 ,Attribute 5" textline " " hexmask.long.byte 0x00 0.--7. 1. " ATTR4 ,Attribute 4" else hgroup.long c15:0x403A++0x00 hide.long 0x00 "HAMAIR0,Hyp Auxiliary Memory Attribute Indirection Register 0" hgroup.long c15:0x413A++0x00 hide.long 0x00 "HAMAIR1,Hyp Auxiliary Memory Attribute Indirection Register 1" endif group.long c15:0x400C++0x00 line.long 0x00 "HVBAR,Hyp Vector Base Address Register" hexmask.long 0x00 5.--31. 0x20 " HVBADDR ,Hyp Vector Base Address" tree.end width 12. tree "Cache Control and Configuration" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x1100++0x0 line.long 0x0 "CLIDR,Cache Level ID Register" bitfld.long 0x00 27.--29. " LOUU ,Level of Unification Uniprocessor" "Reserved,Level 2,?..." bitfld.long 0x00 24.--26. " LOC ,Level of Coherency" "Reserved,Reserved,Level 3,?..." bitfld.long 0x00 21.--23. " LOUIS ,Level of Unification Inner Shareable" "Reserved,Level 2,?..." bitfld.long 0x00 18.--20. " CTYPE7 ,Cache type for levels 7" "No cache,?..." textline " " bitfld.long 0x00 15.--17. " CTYPE6 ,Cache type for levels 6" "No cache,?..." bitfld.long 0x00 12.--14. " CTYPE5 ,Cache type for levels 5" "No cache,?..." bitfld.long 0x00 9.--11. " CTYPE4 ,Cache type for levels 4" "No cache,?..." bitfld.long 0x00 6.--8. " CTYPE3 ,Cache type for levels 3" "No cache,?..." textline " " bitfld.long 0x00 3.--5. " CTYPE2 ,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..." bitfld.long 0x00 0.--2. " CTYPE1 ,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x1100++0x0 line.long 0x0 "CLIDR,Cache Level ID Register" bitfld.long 0x00 27.--29. " LOUU ,Level of Unification Uniprocessor" "Reserved,Level 2,?..." bitfld.long 0x00 24.--26. " LOC ,Level of Coherency" "Reserved,Reserved,Level 3,?..." bitfld.long 0x00 21.--23. " LOUIS ,Level of Unification Inner Shareable" "Reserved,Level 2,?..." textline " " bitfld.long 0x00 3.--5. " CTYPE2 ,Cache type for levels 2" "Not implemented,Reserved,Reserved,Reserved,Unified,?..." bitfld.long 0x00 0.--2. " CTYPE1 ,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..." endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c15:0x1000++0x0 line.long 0x0 "CCSIDR,Current Cache Size ID Register" bitfld.long 0x00 31. " WT ,Write-Through" "Not Supported,Supported" bitfld.long 0x00 30. " WB ,Write-Back" "Not Supported,Supported" bitfld.long 0x00 29. " RA ,Read-Allocate" "Not Supported,Supported" textline " " bitfld.long 0x00 28. " WA ,Write-Allocate" "Not Supported,Supported" hexmask.long.word 0x00 13.--27. 1. " SETS ,Number of Sets" hexmask.long.word 0x00 3.--12. 1. " ASSOC ,Associativity" textline " " bitfld.long 0x00 0.--2. " LSIZE ,Line Size" "Reserved,Reserved,16 words,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x1000++0x0 line.long 0x0 "CCSIDR,Current Cache Size ID Register" bitfld.long 0x00 31. " WT ,Write-Through" "Not Supported,Supported" bitfld.long 0x00 30. " WB ,Write-Back" "Not Supported,Supported" bitfld.long 0x00 29. " RA ,Read-Allocate" "Not Supported,Supported" textline " " bitfld.long 0x00 28. " WA ,Write-Allocate" "Not Supported,Supported" hexmask.long.word 0x00 13.--27. 1. " NUMSETS ,Number of Sets" hexmask.long.word 0x00 3.--12. 1. " ASSOC ,Associativity" textline " " bitfld.long 0x00 0.--2. " LSIZE ,Line Size" "Reserved,8 words,16 words,?..." endif group.long c15:0x2000++0x0 line.long 0x0 "CSSELR,Cache Size Selection Register" bitfld.long 0x00 1.--3. " LEVEL ,Cache level of required cache" "Level 1,Level 2,?..." bitfld.long 0x00 0. " IND ,Instruction/Not Data" "Data/Unified,Instruction" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") wgroup.long c15:0x10EF++0x00 line.long 0x00 "DCCIALL,Data Cache Clean and Invalidate All Register" bitfld.long 0x00 1.--2. " LEVEL ,Cache level" "L1,L2,Reserved,Reserved" else hgroup.long c15:0x10EF++0x00 hide.long 0x00 "DCCIALL,Data Cache Clean and Invalidate All Register" endif tree "Level 1 memory system" width 10. if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x000F++0x00 line.long 0x00 "IL1DATA0,Instruction L1 Data 0 Register" group.long c15:0x010F++0x00 line.long 0x00 "IL1DATA1,Instruction L1 Data 1 Register" group.long c15:0x020F++0x00 line.long 0x00 "IL1DATA2,Instruction L1 Data 2 Register" group.long c15:0x001F++0x00 line.long 0x00 "DL1DATA0,Data L1 Data 0 Register" group.long c15:0x011F++0x00 line.long 0x00 "DL1DATA1,Data L1 Data 1 Register" group.long c15:0x021F++0x00 line.long 0x00 "DL1DATA2,Data L1 Data 2 Register" group.long c15:0x031F++0x00 line.long 0x00 "DL1DATA3,Data L1 Data 3 Register" wgroup.long c15:0x004F++0x00 line.long 0x00 "RAMINDEX,RAM Index Register" hexmask.long.byte 0x00 24.--31. 1. " RAMID ,RAM identifier" bitfld.long 0x00 18.--21. " B/W ,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.tbyte 0x00 0.--17. 1. " IND ,Index" textline " " group.quad c15:0x100F0++0x01 line.quad 0x00 "CPUMERRSR,CPU Memory Error Syndrome Register" bitfld.quad 0x00 63. " FATAL ,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. " OEC ,Other error count" hexmask.quad.byte 0x00 32.--39. 1. " REC ,Repeat error count" bitfld.quad 0x00 31. " VALID ,Valid bit" "Not valid,Valid" textline " " hexmask.quad.byte 0x00 24.--30. 1. " RAMID ,RAM Identifier" bitfld.quad 0x00 18.--22. " B/W ,Bank/Way" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.quad.tbyte 0x00 0.--17. 1. " IND ,Index" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c15:0x300F++0x0 line.long 0x00 "CDBGDR0,Data Register 0" rgroup.long c15:0x310F++0x0 line.long 0x00 "CDBGDR1,Data Register 1" rgroup.long c15:0x320F++0x0 line.long 0x00 "CDBGDR2,Data Register 2" wgroup.long c15:0x302F++0x0 line.long 0x00 "CDBGDCT,Data Cache Tag Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.tbyte 0x00 6.--29. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CWDO ,Cache word data offset" "0,1,2,3,4,5,6,7" wgroup.long c15:0x312F++0x0 line.long 0x00 "CDBGICT,Instruction Cache Tag Read Operation Register" bitfld.long 0x00 31. " CW ,Cache Way" "Low,High" hexmask.long 0x00 5.--30. 1. " SI ,Set index" bitfld.long 0x00 2.--4. " CDEO ,Cache data element offset" "0,1,2,3,4,5,6,7" wgroup.long c15:0x304F++0x0 line.long 0x00 "CDBGDCD,Data Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.tbyte 0x00 6.--29. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CWDO ,Cache word data offset" "0,1,2,3,4,5,6,7" wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 31. " CW ,Cache Way" "Low,High" hexmask.long 0x00 5.--30. 1. " SI ,Set index" bitfld.long 0x00 2.--4. " CDEO ,Cache data element offset" "0,1,2,3,4,5,6,7" wgroup.long c15:0x324F++0x0 line.long 0x00 "CDBGTD,TLB Data Read Operation Register" bitfld.long 0x00 31. " TLB_WAY ,TLB Way" "Low,High" hexmask.long.byte 0x00 0.--7. 1. " TLB_IND ,TLB index" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c15:0x300F++0x0 line.long 0x00 "CDBGDR0,Data Register 0" bitfld.long 0x00 31. " PMOESID ,Partial MOESI state / Dirty" "Low,High" bitfld.long 0x00 30. " POMA ,Partial Outer memory attribute" "Low,High" bitfld.long 0x00 29. " PMOESIE ,Partial MOESI state / Exclusive" "Low,High" textline " " bitfld.long 0x00 28. " PMOESIV ,Partial MOESI state / Valid" "Low,High" bitfld.long 0x00 27. " NS ,Non-Secure state" "Low,High" hexmask.long 0x00 0.--26. 1. " TA ,Tag Address" rgroup.long c15:0x310F++0x0 line.long 0x00 "CDBGDR1,Data Register 1" bitfld.long 0x00 0. " PMOESID ,Partial MOESI state / Globally shared" "Low,High" rgroup.long c15:0x320F++0x0 line.long 0x00 "CDBGDR2,Data Register 2" wgroup.long c15:0x302F++0x0 line.long 0x00 "CDBGDCT,Data Cache Tag Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.byte 0x00 6.--12. 1. " SI ,Set index" wgroup.long c15:0x312F++0x0 line.long 0x00 "CDBGICT,Instruction Cache Tag Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long 0x00 6.--30. 1. " SI ,Set index" wgroup.long c15:0x304F++0x0 line.long 0x00 "CDBGDCD,Data Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.byte 0x00 6.--12. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" if (((d.l(c15:0x1000))&0xFFFE000)==0x1DE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.byte 0x00 6.--12. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x1FE000&&((d.l(c15:0x2000))&0x3)==0x1) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.byte 0x00 6.--13. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x1FE000&&((d.l(c15:0x2000))&0x3)==0x2) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--15. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x3FE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--16. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x7FE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--17. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0xFFE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--18. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x1FFE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--19. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" elif (((d.l(c15:0x1000))&0xFFFE000)==0x3FFE000) wgroup.long c15:0x314F++0x0 line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" bitfld.long 0x00 30.--31. " CW ,Cache Way" "0,1,2,3" hexmask.long.word 0x00 6.--20. 1. " SI ,Set index" bitfld.long 0x00 3.--5. " CDDO ,Cache doubleword data offset" "0,1,2,3,4,5,6,7" else hgroup.long c15:0x314F++0x0 hide.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register" endif if (((d.l(c15:0x324F))&0x100)==0x100) wgroup.long c15:0x324F++0x0 line.long 0x00 "CDBGTD,TLB Data Read Operation Register" bitfld.long 0x00 8. " TYPE ,Type" "RAM0,RAM1" else wgroup.long c15:0x324F++0x0 line.long 0x00 "CDBGTD,TLB Data Read Operation Register" bitfld.long 0x00 30.--31. " TLB_WAY ,TLB Way" "0,1,2,3" bitfld.long 0x00 8. " TYPE ,Type" "RAM0,RAM1" hexmask.long.byte 0x00 0.--7. 1. " TLB_IND ,TLB index" endif endif tree.end tree "Level 2 memory system" width 11. if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x1209++0x0 line.long 0x00 "L2CTLR,L2 Control Register" rbitfld.long 0x00 31. " L2RSTDISABLE ,L2 hardware reset disable pin monitor" "No,Yes" bitfld.long 0x00 24.--25. " NCPU , Number of CPU" "1,2,3,4" bitfld.long 0x00 23. " IE ,Interrupt Controller" "Not present,Present" textline " " bitfld.long 0x00 21. " ECCPE ,ECC and parity enable" "Disabled,Enabled" bitfld.long 0x00 12. " TRAMS ,L2 Tag RAM setup" "0 cycle,1 cycle" bitfld.long 0x00 10.--11. " DRAMSLICE ,Data RAM slice" "0,1,2,Invalid" textline " " bitfld.long 0x00 9. " TRAMS ,L2 Tag RAM setup" "0 cycle,1 cycle" bitfld.long 0x00 6.--8. " TRAML ,L2 Tag RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,5 cycles,5 cycles,5 cycles" bitfld.long 0x00 5. " DRAMS ,L2 Data RAM setup" "0 cycle,1 cycle" textline " " bitfld.long 0x00 0.--2. " DRAML ,L2 Data RAM latency" "2 cycles,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x1209++0x0 line.long 0x00 "L2CTLR,L2 Control Register" bitfld.long 0x00 24.--25. " NCPU ,Number of CPU" "1,2,3,4" bitfld.long 0x00 23. " IE ,Interrupt Controller" "Not present,Present" bitfld.long 0x00 0. " DRAML ,L2 data RAM latency" "2 cycles,3 cycles" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x1209++0x0 line.long 0x00 "L2CTLR,L2 Control Register" rbitfld.long 0x00 31. " L2RSTDISABLE ,L2 hardware reset disable pin monitor" "No,Yes" bitfld.long 0x00 27.--30. " IWINC ,Controls index incrementation method" "1.,1.,3.,7.,15.,31.,63.,127.,255.,511.,1023.,2047.,4095.,8191.,8191.,8191." rbitfld.long 0x00 24.--25. " NCPU , Number of CPU" "1,2,3,4" bitfld.long 0x00 20. " SFEN , Snoop Filter Enable" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " L2ECCD ,L2 ECC Disable" "No,Yes" bitfld.long 0x00 18. " L2CD ,L2 cache disable" "No,Yes" bitfld.long 0x00 15.--17. " TRAMSL ,Tag RAM setup latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" bitfld.long 0x00 12.--14. " TRAMRL ,Tag RAM read latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" textline " " bitfld.long 0x00 9.--11. " TRAMWL ,Tag RAM write latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" bitfld.long 0x00 6.--8. " DRAMSL ,Data RAM setup latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" bitfld.long 0x00 3.--5. " DRAMRL ,Data RAM read latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" bitfld.long 0x00 0.--2. " DRAMWL ,Data RAM write latency" "1 cycle,2 cycles,3 cycles,4 cycles,5 cycles,6 cycles,7 cycles,8 cycles" endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c15:0x1309++0x0 line.long 0x00 "L2ECTLR,L2 Extended Control Register" bitfld.long 0x00 30. " L2INTASYNCERR ,L2 internal asynchronous error" "No error,Error" bitfld.long 0x00 29. " AXIASYNCERR ,AXI asynchronous error" "No error,Error" group.long c15:0x100F++0x00 line.long 0x00 "L2ACTLR,L2 Auxiliary Control Register" bitfld.long 0x00 28. " FL2TBCEA ,Forces L2 tag bank clock enable active" "Not forced,Forced" bitfld.long 0x00 27. " FL2LCEA ,Forces L2 logic clock enable active" "Not forced,Forced" bitfld.long 0x00 26. " EL2GTRCG ,Enables L2 GIC and Timer regional clock gates" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " ERTSI ,Enables replay threshold single issue" "Disabled,Enabled" bitfld.long 0x00 15. " ECWRM ,Enable CPU WFI retention mode" "Disabled,Enabled" bitfld.long 0x00 14. " EUCE ,Enables UniqueClean evictions with data" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " DSCDT ,Disables SharedClean data transfers" "No,Yes" bitfld.long 0x00 12. " DWCWBE ,Disable multiple outstanding WriteClean/WriteBack/Evicts using the same AWID" "No,Yes" bitfld.long 0x00 11. " DDSB ,Disables DSB with no DVM synchronization" "No,Yes" textline " " bitfld.long 0x00 10. " DNSDAR ,Disables non-secure debug array read" "No,Yes" bitfld.long 0x00 9. " EPF ,Enable use of Prefetch bit in L2 cache replacement algorithm" "Disabled,Enabled" bitfld.long 0x00 8. " DDVMCMOMB ,Disables Distributed Virtual Memory (DVM) transactions and cache maintenance operation message broadcast" "No,Yes" textline " " bitfld.long 0x00 7. " EHDT ,Enables hazard detect timeout" "Disabled,Enabled" bitfld.long 0x00 6. " DSTFM ,Disables shared transactions from master" "No,Yes" bitfld.long 0x00 4. " DWUAWLUTFM ,Disables WriteUnique and WriteLineUnique transactions from master" "No,Yes" textline " " bitfld.long 0x00 3. " DCEPTE ,Disables clean/evict push to external" "No,Yes" bitfld.long 0x00 2. " LTORPTB ,Limit to one request per tag bank" "Disabled,Enabled" bitfld.long 0x00 1. " EARTT ,Enable arbitration replay threshold timeout" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " DPF ,Disable prefetch forwarding" "No,Yes" group.long c15:0x130F++0x00 line.long 0x00 "L2PFR,L2 Prefetch Control Register" bitfld.long 0x00 12. " DDTOLSPR ,Disable dynamic throttling of load/store prefetch requests" "No,Yes" bitfld.long 0x00 11. " EPRFRUT ,Enable prefetch request from ReadUnique transactions" "Disabled,Enabled" bitfld.long 0x00 10. " DTWDAP ,Disable table walk descriptor access prefetch" "No,Yes" textline " " bitfld.long 0x00 7.--8. " L2IFPD ,L2 instruction fetch prefetch distance" "0 lines,1 line,2 lines,3 lines" bitfld.long 0x00 4.--5. " L2LSDPD ,L2 load/store data prefetch distance" "0 lines,2 lines,4 lines,8 lines" textline " " group.quad c15:0x110F0++0x01 line.quad 0x00 "L2MERRSR,L2 Memory Error Syndrome Register" bitfld.quad 0x00 63. " FATAL ,Fatal bit" "0,1" hexmask.quad.byte 0x00 40.--47. 1. " OEC ,Other error count" hexmask.quad.byte 0x00 32.--39. 1. " REC ,Repeat error count" bitfld.quad 0x00 31. " VALID ,Valid bit" "Not valid,Valid" textline " " hexmask.quad.byte 0x00 24.--30. 1. " RAMID ,RAM Identifier" bitfld.quad 0x00 18.--21. " C/W ,CPUID/Way" "CPU0 tag way 0,CPU0 tag way 1,CPU1 tag way 0,CPU1 tag way 1,CPU2 tag way 0,CPU2 tag way 1,CPU3 tag way 0,CPU3 tag way 1,?..." hexmask.quad.tbyte 0x00 0.--17. 1. " IND ,Index" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c15:0x1309++0x0 line.long 0x00 "L2ECTLR,L2 Extended Control Register" bitfld.long 0x00 29. " AXIASYNCERR ,AXI asynchronous error" "No error,Error" hgroup.quad c15:0x110F0++0x01 hide.quad 0x00 "L2MERRSR,L2 Memory Error Syndrome Register" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c15:0x1309++0x0 line.long 0x00 "L2ECTLR,L2 Extended Control Register" bitfld.long 0x00 30. " ECCUNERR ,ECC uncorrectable error " "No error,Error" bitfld.long 0x00 29. " AXIASYNCERR ,AXI asynchronous error" "No error,Error" bitfld.long 0x00 0. " L2DRC ,Disable L2 retention" "No,Yes" rgroup.long c15:0x1609++0x00 line.long 0x00 "L2MRERRSR,L2 Memory Error Syndrome Register" bitfld.long 0x00 31. " FATAL ,Fatal bit" "0,1" bitfld.long 0x00 25.--30. " OEC ,Other error count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 19.--24. " REC ,Repeat error count" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.word 0x00 6.--18. 1. " ERRLIND ,Index Error Location" textline " " bitfld.long 0x00 2.--5. " ERRLWAY ,Way Error Location" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1. " RAMID ,RAM Identifier" "TAG,DATA" bitfld.long 0x00 0. " VALID ,Valid bit" "Not valid,Valid" endif tree.end tree.end width 12. tree "System Performance Monitor" group.long c15:0xc9++0x00 line.long 0x0 "PMCR,Performance Monitor Control Register" hexmask.long.byte 0x00 24.--31. 1. " IMP ,Implementer code" hexmask.long.byte 0x00 16.--23. 1. " IDCODE ,Identification code" bitfld.long 0x00 11.--15. " N ,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" textline " " bitfld.long 0x00 5. " DP ,Disable CCNT when prohibited" "No,Yes" bitfld.long 0x00 4. " X ,Export Enable" "Disabled,Enabled" bitfld.long 0x00 3. " D ,Clock Divider" "Every cycle,64th cycle" textline " " bitfld.long 0x00 2. " C ,Clock Counter Reset" "No reset,Reset" bitfld.long 0x00 1. " P ,Performance Counter Reset" "No reset,Reset" bitfld.long 0x00 0. " E ,All Counters Enable" "Disabled,Enabled" group.long c15:0x1c9++0x00 line.long 0x00 "PMNCNTENSET,Count Enable Set Register " bitfld.long 0x00 5. " P5 ,Event Counter 5 enable bit" "Disabled,Enabled" bitfld.long 0x00 4. " P4 ,Event Counter 4 enable bit" "Disabled,Enabled" bitfld.long 0x00 3. " P3 ,Event Counter 3 enable bit" "Disabled,Enabled" textline " " bitfld.long 0x00 2. " P2 ,Event Counter 2 enable bit" "Disabled,Enabled" bitfld.long 0x00 1. " P1 ,Event Counter 1 enable bit" "Disabled,Enabled" bitfld.long 0x00 0. " P0 ,Event Counter 0 enable bit" "Disabled,Enabled" group.long c15:0x2c9++0x00 line.long 0x00 "PMCNTENCLR,Count Enable Clear Register" eventfld.long 0x00 5. " P5 ,Event Counter 5 clear bit" "Disabled,Enabled" eventfld.long 0x00 4. " P4 ,Event Counter 4 clear bit" "Disabled,Enabled" eventfld.long 0x00 3. " P3 ,Event Counter 3 clear bit" "Disabled,Enabled" textline " " eventfld.long 0x00 2. " P2 ,Event Counter 2 clear bit" "Disabled,Enabled" eventfld.long 0x00 1. " P1 ,Event Counter 1 clear bit" "Disabled,Enabled" eventfld.long 0x00 0. " P0 ,Event Counter 0 clear bit" "Disabled,Enabled" group.long c15:0x3c9++0x00 line.long 0x00 "PMOVSR,Performance Monitor Overflow Status Register" eventfld.long 0x00 5. " P5 ,PMN5 overflow" "No overflow,Overflow" eventfld.long 0x00 4. " P4 ,PMN4 overflow" "No overflow,Overflow" eventfld.long 0x00 3. " P3 ,PMN3 overflow" "No overflow,Overflow" textline " " eventfld.long 0x00 2. " P2 ,PMN2 overflow" "No overflow,Overflow" eventfld.long 0x00 1. " P1 ,PMN1 overflow" "No overflow,Overflow" eventfld.long 0x00 0. " P0 ,PMN0 overflow" "No overflow,Overflow" group.long c15:0x4c9++0x00 line.long 0x00 "PMSWINC,Performance Monitor Software Increment" bitfld.long 0x00 5. " P5 ,Increment PMN5" "No action,Increment" bitfld.long 0x00 4. " P4 ,Increment PMN4" "No action,Increment" bitfld.long 0x00 3. " P3 ,Increment PMN3" "No action,Increment" textline " " bitfld.long 0x00 2. " P2 ,Increment PMN2" "No action,Increment" bitfld.long 0x00 1. " P1 ,Increment PMN1" "No action,Increment" bitfld.long 0x00 0. " P0 ,Increment PMN0" "No action,Increment" group.long c15:0x5c9++0x00 line.long 0x00 "PMSELR,Performance Monitor Select Register" bitfld.long 0x00 0.--4. " SEL ,Current event counter select" "0,1,2,3,4,5,?..." group.long c15:0xd9++0x00 line.long 0x00 "PMCCNTR,Performance Monitor Cycle Count Register" group.long c15:0x1d9++0x00 line.long 0x00 "PMXEVTYPER,Performance Monitor Event Type Register" bitfld.long 0x00 31. " P ,Execution at PL1 events counting disable" "No,Yes" bitfld.long 0x00 30. " U ,Execution at PL0 events counting disable" "No,Yes" bitfld.long 0x00 29. " NSK ,Execution in Non-secure state at PL1 events counting disable" "No,Yes" bitfld.long 0x00 28. " NSU ,Execution in Non-secure state at PL0 events counting disable" "No,Yes" textline " " bitfld.long 0x00 27. " NSH ,Execution in Non-secure state at PL2 events counting enable" "Disabled,Enabled" hexmask.long.byte 0x00 0.--7. 1. " EVTCOUNT ,Event to count" group.long c15:0x2d9++0x00 line.long 0x00 "PMXEVCNTR,Performance Monitor Event Count Register" group.long c15:0xe9++0x00 line.long 0x00 "PMUSERENR,Performance Monitor User Enable Register" bitfld.long 0x00 0. " EN ,User mode access enable" "Disabled,Enabled" group.long c15:0x1e9++0x00 line.long 0x00 "PMINTENSET,Performance Monitor Interrupt Enable Set" bitfld.long 0x00 5. " P5 ,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 4. " P4 ,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 3. " P3 ,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled" textline " " bitfld.long 0x00 2. " P2 ,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 1. " P1 ,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled" bitfld.long 0x00 0. " P0 ,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled" group.long c15:0x2e9++0x00 line.long 0x00 "PMINTENCLR,Performance Monitor Interrupt Enable Clear" eventfld.long 0x00 5. " P5 ,Overflow Interrupt Clear" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " P4 ,Overflow Interrupt Clear" "Disabled,Enabled" eventfld.long 0x00 3. " P3 ,Overflow Interrupt Clear" "Disabled,Enabled" eventfld.long 0x00 2. " P2 ,Overflow Interrupt Clear" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " P1 ,Overflow Interrupt Clear" "Disabled,Enabled" eventfld.long 0x00 0. " P0 ,Overflow Interrupt Clear" "Disabled,Enabled" group.long c15:0x3e9++0x00 line.long 0x00 "PMOVSSET,Performance Monitor Overflow Flag Status Set Register" bitfld.long 0x00 31. " C ,PMCCNTR overflow bit" "Not overflowed,Overflowed" bitfld.long 0x00 30. " P30 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 29. " P29 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 28. " P28 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 27. " P27 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 26. " P26 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 25. " P25 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 24. " P24 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 23. " P23 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 22. " P22 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 21. " P21 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 20. " P20 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " P19 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 18. " P18 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 17. " P17 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 16. " P16 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 15. " P15 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 14. " P14 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 13. " P13 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 12. " P12 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 11. " P11 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 10. " P10 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 9. " P9 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 8. " P8 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " P7 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 6. " P6 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 5. " P5 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 4. " P4 ,Event Counter Overflow" "Disabled,Enabled" textline " " bitfld.long 0x00 3. " P3 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 2. " P2 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 1. " P1 ,Event Counter Overflow" "Disabled,Enabled" bitfld.long 0x00 0. " P0 ,Event Counter Overflow" "Disabled,Enabled" tree.end width 12. tree "System Timer Register" group.long c15:0x000E++0x00 line.long 0x00 "CNTFRQ,Counter Frequency Register" group.long c15:0x001E++0x00 line.long 0x00 "CNTKCTL,Timer PL1 Control Register" bitfld.long 0x00 9. " PL0PTEN ,Controls whether the physical timer registers are accessible from PL0 modes" "Not accessible,Accessible" bitfld.long 0x00 8. " PL0VTEN ,Controls whether the virtual timer registers are accessible from PL0 modes" "Not accessible,Accessible" bitfld.long 0x00 4.--7. " EVNTI ,Selects which bit of CNTVCT is the trigger for the event stream generated from the virtual counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 3. " EVNTDIR ,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" bitfld.long 0x00 2. " EVNTEN ,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" bitfld.long 0x00 1. " PL0VCTEN ,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from PL0 modes" "Not accessible,Accessible" textline " " bitfld.long 0x00 0. " PL0PCTEN ,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from PL0 modes" "Not accessible,Accessible" textline "" group.quad c15:0x100E0++0x01 line.quad 0x00 "CNTPCT,Counter Physical Count Register" group.quad c15:0x120E0++0x01 line.quad 0x00 "CNTP_CVAL,Counter PL1 Physical Compare Value Register" group.long c15:0x002E++0x00 line.long 0x00 "CNTP_TVAL,Counter PL1 Physical Timer Value Register" group.long c15:0x012E++0x00 line.long 0x00 "CNTP_CTL,Counter PL1 Physical Timer Control Register" bitfld.long 0x00 2. " ISTATUS ,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " IMASK ,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Enables the timer" "Disabled,Enabled" textline "" group.quad c15:0x110E0++0x01 line.quad 0x00 "CNTVCT,Counter Virtual Count Register" group.quad c15:0x130E0++0x01 line.quad 0x00 "CNTV_CVAL,Counter PL1 Virtual Compare Value Register" group.long c15:0x003E++0x00 line.long 0x00 "CNTV_TVAL,Counter PL1 Virtual Timer Value Register" group.long c15:0x013E++0x00 line.long 0x00 "CNTV_CTL,Counter PL1 Virtual Timer Control Register" bitfld.long 0x00 2. " ISTATUS ,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " IMASK ,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Enables the timer" "Disabled,Enabled" group.quad c15:0x140E0++0x01 line.quad 0x00 "CNTVOFF,Counter Virtual Offset Register" textline "" group.long c15:0x401E++0x00 line.long 0x00 "CNTHCTL,Counter Non-secure PL2 Control Register" bitfld.long 0x00 4.--7. " EVNTI ,Selects which bit of CNTPCTis the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. " EVNTDIR ,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0" bitfld.long 0x00 2. " EVNTEN ,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " PL1VCTEN ,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from PL0 modes" "Not accessible,Accessible" bitfld.long 0x00 0. " PL1PCTEN ,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from PL0 modes" "Not accessible,Accessible" group.quad c15:0x160E0++0x01 line.quad 0x00 "CNTHP_CVAL,Counter Non-secure PL2 Physical Compare Value Register" group.long c15:0x402E++0x00 line.long 0x00 "CNTHP_TVAL,Counter Non-secure PL2 Physical Timer Value Register" group.long c15:0x412E++0x00 line.long 0x00 "CNTHP_CTL,Counter Non-secure PL2 Physical Timer Control Register" bitfld.long 0x00 2. " ISTATUS ,The status of the timer interrupt" "No interrupt,Interrupt" bitfld.long 0x00 1. " IMASK ,Timer interrupt mask bit" "Not masked,Masked" bitfld.long 0x00 0. " ENABLE ,Enables the timer" "Disabled,Enabled" tree.end width 11. width 15. tree "Debug Registers" rgroup.long c14:0.++0x0 line.long 0x0 "DBGDIDR,Debug ID Register" bitfld.long 0x0 28.--31. " WRP ,Number of Watchpoint Register Pairs" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x0 24.--27. " BRP ,Number of Breakpoint Register Pairs" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" bitfld.long 0x0 20.--23. " CTX_CMP ,Number of BRPs with Context ID Comparison Capability" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" textline " " hexmask.long.byte 0x0 16.--19. 1. " VERSION ,Debug Architecture Version" bitfld.long 0x0 15. " DEVID ,Debug Device ID" "Low,High" bitfld.long 0x0 14. " NSUHD ,Secure User halting debug-mode" "Supported,Not supported" textline " " bitfld.long 0x0 13. " PCSR ,PC Sample register implemented" "Not implemented,Implemented" bitfld.long 0x0 12. " SE ,Security Extensions implemented" "Not implemented,Implemented" hexmask.long.byte 0x0 4.--7. 1. " VARIANT ,Implementation-defined Variant Number" textline " " hexmask.long.byte 0x0 0.--3. 1. " REVISION ,Implementation-defined Revision Number" textline " " if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") wgroup.long c14:6.++0x0 line.long 0x00 "DBGWFAR,Watchpoint Fault Address Register" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c14:1.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (Internal View)" bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " bitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" bitfld.long 0x00 25. " PIPEADV ,PIPEADV Processor Idle flag" "Not idle,Idle" bitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC access mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort" bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure" textline " " bitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "No,Yes" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "No,Yes" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " DBGNOPWRDWN ,Debug no power-down" "Low,High" textline " " bitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" bitfld.long 0x00 7. " ADABORT ,Asynchronous data abort" "Not aborted,Aborted" bitfld.long 0x00 6. " SDABORT ,Synchronous data abort" "Not aborted,Aborted" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." bitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" bitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" wgroup.long c14:5.++0x0 line.long 0x00 "DBGDTRTX,Debug Transmit/Receive Register (Internal View)" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c14:195.))&0x1)==0x1) group.long c14:1.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (Internal View)" bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " bitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" rbitfld.long 0x00 25. " PIPEADV ,PIPEADV Processor Idle flag" "Not idle,Idle" rbitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC access mode field" "Non-blocking,Stall,Fast,?..." rbitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort" rbitfld.long 0x00 18. " NS ,Non-secure state status" "Secure,Non-secure" textline " " rbitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "Enabled,Disabled" rbitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "Enabled,Disabled" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "Enabled,Disabled" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "Enabled,Disabled" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" rbitfld.long 0x00 9. " FS ,Fault status" "No exception,Exception" textline " " rbitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" rbitfld.long 0x00 7. " ADABORT_L ,Sticky Asynchronous Abort" "Not occurred,Occurred" rbitfld.long 0x00 6. " SDABORT_L ,Sticky Synchronous Data Abort" "Not occurred,Occurred" textline " " rbitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." rbitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" rbitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" else rgroup.long c14:1.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (Internal View)" rbitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" rbitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" rbitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " rbitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" rbitfld.long 0x00 25. " PIPEADV ,PIPEADV Processor Idle flag" "Not idle,Idle" rbitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC access mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort" rbitfld.long 0x00 18. " NS ,Non-secure state status" "Secure,Non-secure" textline " " rbitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "Enabled,Disabled" rbitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "Enabled,Disabled" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "Enabled,Disabled" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "Enabled,Disabled" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " FS ,Fault status" "No exception,Exception" textline " " rbitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" rbitfld.long 0x00 7. " ADABORT_L ,Sticky Asynchronous Abort" "Not occurred,Occurred" rbitfld.long 0x00 6. " SDABORT_L ,Sticky Synchronous Data Abort" "Not occurred,Occurred" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." rbitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" rbitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" endif wgroup.long c14:5.++0x0 line.long 0x00 "DBGDTRTX,Debug Transmit/Receive Register (Internal View)" endif group.long c14:0x7++0x0 line.long 0x00 "DBGVCR,Debug Vector Catch register" bitfld.long 0x00 31. " NSF ,FIQ vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 30. " NSI ,IRG vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 28. " NSD ,Data Abort vector catch in Non-secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 27. " NSP ,Prefetch Abort vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 26. " NSS ,SVC vector catch in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 25. " NSU ,Undefined instruction vector catch in Non-secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 23. " NSHF ,FIQ interrupt exception vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 22. " NSHI ,IRQ interrupt exception vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 21. " NSHE ,Hyp Trap or Hyp mode entry exception vector catch enable in Non-secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 20. " NSHD ,Data Abort, from Hyp mode exception vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 19. " NSHP ,Prefetch Abort, from Hyp mode exception vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 18. " NSHC ,Hypervisor Call. from Hyp mode exception vector catch enable in Non-secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 17. " NSHU ,Undefined Instruction, from Hyp mode exception vector catch enable in Non-secure state" "Disabled,Enabled" bitfld.long 0x00 15. " MF ,FIQ vector catch enable, in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 14. " MI ,IRQ vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" textline " " bitfld.long 0x00 12. " MD ,Data Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 11. " MP ,Prefetch Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled" bitfld.long 0x00 10. " MS ,SMC vector catch enable in Secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SF ,FIQ vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 6. " SI ,IRQ vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 4. " SD ,Data Abort vector catch in Secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 3. " SP ,Prefetch Abort vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 2. " SS ,SVC vector catch in Secure state" "Disabled,Enabled" bitfld.long 0x00 1. " SU ,Undefined instruction vector catch in Secure state" "Disabled,Enabled" textline " " bitfld.long 0x00 0. " R ,Reset vector catch enable" "Disabled,Enabled" group.long c14:9.++0x0 line.long 0x00 "DBGECR,Debug Event Catch Register" bitfld.long 0x00 0. " OSUC ,OS Unlock Catch" "Disabled,Enabled" group.long c14:32.++0x0 line.long 0x00 "DBGDTRRX,Debug Receive Register (External View)" wgroup.long c14:33.++0x0 line.long 0x00 "DBGITR,Debug Instruction Transfer Register" rgroup.long c14:33.++0x0 line.long 0x00 "DBGPCSR,Program Counter Sampling Register" hexmask.long 0x00 2.--31. 1. " PCS ,Program Counter sample value" bitfld.long 0x00 0.--1. " T ,Meaning of PC sample value" "ARM,Thumb,Reserved,Thumb" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c14:34.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (External View)" bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " bitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" bitfld.long 0x00 25. " PIPEADC ,PIPEADV Processor Idle flag" "Not idle,Idle" bitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC acces mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort" bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure" textline " " bitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "No,Yes" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "No,Yes" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " FS ,Fault Status" "Not caused,Caused" textline " " bitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" bitfld.long 0x00 7. " ADABORT ,Asynchronous data abort" "Not aborted,Aborted" bitfld.long 0x00 6. " SDABORT ,Synchronous data abort" "Not aborted,Aborted" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." bitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" bitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:34.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (External View)" bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " bitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" bitfld.long 0x00 25. " PIPEADC ,PIPEADV Processor Idle flag" "Not idle,Idle" bitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC acces mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort" bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure" textline " " bitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "No,Yes" bitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "No,Yes" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "No,Yes" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "No,Yes" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " DBGNOPWRDWN ,Debug no power-down" "Low,High" textline " " bitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" bitfld.long 0x00 7. " ADABORT ,Asynchronous data abort" "Not aborted,Aborted" bitfld.long 0x00 6. " SDABORT ,Synchronous data abort" "Not aborted,Aborted" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." bitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" bitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" elif (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c14:195.))&0x1)==0x1) group.long c14:34.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (External View)" bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" bitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " bitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" rbitfld.long 0x00 25. " PIPEADV ,Sticky Pipeline Advance bit" "Not idle,Idle" rbitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not complete,Complete" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC acces mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Discarded" bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure" textline " " rbitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "Enabled,Disabled" rbitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "Enabled,Disabled" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "Enabled,Disabled" textline " " bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "Enabled,Disabled" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " FS ,Fault status" "Low,High" textline " " rbitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" rbitfld.long 0x00 7. " ADABORT_L ,Sticky Asynchronous Abort" "Not occurred,Occurred" rbitfld.long 0x00 6. " SDABORT_L ,Sticky Synchronous Data Abort" "Not occurred,Occurred" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." rbitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" rbitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" else group.long c14:34.++0x0 line.long 0x00 "DBGDSCR,Debug Status and Control Register (External View)" rbitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full" rbitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full" rbitfld.long 0x00 27. " RXFULL_L ,Latched RXFULL bit" "Not latched,Latched" textline " " rbitfld.long 0x00 26. " TXFULL_L ,Latched TXFULL bit" "Not latched,Latched" rbitfld.long 0x00 25. " PIPEADV ,Sticky Pipeline Advance bit" "Not idle,Idle" rbitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not complete,Complete" textline " " bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC acces mode field" "Non-blocking,Stall,Fast,?..." bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Discarded" bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure" textline " " rbitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "Enabled,Disabled" rbitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "Enabled,Disabled" bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled" textline " " bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled" bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled" bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "Enabled,Disabled" textline " " rbitfld.long 0x00 11. " INTDIS ,Interrupt disable" "Enabled,Disabled" bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced" bitfld.long 0x00 9. " FS ,Fault status" "Low,High" textline " " rbitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred" rbitfld.long 0x00 7. " ADABORT_L ,Sticky Asynchronous Abort" "Not occurred,Occurred" rbitfld.long 0x00 6. " SDABORT_L ,Sticky Synchronous Data Abort" "Not occurred,Occurred" textline " " bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Asynchronous Watchpoint,BKPT Instruction,External Debug Request,Vector Catch,Reserved,Reserved,OS Unlock Catch,Reserved,Synchronous Watchpoint,?..." rbitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited" rbitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug" endif endif wgroup.long c14:35.++0x0 line.long 0x00 "DBGDTRTX,Debug Transmit Register (External View)" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") wgroup.long c14:36.++0x0 line.long 0x00 "DBGDRCR,Debug Run Control Register" bitfld.long 0x00 3. " CSPA ,Clear Sticky Pipeline Advance bit" "No effect,Clear" bitfld.long 0x00 2. " CSE ,Clear Sticky Exceptions bits" "No effect,Clear" bitfld.long 0x00 1. " RRQ ,Restart request" "No effect,Restart" bitfld.long 0x00 0. " HRQ ,Halt request" "No effect,Halt" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") wgroup.long c14:36.++0x0 line.long 0x00 "DBGDRCR,Debug Run Control Register" bitfld.long 0x00 4. " CBRRQ ,CBRRQ" "Low,High" bitfld.long 0x00 3. " CSPA ,Clear Sticky Pipeline Advance bit" "No effect,Clear" bitfld.long 0x00 2. " CSE ,Clear Sticky Exceptions bits" "No effect,Clear" textline " " bitfld.long 0x00 1. " RR ,Restart request" "No effect,Restart" bitfld.long 0x00 0. " HR ,Halt request" "No effect,Halt" endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") group.long c14:37.++0x0 line.long 0x00 "DBGEACR,Debug External Auxiliary Control Register" bitfld.long 0x00 3. " CDRS ,Core debug reset status" "No reset,Reset" bitfld.long 0x00 2. " DECRR ,Debug extend core reset request" "No request,Request" bitfld.long 0x00 1. " DPDO ,Debug power-down override" "Disabled,Enabled" bitfld.long 0x00 0. " DCSC ,Debug clock stop control" "Stopped,Running" textline " " elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:37.++0x0 line.long 0x00 "DBGEACR,Debug External Auxiliary Control Register" bitfld.long 0x00 3. " CDRS ,Core debug reset status" "No reset,Reset" endif rgroup.long c14:40.++0x0 line.long 0x00 "DBGPCSR,Program Counter Sampling Register" hexmask.long 0x00 2.--31. 1. " PCS ,Program Counter sample value" bitfld.long 0x00 0.--1. " T ,Meaning of PC sample value" "ARM,Thumb,?..." rgroup.long c14:41.++0x0 line.long 0x00 "DBGCIDSR,DBGCIDSR" if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c14:42.++0x0 line.long 0x00 "DBGVIDSR,Virtualization ID Sampling Register" bitfld.long 0x00 31. " NS ,NS state sample" "Secure,Non-secure" bitfld.long 0x00 30. " H ,Hyp mode sample" "Not associated,Associated" hexmask.long.byte 0x00 0.--7. 1. " VMID ,VMID sample" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c14:42.++0x0 line.long 0x00 "DBGVIDSR,DBGVIDSR" endif width 15. if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") textline " " wgroup.long c14:958.++0x0 line.long 0x00 "DBGITOCTRL,Debug Integration Output Control Register" bitfld.long 0x00 3. " NPMUIRQ ,Drives the nPMUIRQ output" "Low,High" bitfld.long 0x00 2. " CTI_PMUIRQ ,Drives the internal signal equivalent to PMUIRQ that goes from the Performance Monitor Unit (PMU) to the Cross Trigger Interface (CTI)" "Low,High" bitfld.long 0x00 1. " CTI_DBGRESTARTED ,Drives the internal signal that goes from the Debug unit to the CTI to acknowledge success of a debug restart command" "Low,High" bitfld.long 0x00 0. " CTI_DBGTRIGGER ,Drives the internal signal equivalent to DBGTRIGGER that goes from the Debug unit to the CTI" "Low,High" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") textline " " wgroup.long c14:958.++0x0 line.long 0x00 "DBGITMISCOUT,Integration Miscellaneous Signals Output Register" bitfld.long 0x00 9. " DBGRESTARTED ,Value of the DBGRESTARTED output pin" "Low,High" bitfld.long 0x00 4. " PMUIRQ ,Value of PMUIRQ output pin" "Low,High" bitfld.long 0x00 0. " DBGACK ,Value of the DBGACK output pin" "Low,High" endif if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE") rgroup.long c14:959.++0x0 line.long 0x00 "DBGITISR,Debug Integration Input Status Register" bitfld.long 0x00 3. " DBGSWENABLE ,Reads the state of the DBGSWENABLE input" "Low,High" bitfld.long 0x00 2. " CTI_DBGRESTART ,CTI debug restart" "Low,High" bitfld.long 0x00 1. " CTI_EDBGRQ ,CTI debug request" "Low,High" bitfld.long 0x00 0. " EDBGRQ ,Reads the state of the EDBGRQ input" "Low,High" elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") textline " " rgroup.long c14:959.++0x0 line.long 0x00 "DBGITMISCIN,Integration Miscellaneous Signals Input Register" bitfld.long 0x00 2. " NFIQ ,Read value of nFIQ input pin" "Low,High" bitfld.long 0x00 1. " NIRQ ,Read value of nIRQ input pin" "Low,High" bitfld.long 0x00 0. " EDBGRQ ,Read value of EDBGRQ input pin" "Low,High" endif if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") if (((d.l(c15:0x202))&0x80000000)==0x80000000) rgroup.quad c14:128.++0x1 line.quad 0x0 "DBGDRAR,Debug ROM Address Register" hexmask.quad 0x0 12.--39. 0x1000 " ROMADDR ,ROM physical address" bitfld.quad 0x0 0.--1. " VALID ,ROM table address valid" "Not valid,Reserved,Reserved,Valid" rgroup.quad c14:256.++0x1 line.quad 0x0 "DBGDSAR,Debug Self Address Offset Register" hexmask.quad 0x0 12.--39. 0x1000 " SELFOFFSET ,Debug bus self-address offset value" bitfld.quad 0x0 0.--1. " VALID ,Debug self address offset valid" "Not valid,Reserved,Reserved,Valid" else rgroup.long c14:128.++0x0 line.long 0x0 "DBGDRAR,Debug ROM Address Register" hexmask.long 0x0 12.--31. 0x1000 " ROMADDR ,ROM physical address" bitfld.long 0x0 0.--1. " VALID ,ROM table address valid" "Not valid,Reserved,Reserved,Valid" rgroup.long c14:256.++0x0 line.long 0x0 "DBGDSAR,Debug Self Address Offset Register" hexmask.long 0x0 12.--31. 0x1000 " SELFOFFSET ,Debug bus self-address offset value" bitfld.long 0x0 0.--1. " VALID ,Debug self address offset valid" "Not valid,Reserved,Reserved,Valid" endif group.long c14:195.++0x00 line.long 0x00 "DBGOSDLR,OS Double Lock Register" bitfld.long 0x00 0. " DLK ,OS Double Lock control bit" "Unlocked,Locked" else hgroup.quad c14:128.++0x1 hide.quad 0x0 "DBGDRAR,Debug ROM Address Register" hgroup.quad c14:256.++0x1 hide.quad 0x0 "DBGDSAR,Debug Self Address Offset Register" hgroup.long c14:195.++0x00 hide.long 0x00 "DBGOSDLR,OS Double Lock Register" endif wgroup.long c14:192.++0x00 line.long 0x00 "DBGOSLAR,Operating System Lock Access Register" rgroup.long c14:193.++0x00 line.long 0x00 "DBGOSLSR,Operating System Lock Status Register" bitfld.long 0x00 1. " OSLK ,Status of the OS Lock" "Not locked,Locked" bitfld.long 0x00 0. 3. " OSLM ,OS Lock Model implemented Bit" "Reserved,Reserved,W/o DBGOSSRR,?..." group.long c14:196.++0x00 line.long 0x00 "DBGPRCR,Device Power-Down and Reset Control Register" bitfld.long 0x00 3. " COREPURQ ,Core Power Up Request" "Low,High" bitfld.long 0x00 2. " HCWR ,Hold Core Warm Reset" "No reset,Reset" bitfld.long 0x00 1. " CWRR ,Core Warm Reset Request" "Not requested,Requested" textline " " bitfld.long 0x00 0. " CORENPDRQ ,Core No Power down Request" "Low,High" rgroup.long c14:197.++0x0 line.long 0x00 "DBGPRSR,Device Power-down and Reset Status Register" bitfld.long 0x00 6. " DLK ,OS Double Lock status" "Low,High" bitfld.long 0x00 5. " OSLK ,OS Lock status" "Low,High" bitfld.long 0x00 4. " HALTED ,Halted" "Low,High" textline " " bitfld.long 0x00 3. " SR ,Sticky Reset Status" "Low,High" bitfld.long 0x00 2. " RS ,Reset Status" "Low,High" bitfld.long 0x00 1. " SPD ,Sticky Power-down Status" "Low,High" textline " " bitfld.long 0x00 0. " PU ,Power-up Status" "Low,High" tree "Processor ID registers" rgroup.long c14:(832.+0.)++0x00 line.long 0x00 "PIDR0,Processor ID register 0" rgroup.long c14:(832.+1.)++0x00 line.long 0x00 "PIDR1,Processor ID register 1" rgroup.long c14:(832.+2.)++0x00 line.long 0x00 "PIDR2,Processor ID register 2" rgroup.long c14:(832.+3.)++0x00 line.long 0x00 "PIDR3,Processor ID register 3" rgroup.long c14:(832.+4.)++0x00 line.long 0x00 "PIDR4,Processor ID register 4" rgroup.long c14:(832.+5.)++0x00 line.long 0x00 "PIDR5,Processor ID register 5" rgroup.long c14:(832.+6.)++0x00 line.long 0x00 "PIDR6,Processor ID register 6" rgroup.long c14:(832.+7.)++0x00 line.long 0x00 "PIDR7,Processor ID register 7" rgroup.long c14:(832.+8.)++0x00 line.long 0x00 "PIDR8,Processor ID register 8" rgroup.long c14:(832.+9.)++0x00 line.long 0x00 "PIDR9,Processor ID register 9" rgroup.long c14:(832.+10.)++0x00 line.long 0x00 "PIDR10,Processor ID register 10" rgroup.long c14:(832.+11.)++0x00 line.long 0x00 "PIDR11,Processor ID register 11" rgroup.long c14:(832.+12.)++0x00 line.long 0x00 "PIDR12,Processor ID register 12" rgroup.long c14:(832.+13.)++0x00 line.long 0x00 "PIDR13,Processor ID register 13" rgroup.long c14:(832.+14.)++0x00 line.long 0x00 "PIDR14,Processor ID register 14" rgroup.long c14:(832.+15.)++0x00 line.long 0x00 "PIDR15,Processor ID register 15" rgroup.long c14:(832.+16.)++0x00 line.long 0x00 "PIDR16,Processor ID register 16" rgroup.long c14:(832.+17.)++0x00 line.long 0x00 "PIDR17,Processor ID register 17" rgroup.long c14:(832.+18.)++0x00 line.long 0x00 "PIDR18,Processor ID register 18" rgroup.long c14:(832.+19.)++0x00 line.long 0x00 "PIDR19,Processor ID register 19" rgroup.long c14:(832.+20.)++0x00 line.long 0x00 "PIDR20,Processor ID register 20" rgroup.long c14:(832.+21.)++0x00 line.long 0x00 "PIDR21,Processor ID register 21" rgroup.long c14:(832.+22.)++0x00 line.long 0x00 "PIDR22,Processor ID register 22" rgroup.long c14:(832.+23.)++0x00 line.long 0x00 "PIDR23,Processor ID register 23" rgroup.long c14:(832.+24.)++0x00 line.long 0x00 "PIDR24,Processor ID register 24" rgroup.long c14:(832.+25.)++0x00 line.long 0x00 "PIDR25,Processor ID register 25" rgroup.long c14:(832.+26.)++0x00 line.long 0x00 "PIDR26,Processor ID register 26" rgroup.long c14:(832.+27.)++0x00 line.long 0x00 "PIDR27,Processor ID register 27" rgroup.long c14:(832.+28.)++0x00 line.long 0x00 "PIDR28,Processor ID register 28" rgroup.long c14:(832.+29.)++0x00 line.long 0x00 "PIDR29,Processor ID register 29" rgroup.long c14:(832.+30.)++0x00 line.long 0x00 "PIDR30,Processor ID register 30" rgroup.long c14:(832.+31.)++0x00 line.long 0x00 "PIDR31,Processor ID register 31" rgroup.long c14:(832.+32.)++0x00 line.long 0x00 "PIDR32,Processor ID register 32" rgroup.long c14:(832.+33.)++0x00 line.long 0x00 "PIDR33,Processor ID register 33" rgroup.long c14:(832.+34.)++0x00 line.long 0x00 "PIDR34,Processor ID register 34" rgroup.long c14:(832.+35.)++0x00 line.long 0x00 "PIDR35,Processor ID register 35" rgroup.long c14:(832.+36.)++0x00 line.long 0x00 "PIDR36,Processor ID register 36" rgroup.long c14:(832.+37.)++0x00 line.long 0x00 "PIDR37,Processor ID register 37" rgroup.long c14:(832.+38.)++0x00 line.long 0x00 "PIDR38,Processor ID register 38" rgroup.long c14:(832.+39.)++0x00 line.long 0x00 "PIDR39,Processor ID register 39" rgroup.long c14:(832.+40.)++0x00 line.long 0x00 "PIDR40,Processor ID register 40" rgroup.long c14:(832.+41.)++0x00 line.long 0x00 "PIDR41,Processor ID register 41" rgroup.long c14:(832.+42.)++0x00 line.long 0x00 "PIDR42,Processor ID register 42" rgroup.long c14:(832.+43.)++0x00 line.long 0x00 "PIDR43,Processor ID register 43" rgroup.long c14:(832.+44.)++0x00 line.long 0x00 "PIDR44,Processor ID register 44" rgroup.long c14:(832.+45.)++0x00 line.long 0x00 "PIDR45,Processor ID register 45" rgroup.long c14:(832.+46.)++0x00 line.long 0x00 "PIDR46,Processor ID register 46" rgroup.long c14:(832.+47.)++0x00 line.long 0x00 "PIDR47,Processor ID register 47" rgroup.long c14:(832.+48.)++0x00 line.long 0x00 "PIDR48,Processor ID register 48" rgroup.long c14:(832.+49.)++0x00 line.long 0x00 "PIDR49,Processor ID register 49" rgroup.long c14:(832.+50.)++0x00 line.long 0x00 "PIDR50,Processor ID register 50" rgroup.long c14:(832.+51.)++0x00 line.long 0x00 "PIDR51,Processor ID register 51" rgroup.long c14:(832.+52.)++0x00 line.long 0x00 "PIDR52,Processor ID register 52" rgroup.long c14:(832.+53.)++0x00 line.long 0x00 "PIDR53,Processor ID register 53" rgroup.long c14:(832.+54.)++0x00 line.long 0x00 "PIDR54,Processor ID register 54" rgroup.long c14:(832.+55.)++0x00 line.long 0x00 "PIDR55,Processor ID register 55" rgroup.long c14:(832.+56.)++0x00 line.long 0x00 "PIDR56,Processor ID register 56" rgroup.long c14:(832.+57.)++0x00 line.long 0x00 "PIDR57,Processor ID register 57" rgroup.long c14:(832.+58.)++0x00 line.long 0x00 "PIDR58,Processor ID register 58" rgroup.long c14:(832.+59.)++0x00 line.long 0x00 "PIDR59,Processor ID register 59" rgroup.long c14:(832.+60.)++0x00 line.long 0x00 "PIDR60,Processor ID register 60" rgroup.long c14:(832.+61.)++0x00 line.long 0x00 "PIDR61,Processor ID register 61" rgroup.long c14:(832.+62.)++0x00 line.long 0x00 "PIDR62,Processor ID register 62" rgroup.long c14:(832.+63.)++0x00 line.long 0x00 "PIDR63,Processor ID register 63" tree.end tree "Coresight Management Registers" group.long c14:960.++0x0 line.long 0x00 "DBGITCTRL,Debug Integration Mode Control Register" bitfld.long 0x00 0. " IME ,Integration Mode Enable" "Disabled,Enabled" group.long c14:1000.++0x0 line.long 0x0 "DBGCLAIMSET,Claim Tag Set Register" bitfld.long 0x0 7. " CT7 ,Claim Tag 7 Set" "Not set,Set" bitfld.long 0x0 6. " CT6 ,Claim Tag 6 Set" "Not set,Set" bitfld.long 0x0 5. " CT5 ,Claim Tag 5 Set" "Not set,Set" textline " " bitfld.long 0x0 4. " CT4 ,Claim Tag 4 Set" "Not set,Set" bitfld.long 0x0 3. " CT3 ,Claim Tag 3 Set" "Not set,Set" bitfld.long 0x0 2. " CT2 ,Claim Tag 2 Set" "Not set,Set" textline " " bitfld.long 0x0 1. " CT1 ,Claim Tag 1 Set" "Not set,Set" bitfld.long 0x0 0. " CT0 ,Claim Tag 0 Set" "Not set,Set" group.long c14:1001.++0x0 line.long 0x0 "DBGCLAIMCLR,Claim Tag Clear Register" bitfld.long 0x0 7. " CT7 ,Claim Tag 7 Clear" "Not cleared,Cleared" bitfld.long 0x0 6. " CT6 ,Claim Tag 6 Clear" "Not cleared,Cleared" bitfld.long 0x0 5. " CT5 ,Claim Tag 5 Clear" "Not cleared,Cleared" textline " " bitfld.long 0x0 4. " CT4 ,Claim Tag 4 Clear" "Not cleared,Cleared" bitfld.long 0x0 3. " CT3 ,Claim Tag 3 Clear" "Not cleared,Cleared" bitfld.long 0x0 2. " CT2 ,Claim Tag 2 Clear" "Not cleared,Cleared" textline " " bitfld.long 0x0 1. " CT1 ,Claim Tag 1 Clear" "Not cleared,Cleared" bitfld.long 0x0 0. " CT0 ,Claim Tag 0 Clear" "Not cleared,Cleared" wgroup.long c14:1004.++0x00 line.long 0x00 "DBGLAR,Lock Access Register" rgroup.long c14:1005.++0x00 line.long 0x00 "DBGLSR,Lock Status Register" bitfld.long 0x00 2. " NTT ,Not 32-bit access" "32-bit,Not 32-bit" bitfld.long 0x00 1. " SLK ,Software Lock status" "Not locked,Locked" bitfld.long 0x00 0. " SLI ,Software Lock Implemented" "Not implemented,Implemented" textline " " rgroup.long c14:1006.++0x0 line.long 0x0 "DBGAUTHSTATUS,Debug Authentication Status Register" bitfld.long 0x00 7. " SNI ,Secure non-invasive debug features implementation" "No effect,Implemented" bitfld.long 0x00 6. " SNE ,Secure non-invasive debug enable (DBGEN OR NIDEN) AND (SPIDEN OR SPNIDEN)" "Disabled,Enabled" bitfld.long 0x00 5. " SI ,Secure invasive debug features implementation" "No effect,Implemented" textline " " bitfld.long 0x00 4. " SE ,Secure invasive debug enable (DBGEN AND SPIDEN)" "Disabled,Enabled" bitfld.long 0x00 3. " NSNI ,Non-secure non-invasive debug features implementation" "Not implemented,Implemented" bitfld.long 0x00 2. " NSNE ,Non-secure non-invasive debug enable (DBGEN OR NIDEN)" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " NSI ,Non-secure invasive debug features implementation" "Not implemented,Implemented" bitfld.long 0x00 0. " NSE ,Non-secure invasive debug enable (DBGEN)" "Disabled,Enabled" textline " " if (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") rgroup.long c14:1009.++0x0 line.long 0x0 "DBGDEVID1,Debug Device ID Register 1" bitfld.long 0x00 0.--3. " PCSROFFSET ,Defines the offset applied to DBGPCSR samples" "Depends on instr set state,No offset,?..." elif (corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") rgroup.long c14:1009.++0x0 line.long 0x0 "DBGDEVID1,Debug Device ID Register 1" bitfld.long 0x00 0.--3. " PCSROFFSET ,Defines the offset applied to DBGPCSR samples" "Reserved,No offset,?..." endif textline " " rgroup.long c14:1010.++0x0 line.long 0x0 "DBGDEVID0,Debug Device ID Register 0" bitfld.long 0x0 24.--27. " AR ,Debug External Auxiliary Control Register support status" "Reserved,Supported,?..." bitfld.long 0x0 20.--23. " DL ,Support for Debug OS Double Lock Register" "Reserved,Supported,?..." bitfld.long 0x0 16.--19. " VE ,Specifies implementation of Virtualization Extension" "Reserved,Implemented,?..." textline " " bitfld.long 0x0 12.--15. " VC ,Form of the vector catch event implemented" "Implemented,?..." bitfld.long 0x0 8.--11. " BPAM ,Level of support for Immediate Virtual Address matching breakpoint masking capability" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented" bitfld.long 0x0 4.--7. " WPAM ,Level of support for the DVA matching watchpoint masking capability" "Reserved,Implemented,?..." textline " " bitfld.long 0x0 0.--3. " PCS ,Level of support for Program Counter sampling using debug registers 40 and 41" "Reserved,Reserved,Reserved,Implemented,?..." textline " " rgroup.long c14:1011.++0x00 line.long 0x00 "DBGDEVTYPE,Debug Device Type Register" bitfld.long 0x00 4.--7. " T ,Sub type" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " C ,Main class" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long c14:1016.++0x00 line.long 0x00 "DBGPID0,Debug Peripheral ID 0" hexmask.long.byte 0x00 0.--7. 1. " PN[7:0] ,Part Number [7:0]" rgroup.long c14:1017.++0x00 line.long 0x00 "DBGPID1,Debug Peripheral ID 1" hexmask.long.byte 0x00 4.--7. 1. " JEPID[3:0] ,JEP Identity Code[3:0]" hexmask.long.byte 0x00 0.--3. 1. " PN[11:8] ,Part Number [11:8]" rgroup.long c14:1018.++0x00 line.long 0x00 "DBGPID2,Debug Peripheral ID 2" hexmask.long.byte 0x00 4.--7. 1. " REV ,Revision" bitfld.long 0x00 3. " UJEPCODE ,Uses JEP Code" "Disabled,Enabled" hexmask.long.byte 0x00 0.--2. 1. " JEPID[6:4] ,JEP Identity Code[6:4]" rgroup.long c14:1019.++0x00 line.long 0x00 "DBGPID3,Debug Peripheral ID 3" hexmask.long.byte 0x00 4.--7. 1. " REVAND ,Manufacturing revision" hexmask.long.byte 0x00 0.--3. 1. " CM ,Customer modified" rgroup.long c14:1012.++0x00 line.long 0x00 "DBGPID4,Debug Peripheral ID 4" hexmask.long.byte 0x00 4.--7. 1. " 4KB_COUNT ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CONT_CODE ,JEP 106 Continuation code" rgroup.long c14:1020.++0x00 line.long 0x00 "DBGCID0,Debug Component ID 0" hexmask.long.byte 0x00 0.--7. 1. " PREAMBLE ,Preamble byte 0" rgroup.long c14:1021.++0x00 line.long 0x00 "DBGCID1,Debug Component ID 1" hexmask.long.byte 0x00 4.--7. 1. " CC ,Component class" hexmask.long.byte 0x00 0.--3. 1. " PREAMBLE ,Preamble byte 1" rgroup.long c14:1022.++0x00 line.long 0x00 "DBGCID2,Debug Component ID 2" hexmask.long.byte 0x00 0.--7. 1. " PREAMBLE ,Preamble byte 2" rgroup.long c14:1023.++0x00 line.long 0x00 "DBGCID3,Debug Component ID 3" hexmask.long.byte 0x00 0.--7. 1. " PREAMBLE ,Preamble byte 3" tree.end tree.end width 10. tree "Breakpoint Registers" if ((d.l(c14:80.+0.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+0.)++0x0 line.long 0x00 "DBGBVR0,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+0.)++0x0 line.long 0x00 "DBGBVR0,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+0.)++0x0 line.long 0x00 "DBGBCR0,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" if ((d.l(c14:80.+1.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+1.)++0x0 line.long 0x00 "DBGBVR1,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+1.)++0x0 line.long 0x00 "DBGBVR1,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+1.)++0x0 line.long 0x00 "DBGBCR1,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" if ((d.l(c14:80.+2.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+2.)++0x0 line.long 0x00 "DBGBVR2,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+2.)++0x0 line.long 0x00 "DBGBVR2,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+2.)++0x0 line.long 0x00 "DBGBCR2,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" if ((d.l(c14:80.+3.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+3.)++0x0 line.long 0x00 "DBGBVR3,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+3.)++0x0 line.long 0x00 "DBGBVR3,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+3.)++0x0 line.long 0x00 "DBGBCR3,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" if ((d.l(c14:80.+4.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+4.)++0x0 line.long 0x00 "DBGBVR4,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+4.)++0x0 line.long 0x00 "DBGBVR4,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+4.)++0x0 line.long 0x00 "DBGBCR4,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" if ((d.l(c14:80.+5.)&0x500000)==(0x500000||0x400000||0x100000||0x0)) group.long c14:(64.+5.)++0x0 line.long 0x00 "DBGBVR5,Breakpoint Value Register(Address comparison)" hexmask.long 0x00 2.--31. 0x4 " INSTADDR ,Address value for comparison. Bits 2-31" else group.long c14:(64.+5.)++0x0 line.long 0x00 "DBGBVR5,Breakpoint Value Register(Context matching)" endif group.long c14:(80.+5.)++0x0 line.long 0x00 "DBGBCR5,Breakpoint Control Register" bitfld.long 0x00 20.--23. " BT ,Breakpoint Type. Match - m / Mismatch - mm" "Unlinked instr addr m,Linked instr addr m,Unlinked Context ID m,Linked Context ID m,Unlinked instr addr mm,Linked instr addr mm,Reserved,Reserved,Unlinked VMID m,Linked VMID m,Unlinked VMID/Context ID m,Linked VMID/Context ID m,?..." bitfld.long 0x00 16.--19. " LBN ,Linked Breakpoint Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 14.--15. " SSC ,Security state control" "Both,Non-secure,Secure,Non-secure" textline " " bitfld.long 0x00 13. " HMC ,Hyp mode control bit" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x00 1.--2. " PMC ,Privileged mode control" "User/System/Supervisor/Hyp,PL1/Hyp,User only,Any mode" bitfld.long 0x00 0. " E ,Breakpoint enable" "Disabled,Enabled" group.long c14:148.++0x0 line.long 0x00 "DBGBXVR0,Debug Breakpoint Extended Value Register" hexmask.long.byte 0x00 0.--7. 1. " VMID , VMID value" group.long c14:149.++0x0 line.long 0x00 "DBGBXVR1,Debug Breakpoint Extended Value Register" hexmask.long.byte 0x00 0.--7. 1. " VMID , VMID value" tree.end width 10. tree "Watchpoint Control Registers" group.long c14:(96.+0.)++0x00 line.long 0x00 "DBGWVR0,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 " DA ,Data address" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c14:(112.+0.)++0x00 line.long 0x00 "DBGWCR0,Watchpoint Control Register" bitfld.long 0x0 24.--28. " Mask ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Unlinked data addr match,Linked data addr match" bitfld.long 0x0 16.--19. " LBN ,Linked breakpoint number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,Non-secure" bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Hyp,Privileged,Unprivileged,Any" bitfld.long 0x0 0. " E ,Watchpoint enable" "Disabled,Enabled" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:(112.+0.)++0x00 line.long 0x00 "DBGWCR0,Watchpoint Control Register" bitfld.long 0x0 24.--28. " MASK ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Disabled,Enabled" bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "Disabled,Enabled" bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1" bitfld.long 0x0 11. ",Byte 6 address select" "0,1" bitfld.long 0x0 10. ",Byte 5 address select" "0,1" bitfld.long 0x0 9. ",Byte 4 address select" "0,1" bitfld.long 0x0 8. ",Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" textline " " bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled" endif group.long c14:(96.+1.)++0x00 line.long 0x00 "DBGWVR1,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 " DA ,Data address" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c14:(112.+1.)++0x00 line.long 0x00 "DBGWCR1,Watchpoint Control Register" bitfld.long 0x0 24.--28. " Mask ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Unlinked data addr match,Linked data addr match" bitfld.long 0x0 16.--19. " LBN ,Linked breakpoint number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,Non-secure" bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Hyp,Privileged,Unprivileged,Any" bitfld.long 0x0 0. " E ,Watchpoint enable" "Disabled,Enabled" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:(112.+1.)++0x00 line.long 0x00 "DBGWCR1,Watchpoint Control Register" bitfld.long 0x0 24.--28. " MASK ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Disabled,Enabled" bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "Disabled,Enabled" bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1" bitfld.long 0x0 11. ",Byte 6 address select" "0,1" bitfld.long 0x0 10. ",Byte 5 address select" "0,1" bitfld.long 0x0 9. ",Byte 4 address select" "0,1" bitfld.long 0x0 8. ",Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" textline " " bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled" endif group.long c14:(96.+2.)++0x00 line.long 0x00 "DBGWVR2,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 " DA ,Data address" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c14:(112.+2.)++0x00 line.long 0x00 "DBGWCR2,Watchpoint Control Register" bitfld.long 0x0 24.--28. " Mask ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Unlinked data addr match,Linked data addr match" bitfld.long 0x0 16.--19. " LBN ,Linked breakpoint number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,Non-secure" bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Hyp,Privileged,Unprivileged,Any" bitfld.long 0x0 0. " E ,Watchpoint enable" "Disabled,Enabled" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:(112.+2.)++0x00 line.long 0x00 "DBGWCR2,Watchpoint Control Register" bitfld.long 0x0 24.--28. " MASK ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Disabled,Enabled" bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "Disabled,Enabled" bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1" bitfld.long 0x0 11. ",Byte 6 address select" "0,1" bitfld.long 0x0 10. ",Byte 5 address select" "0,1" bitfld.long 0x0 9. ",Byte 4 address select" "0,1" bitfld.long 0x0 8. ",Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" textline " " bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled" endif group.long c14:(96.+3.)++0x00 line.long 0x00 "DBGWVR3,Watchpoint Value Register" hexmask.long 0x00 2.--31. 0x4 " DA ,Data address" if (corename()=="CORTEXA17"||corename()=="CORTEXA17MPCORE") group.long c14:(112.+3.)++0x00 line.long 0x00 "DBGWCR3,Watchpoint Control Register" bitfld.long 0x0 24.--28. " Mask ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Unlinked data addr match,Linked data addr match" bitfld.long 0x0 16.--19. " LBN ,Linked breakpoint number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,Non-secure" bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "0,1" bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Hyp,Privileged,Unprivileged,Any" bitfld.long 0x0 0. " E ,Watchpoint enable" "Disabled,Enabled" elif (corename()=="CORTEXA15"||corename()=="CORTEXA15MPCORE"||corename()=="CORTEXA7"||corename()=="CORTEXA7MPCORE") group.long c14:(112.+3.)++0x00 line.long 0x00 "DBGWCR3,Watchpoint Control Register" bitfld.long 0x0 24.--28. " MASK ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF" bitfld.long 0x0 20. " WT ,Watchpoint Type" "Disabled,Enabled" bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15" textline " " bitfld.long 0x0 14.--15. " SSC ,Secure state control" "Both,Non-secure,Secure,?..." bitfld.long 0x0 13. " HMC ,Hyp Mode Control" "Disabled,Enabled" bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1" bitfld.long 0x0 11. ",Byte 6 address select" "0,1" bitfld.long 0x0 10. ",Byte 5 address select" "0,1" bitfld.long 0x0 9. ",Byte 4 address select" "0,1" bitfld.long 0x0 8. ",Byte 3 address select" "0,1" bitfld.long 0x0 7. ",Byte 2 address select" "0,1" bitfld.long 0x0 6. ",Byte 1 address select" "0,1" bitfld.long 0x0 5. ",Byte 0 address select" "0,1" textline " " bitfld.long 0x0 3.--4. " LSC ,Load/Store access control" "Reserved,Load,Store,Any" bitfld.long 0x0 1.--2. " PAC ,Privileged Access control" "Reserved,Match privileged accesses,Match unprivileged accesses,Match all accesses" textline " " bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled" endif tree.end width 0xb base AD:(data.long(c15:0x400F)&0xffff8000) tree "Interrupt Controller" width 17. group.long 0x1000++0x03 "Interrupt Controller Distributor" line.long 0x00 "GICD_CTLR,Distributor Control Register" bitfld.long 0x00 0. " ENABLE ,Global Interrupt Enable" "Disabled,Enabled" rgroup.long 0x1004++0x03 line.long 0x00 "GICD_ICTR,Interrupt Controller Type Register" bitfld.long 0x00 11.--15. " LSPI ,Locable Shared Peripheral Interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. " SECURITYEXTN ,Indicate whether interrupt controller implements the security extensions" "No effect,Implemented" textline " " bitfld.long 0x00 5.--7. " CPUNUMBER ,Indicates the number of implemented CPU interfaces" "1,2,3,4,?..." bitfld.long 0x00 0.--4. " ITLN ,Indicates the number of interrupts that the interrupt controller supports" "up to 32/0 external,up to 64/32 external,up to 96/64 external,up to 128/96 external,up to 160/128 external,up to 192/160 external,up to 224/192 external,up to 256/224 external,?..." rgroup.long 0x1008++0x03 line.long 0x00 "GICD_IIDR,Distributor Implementer Identfication Register" hexmask.long.byte 0x00 24.--31. 1. " PRODID ,Product ID" bitfld.long 0x00 16.--19. " VAR ,Major revison number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 12.--15. " REV ,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" tree "Group Registers" group.long 0x1080++0x03 line.long 0x0 "GICD_IGROUPR0,Interrupt Group Register 0" bitfld.long 0x00 31. " GSB31 ,Group Status Bit 31" "Group 0,Group 1" bitfld.long 0x00 30. " GSB30 ,Group Status Bit 30" "Group 0,Group 1" bitfld.long 0x00 29. " GSB29 ,Group Status Bit 29" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB28 ,Group Status Bit 28" "Group 0,Group 1" bitfld.long 0x00 27. " GSB27 ,Group Status Bit 27" "Group 0,Group 1" bitfld.long 0x00 26. " GSB26 ,Group Status Bit 26" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB25 ,Group Status Bit 25" "Group 0,Group 1" bitfld.long 0x00 24. " GSB24 ,Group Status Bit 24" "Group 0,Group 1" bitfld.long 0x00 23. " GSB23 ,Group Status Bit 23" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB22 ,Group Status Bit 22" "Group 0,Group 1" bitfld.long 0x00 21. " GSB21 ,Group Status Bit 21" "Group 0,Group 1" bitfld.long 0x00 20. " GSB20 ,Group Status Bit 20" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB19 ,Group Status Bit 19" "Group 0,Group 1" bitfld.long 0x00 18. " GSB18 ,Group Status Bit 18" "Group 0,Group 1" bitfld.long 0x00 17. " GSB17 ,Group Status Bit 17" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB16 ,Group Status Bit 16" "Group 0,Group 1" bitfld.long 0x00 15. " GSB15 ,Group Status Bit 15" "Group 0,Group 1" bitfld.long 0x00 14. " GSB14 ,Group Status Bit 14" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB13 ,Group Status Bit 13" "Group 0,Group 1" bitfld.long 0x00 12. " GSB12 ,Group Status Bit 12" "Group 0,Group 1" bitfld.long 0x00 11. " GSB11 ,Group Status Bit 11" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB10 ,Group Status Bit 10" "Group 0,Group 1" bitfld.long 0x00 9. " GSB9 ,Group Status Bit 9" "Group 0,Group 1" bitfld.long 0x00 8. " GSB8 ,Group Status Bit 8" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB7 ,Group Status Bit 7" "Group 0,Group 1" bitfld.long 0x00 6. " GSB6 ,Group Status Bit 6" "Group 0,Group 1" bitfld.long 0x00 5. " GSB5 ,Group Status Bit 5" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB4 ,Group Status Bit 4" "Group 0,Group 1" bitfld.long 0x00 3. " GSB3 ,Group Status Bit 3" "Group 0,Group 1" bitfld.long 0x00 2. " GSB2 ,Group Status Bit 2" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB1 ,Group Status Bit 1" "Group 0,Group 1" bitfld.long 0x00 0. " GSB0 ,Group Status Bit 0" "Group 0,Group 1" group.long 0x1084++0x03 line.long 0x0 "GICD_IGROUPR1,Interrupt Group Register 1" bitfld.long 0x00 31. " GSB63 ,Group Status Bit 63" "Group 0,Group 1" bitfld.long 0x00 30. " GSB62 ,Group Status Bit 62" "Group 0,Group 1" bitfld.long 0x00 29. " GSB61 ,Group Status Bit 61" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB60 ,Group Status Bit 60" "Group 0,Group 1" bitfld.long 0x00 27. " GSB59 ,Group Status Bit 59" "Group 0,Group 1" bitfld.long 0x00 26. " GSB58 ,Group Status Bit 58" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB57 ,Group Status Bit 57" "Group 0,Group 1" bitfld.long 0x00 24. " GSB56 ,Group Status Bit 56" "Group 0,Group 1" bitfld.long 0x00 23. " GSB55 ,Group Status Bit 55" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB54 ,Group Status Bit 54" "Group 0,Group 1" bitfld.long 0x00 21. " GSB53 ,Group Status Bit 53" "Group 0,Group 1" bitfld.long 0x00 20. " GSB52 ,Group Status Bit 52" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB51 ,Group Status Bit 51" "Group 0,Group 1" bitfld.long 0x00 18. " GSB50 ,Group Status Bit 50" "Group 0,Group 1" bitfld.long 0x00 17. " GSB49 ,Group Status Bit 49" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB48 ,Group Status Bit 48" "Group 0,Group 1" bitfld.long 0x00 15. " GSB47 ,Group Status Bit 47" "Group 0,Group 1" bitfld.long 0x00 14. " GSB46 ,Group Status Bit 46" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB45 ,Group Status Bit 45" "Group 0,Group 1" bitfld.long 0x00 12. " GSB44 ,Group Status Bit 44" "Group 0,Group 1" bitfld.long 0x00 11. " GSB43 ,Group Status Bit 43" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB42 ,Group Status Bit 42" "Group 0,Group 1" bitfld.long 0x00 9. " GSB41 ,Group Status Bit 41" "Group 0,Group 1" bitfld.long 0x00 8. " GSB40 ,Group Status Bit 40" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB39 ,Group Status Bit 39" "Group 0,Group 1" bitfld.long 0x00 6. " GSB38 ,Group Status Bit 38" "Group 0,Group 1" bitfld.long 0x00 5. " GSB37 ,Group Status Bit 37" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB36 ,Group Status Bit 36" "Group 0,Group 1" bitfld.long 0x00 3. " GSB35 ,Group Status Bit 35" "Group 0,Group 1" bitfld.long 0x00 2. " GSB34 ,Group Status Bit 34" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB33 ,Group Status Bit 33" "Group 0,Group 1" bitfld.long 0x00 0. " GSB32 ,Group Status Bit 32" "Group 0,Group 1" group.long 0x1088++0x03 line.long 0x0 "GICD_IGROUPR2,Interrupt Group Register 2" bitfld.long 0x00 31. " GSB95 ,Group Status Bit 95" "Group 0,Group 1" bitfld.long 0x00 30. " GSB94 ,Group Status Bit 94" "Group 0,Group 1" bitfld.long 0x00 29. " GSB93 ,Group Status Bit 93" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB92 ,Group Status Bit 92" "Group 0,Group 1" bitfld.long 0x00 27. " GSB91 ,Group Status Bit 91" "Group 0,Group 1" bitfld.long 0x00 26. " GSB90 ,Group Status Bit 90" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB89 ,Group Status Bit 89" "Group 0,Group 1" bitfld.long 0x00 24. " GSB88 ,Group Status Bit 88" "Group 0,Group 1" bitfld.long 0x00 23. " GSB87 ,Group Status Bit 87" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB86 ,Group Status Bit 86" "Group 0,Group 1" bitfld.long 0x00 21. " GSB85 ,Group Status Bit 85" "Group 0,Group 1" bitfld.long 0x00 20. " GSB84 ,Group Status Bit 84" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB83 ,Group Status Bit 83" "Group 0,Group 1" bitfld.long 0x00 18. " GSB82 ,Group Status Bit 82" "Group 0,Group 1" bitfld.long 0x00 17. " GSB81 ,Group Status Bit 81" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB80 ,Group Status Bit 80" "Group 0,Group 1" bitfld.long 0x00 15. " GSB79 ,Group Status Bit 79" "Group 0,Group 1" bitfld.long 0x00 14. " GSB78 ,Group Status Bit 78" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB77 ,Group Status Bit 77" "Group 0,Group 1" bitfld.long 0x00 12. " GSB76 ,Group Status Bit 76" "Group 0,Group 1" bitfld.long 0x00 11. " GSB75 ,Group Status Bit 75" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB74 ,Group Status Bit 74" "Group 0,Group 1" bitfld.long 0x00 9. " GSB73 ,Group Status Bit 73" "Group 0,Group 1" bitfld.long 0x00 8. " GSB72 ,Group Status Bit 72" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB71 ,Group Status Bit 71" "Group 0,Group 1" bitfld.long 0x00 6. " GSB70 ,Group Status Bit 70" "Group 0,Group 1" bitfld.long 0x00 5. " GSB69 ,Group Status Bit 69" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB68 ,Group Status Bit 68" "Group 0,Group 1" bitfld.long 0x00 3. " GSB67 ,Group Status Bit 67" "Group 0,Group 1" bitfld.long 0x00 2. " GSB66 ,Group Status Bit 66" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB65 ,Group Status Bit 65" "Group 0,Group 1" bitfld.long 0x00 0. " GSB64 ,Group Status Bit 64" "Group 0,Group 1" group.long 0x108C++0x03 line.long 0x0 "GICD_IGROUPR3,Interrupt Group Register 3" bitfld.long 0x00 31. " GSB127 ,Group Status Bit 127" "Group 0,Group 1" bitfld.long 0x00 30. " GSB126 ,Group Status Bit 126" "Group 0,Group 1" bitfld.long 0x00 29. " GSB125 ,Group Status Bit 125" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB124 ,Group Status Bit 124" "Group 0,Group 1" bitfld.long 0x00 27. " GSB123 ,Group Status Bit 123" "Group 0,Group 1" bitfld.long 0x00 26. " GSB122 ,Group Status Bit 122" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB121 ,Group Status Bit 121" "Group 0,Group 1" bitfld.long 0x00 24. " GSB120 ,Group Status Bit 120" "Group 0,Group 1" bitfld.long 0x00 23. " GSB119 ,Group Status Bit 119" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB118 ,Group Status Bit 118" "Group 0,Group 1" bitfld.long 0x00 21. " GSB117 ,Group Status Bit 117" "Group 0,Group 1" bitfld.long 0x00 20. " GSB116 ,Group Status Bit 116" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB115 ,Group Status Bit 115" "Group 0,Group 1" bitfld.long 0x00 18. " GSB114 ,Group Status Bit 114" "Group 0,Group 1" bitfld.long 0x00 17. " GSB113 ,Group Status Bit 113" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB112 ,Group Status Bit 112" "Group 0,Group 1" bitfld.long 0x00 15. " GSB111 ,Group Status Bit 111" "Group 0,Group 1" bitfld.long 0x00 14. " GSB110 ,Group Status Bit 110" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB109 ,Group Status Bit 109" "Group 0,Group 1" bitfld.long 0x00 12. " GSB108 ,Group Status Bit 108" "Group 0,Group 1" bitfld.long 0x00 11. " GSB107 ,Group Status Bit 107" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB106 ,Group Status Bit 106" "Group 0,Group 1" bitfld.long 0x00 9. " GSB105 ,Group Status Bit 105" "Group 0,Group 1" bitfld.long 0x00 8. " GSB104 ,Group Status Bit 104" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB103 ,Group Status Bit 103" "Group 0,Group 1" bitfld.long 0x00 6. " GSB102 ,Group Status Bit 102" "Group 0,Group 1" bitfld.long 0x00 5. " GSB101 ,Group Status Bit 101" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB100 ,Group Status Bit 100" "Group 0,Group 1" bitfld.long 0x00 3. " GSB99 ,Group Status Bit 99" "Group 0,Group 1" bitfld.long 0x00 2. " GSB98 ,Group Status Bit 98" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB97 ,Group Status Bit 97" "Group 0,Group 1" bitfld.long 0x00 0. " GSB96 ,Group Status Bit 96" "Group 0,Group 1" group.long 0x1090++0x03 line.long 0x0 "GICD_IGROUPR4,Interrupt Group Register 4" bitfld.long 0x00 31. " GSB159 ,Group Status Bit 159" "Group 0,Group 1" bitfld.long 0x00 30. " GSB158 ,Group Status Bit 158" "Group 0,Group 1" bitfld.long 0x00 29. " GSB157 ,Group Status Bit 157" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB156 ,Group Status Bit 156" "Group 0,Group 1" bitfld.long 0x00 27. " GSB155 ,Group Status Bit 155" "Group 0,Group 1" bitfld.long 0x00 26. " GSB154 ,Group Status Bit 154" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB153 ,Group Status Bit 153" "Group 0,Group 1" bitfld.long 0x00 24. " GSB152 ,Group Status Bit 152" "Group 0,Group 1" bitfld.long 0x00 23. " GSB151 ,Group Status Bit 151" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB150 ,Group Status Bit 150" "Group 0,Group 1" bitfld.long 0x00 21. " GSB149 ,Group Status Bit 149" "Group 0,Group 1" bitfld.long 0x00 20. " GSB148 ,Group Status Bit 148" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB147 ,Group Status Bit 147" "Group 0,Group 1" bitfld.long 0x00 18. " GSB146 ,Group Status Bit 146" "Group 0,Group 1" bitfld.long 0x00 17. " GSB145 ,Group Status Bit 145" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB144 ,Group Status Bit 144" "Group 0,Group 1" bitfld.long 0x00 15. " GSB143 ,Group Status Bit 143" "Group 0,Group 1" bitfld.long 0x00 14. " GSB142 ,Group Status Bit 142" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB141 ,Group Status Bit 141" "Group 0,Group 1" bitfld.long 0x00 12. " GSB140 ,Group Status Bit 140" "Group 0,Group 1" bitfld.long 0x00 11. " GSB139 ,Group Status Bit 139" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB138 ,Group Status Bit 138" "Group 0,Group 1" bitfld.long 0x00 9. " GSB137 ,Group Status Bit 137" "Group 0,Group 1" bitfld.long 0x00 8. " GSB136 ,Group Status Bit 136" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB135 ,Group Status Bit 135" "Group 0,Group 1" bitfld.long 0x00 6. " GSB134 ,Group Status Bit 134" "Group 0,Group 1" bitfld.long 0x00 5. " GSB133 ,Group Status Bit 133" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB132 ,Group Status Bit 132" "Group 0,Group 1" bitfld.long 0x00 3. " GSB131 ,Group Status Bit 131" "Group 0,Group 1" bitfld.long 0x00 2. " GSB130 ,Group Status Bit 130" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB129 ,Group Status Bit 129" "Group 0,Group 1" bitfld.long 0x00 0. " GSB128 ,Group Status Bit 128" "Group 0,Group 1" group.long 0x1094++0x03 line.long 0x0 "GICD_IGROUPR5,Interrupt Group Register 5" bitfld.long 0x00 31. " GSB191 ,Group Status Bit 191" "Group 0,Group 1" bitfld.long 0x00 30. " GSB190 ,Group Status Bit 190" "Group 0,Group 1" bitfld.long 0x00 29. " GSB189 ,Group Status Bit 189" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB188 ,Group Status Bit 188" "Group 0,Group 1" bitfld.long 0x00 27. " GSB187 ,Group Status Bit 187" "Group 0,Group 1" bitfld.long 0x00 26. " GSB186 ,Group Status Bit 186" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB185 ,Group Status Bit 185" "Group 0,Group 1" bitfld.long 0x00 24. " GSB184 ,Group Status Bit 184" "Group 0,Group 1" bitfld.long 0x00 23. " GSB183 ,Group Status Bit 183" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB182 ,Group Status Bit 182" "Group 0,Group 1" bitfld.long 0x00 21. " GSB181 ,Group Status Bit 181" "Group 0,Group 1" bitfld.long 0x00 20. " GSB180 ,Group Status Bit 180" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB179 ,Group Status Bit 179" "Group 0,Group 1" bitfld.long 0x00 18. " GSB178 ,Group Status Bit 178" "Group 0,Group 1" bitfld.long 0x00 17. " GSB177 ,Group Status Bit 177" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB176 ,Group Status Bit 176" "Group 0,Group 1" bitfld.long 0x00 15. " GSB175 ,Group Status Bit 175" "Group 0,Group 1" bitfld.long 0x00 14. " GSB174 ,Group Status Bit 174" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB173 ,Group Status Bit 173" "Group 0,Group 1" bitfld.long 0x00 12. " GSB172 ,Group Status Bit 172" "Group 0,Group 1" bitfld.long 0x00 11. " GSB171 ,Group Status Bit 171" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB170 ,Group Status Bit 170" "Group 0,Group 1" bitfld.long 0x00 9. " GSB169 ,Group Status Bit 169" "Group 0,Group 1" bitfld.long 0x00 8. " GSB168 ,Group Status Bit 168" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB167 ,Group Status Bit 167" "Group 0,Group 1" bitfld.long 0x00 6. " GSB166 ,Group Status Bit 166" "Group 0,Group 1" bitfld.long 0x00 5. " GSB165 ,Group Status Bit 165" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB164 ,Group Status Bit 164" "Group 0,Group 1" bitfld.long 0x00 3. " GSB163 ,Group Status Bit 163" "Group 0,Group 1" bitfld.long 0x00 2. " GSB162 ,Group Status Bit 162" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB161 ,Group Status Bit 161" "Group 0,Group 1" bitfld.long 0x00 0. " GSB160 ,Group Status Bit 160" "Group 0,Group 1" group.long 0x1098++0x03 line.long 0x0 "GICD_IGROUPR6,Interrupt Group Register 6" bitfld.long 0x00 31. " GSB223 ,Group Status Bit 223" "Group 0,Group 1" bitfld.long 0x00 30. " GSB222 ,Group Status Bit 222" "Group 0,Group 1" bitfld.long 0x00 29. " GSB221 ,Group Status Bit 221" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB220 ,Group Status Bit 220" "Group 0,Group 1" bitfld.long 0x00 27. " GSB219 ,Group Status Bit 219" "Group 0,Group 1" bitfld.long 0x00 26. " GSB218 ,Group Status Bit 218" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB217 ,Group Status Bit 217" "Group 0,Group 1" bitfld.long 0x00 24. " GSB216 ,Group Status Bit 216" "Group 0,Group 1" bitfld.long 0x00 23. " GSB215 ,Group Status Bit 215" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB214 ,Group Status Bit 214" "Group 0,Group 1" bitfld.long 0x00 21. " GSB213 ,Group Status Bit 213" "Group 0,Group 1" bitfld.long 0x00 20. " GSB212 ,Group Status Bit 212" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB211 ,Group Status Bit 211" "Group 0,Group 1" bitfld.long 0x00 18. " GSB210 ,Group Status Bit 210" "Group 0,Group 1" bitfld.long 0x00 17. " GSB209 ,Group Status Bit 209" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB208 ,Group Status Bit 208" "Group 0,Group 1" bitfld.long 0x00 15. " GSB207 ,Group Status Bit 207" "Group 0,Group 1" bitfld.long 0x00 14. " GSB206 ,Group Status Bit 206" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB205 ,Group Status Bit 205" "Group 0,Group 1" bitfld.long 0x00 12. " GSB204 ,Group Status Bit 204" "Group 0,Group 1" bitfld.long 0x00 11. " GSB203 ,Group Status Bit 203" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB202 ,Group Status Bit 202" "Group 0,Group 1" bitfld.long 0x00 9. " GSB201 ,Group Status Bit 201" "Group 0,Group 1" bitfld.long 0x00 8. " GSB200 ,Group Status Bit 200" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB199 ,Group Status Bit 199" "Group 0,Group 1" bitfld.long 0x00 6. " GSB198 ,Group Status Bit 198" "Group 0,Group 1" bitfld.long 0x00 5. " GSB197 ,Group Status Bit 197" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB196 ,Group Status Bit 196" "Group 0,Group 1" bitfld.long 0x00 3. " GSB195 ,Group Status Bit 195" "Group 0,Group 1" bitfld.long 0x00 2. " GSB194 ,Group Status Bit 194" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB193 ,Group Status Bit 193" "Group 0,Group 1" bitfld.long 0x00 0. " GSB192 ,Group Status Bit 192" "Group 0,Group 1" group.long 0x109C++0x03 line.long 0x0 "GICD_IGROUPR7,Interrupt Group Register 7" bitfld.long 0x00 31. " GSB255 ,Group Status Bit 255" "Group 0,Group 1" bitfld.long 0x00 30. " GSB254 ,Group Status Bit 254" "Group 0,Group 1" bitfld.long 0x00 29. " GSB253 ,Group Status Bit 253" "Group 0,Group 1" textline " " bitfld.long 0x00 28. " GSB252 ,Group Status Bit 252" "Group 0,Group 1" bitfld.long 0x00 27. " GSB251 ,Group Status Bit 251" "Group 0,Group 1" bitfld.long 0x00 26. " GSB250 ,Group Status Bit 250" "Group 0,Group 1" textline " " bitfld.long 0x00 25. " GSB249 ,Group Status Bit 249" "Group 0,Group 1" bitfld.long 0x00 24. " GSB248 ,Group Status Bit 248" "Group 0,Group 1" bitfld.long 0x00 23. " GSB247 ,Group Status Bit 247" "Group 0,Group 1" textline " " bitfld.long 0x00 22. " GSB246 ,Group Status Bit 246" "Group 0,Group 1" bitfld.long 0x00 21. " GSB245 ,Group Status Bit 245" "Group 0,Group 1" bitfld.long 0x00 20. " GSB244 ,Group Status Bit 244" "Group 0,Group 1" textline " " bitfld.long 0x00 19. " GSB243 ,Group Status Bit 243" "Group 0,Group 1" bitfld.long 0x00 18. " GSB242 ,Group Status Bit 242" "Group 0,Group 1" bitfld.long 0x00 17. " GSB241 ,Group Status Bit 241" "Group 0,Group 1" textline " " bitfld.long 0x00 16. " GSB240 ,Group Status Bit 240" "Group 0,Group 1" bitfld.long 0x00 15. " GSB239 ,Group Status Bit 239" "Group 0,Group 1" bitfld.long 0x00 14. " GSB238 ,Group Status Bit 238" "Group 0,Group 1" textline " " bitfld.long 0x00 13. " GSB237 ,Group Status Bit 237" "Group 0,Group 1" bitfld.long 0x00 12. " GSB236 ,Group Status Bit 236" "Group 0,Group 1" bitfld.long 0x00 11. " GSB235 ,Group Status Bit 235" "Group 0,Group 1" textline " " bitfld.long 0x00 10. " GSB234 ,Group Status Bit 234" "Group 0,Group 1" bitfld.long 0x00 9. " GSB233 ,Group Status Bit 233" "Group 0,Group 1" bitfld.long 0x00 8. " GSB232 ,Group Status Bit 232" "Group 0,Group 1" textline " " bitfld.long 0x00 7. " GSB231 ,Group Status Bit 231" "Group 0,Group 1" bitfld.long 0x00 6. " GSB230 ,Group Status Bit 230" "Group 0,Group 1" bitfld.long 0x00 5. " GSB229 ,Group Status Bit 229" "Group 0,Group 1" textline " " bitfld.long 0x00 4. " GSB228 ,Group Status Bit 228" "Group 0,Group 1" bitfld.long 0x00 3. " GSB227 ,Group Status Bit 227" "Group 0,Group 1" bitfld.long 0x00 2. " GSB226 ,Group Status Bit 226" "Group 0,Group 1" textline " " bitfld.long 0x00 1. " GSB225 ,Group Status Bit 225" "Group 0,Group 1" bitfld.long 0x00 0. " GSB224 ,Group Status Bit 224" "Group 0,Group 1" tree.end tree "Set-Enable Registers" group.long 0x1100++0x03 line.long 0x0 "GICD_ISER0,Interrupt Set Enable Register 0" bitfld.long 0x00 31. " SEB31 ,Set Enable Bit 31" "Disabled,Enabled" bitfld.long 0x00 30. " SEB30 ,Set Enable Bit 30" "Disabled,Enabled" bitfld.long 0x00 29. " SEB29 ,Set Enable Bit 29" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB28 ,Set Enable Bit 28" "Disabled,Enabled" bitfld.long 0x00 27. " SEB27 ,Set Enable Bit 27" "Disabled,Enabled" bitfld.long 0x00 26. " SEB26 ,Set Enable Bit 26" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB25 ,Set Enable Bit 25" "Disabled,Enabled" bitfld.long 0x00 24. " SEB24 ,Set Enable Bit 24" "Disabled,Enabled" bitfld.long 0x00 23. " SEB23 ,Set Enable Bit 23" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB22 ,Set Enable Bit 22" "Disabled,Enabled" bitfld.long 0x00 21. " SEB21 ,Set Enable Bit 21" "Disabled,Enabled" bitfld.long 0x00 20. " SEB20 ,Set Enable Bit 20" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB19 ,Set Enable Bit 19" "Disabled,Enabled" bitfld.long 0x00 18. " SEB18 ,Set Enable Bit 18" "Disabled,Enabled" bitfld.long 0x00 17. " SEB17 ,Set Enable Bit 17" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB16 ,Set Enable Bit 16" "Disabled,Enabled" bitfld.long 0x00 15. " SEB15 ,Set Enable Bit 15" "Disabled,Enabled" bitfld.long 0x00 14. " SEB14 ,Set Enable Bit 14" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB13 ,Set Enable Bit 13" "Disabled,Enabled" bitfld.long 0x00 12. " SEB12 ,Set Enable Bit 12" "Disabled,Enabled" bitfld.long 0x00 11. " SEB11 ,Set Enable Bit 11" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB10 ,Set Enable Bit 10" "Disabled,Enabled" bitfld.long 0x00 9. " SEB9 ,Set Enable Bit 9" "Disabled,Enabled" bitfld.long 0x00 8. " SEB8 ,Set Enable Bit 8" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB7 ,Set Enable Bit 7" "Disabled,Enabled" bitfld.long 0x00 6. " SEB6 ,Set Enable Bit 6" "Disabled,Enabled" bitfld.long 0x00 5. " SEB5 ,Set Enable Bit 5" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB4 ,Set Enable Bit 4" "Disabled,Enabled" bitfld.long 0x00 3. " SEB3 ,Set Enable Bit 3" "Disabled,Enabled" bitfld.long 0x00 2. " SEB2 ,Set Enable Bit 2" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB1 ,Set Enable Bit 1" "Disabled,Enabled" bitfld.long 0x00 0. " SEB0 ,Set Enable Bit 0" "Disabled,Enabled" group.long 0x1104++0x03 line.long 0x0 "GICD_ISER1,Interrupt Set Enable Register 1" bitfld.long 0x00 31. " SEB63 ,Set Enable Bit 63" "Disabled,Enabled" bitfld.long 0x00 30. " SEB62 ,Set Enable Bit 62" "Disabled,Enabled" bitfld.long 0x00 29. " SEB61 ,Set Enable Bit 61" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB60 ,Set Enable Bit 60" "Disabled,Enabled" bitfld.long 0x00 27. " SEB59 ,Set Enable Bit 59" "Disabled,Enabled" bitfld.long 0x00 26. " SEB58 ,Set Enable Bit 58" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB57 ,Set Enable Bit 57" "Disabled,Enabled" bitfld.long 0x00 24. " SEB56 ,Set Enable Bit 56" "Disabled,Enabled" bitfld.long 0x00 23. " SEB55 ,Set Enable Bit 55" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB54 ,Set Enable Bit 54" "Disabled,Enabled" bitfld.long 0x00 21. " SEB53 ,Set Enable Bit 53" "Disabled,Enabled" bitfld.long 0x00 20. " SEB52 ,Set Enable Bit 52" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB51 ,Set Enable Bit 51" "Disabled,Enabled" bitfld.long 0x00 18. " SEB50 ,Set Enable Bit 50" "Disabled,Enabled" bitfld.long 0x00 17. " SEB49 ,Set Enable Bit 49" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB48 ,Set Enable Bit 48" "Disabled,Enabled" bitfld.long 0x00 15. " SEB47 ,Set Enable Bit 47" "Disabled,Enabled" bitfld.long 0x00 14. " SEB46 ,Set Enable Bit 46" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB45 ,Set Enable Bit 45" "Disabled,Enabled" bitfld.long 0x00 12. " SEB44 ,Set Enable Bit 44" "Disabled,Enabled" bitfld.long 0x00 11. " SEB43 ,Set Enable Bit 43" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB42 ,Set Enable Bit 42" "Disabled,Enabled" bitfld.long 0x00 9. " SEB41 ,Set Enable Bit 41" "Disabled,Enabled" bitfld.long 0x00 8. " SEB40 ,Set Enable Bit 40" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB39 ,Set Enable Bit 39" "Disabled,Enabled" bitfld.long 0x00 6. " SEB38 ,Set Enable Bit 38" "Disabled,Enabled" bitfld.long 0x00 5. " SEB37 ,Set Enable Bit 37" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB36 ,Set Enable Bit 36" "Disabled,Enabled" bitfld.long 0x00 3. " SEB35 ,Set Enable Bit 35" "Disabled,Enabled" bitfld.long 0x00 2. " SEB34 ,Set Enable Bit 34" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB33 ,Set Enable Bit 33" "Disabled,Enabled" bitfld.long 0x00 0. " SEB32 ,Set Enable Bit 32" "Disabled,Enabled" group.long 0x1108++0x03 line.long 0x0 "GICD_ISER2,Interrupt Set Enable Register 2" bitfld.long 0x00 31. " SEB95 ,Set Enable Bit 95" "Disabled,Enabled" bitfld.long 0x00 30. " SEB94 ,Set Enable Bit 94" "Disabled,Enabled" bitfld.long 0x00 29. " SEB93 ,Set Enable Bit 93" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB92 ,Set Enable Bit 92" "Disabled,Enabled" bitfld.long 0x00 27. " SEB91 ,Set Enable Bit 91" "Disabled,Enabled" bitfld.long 0x00 26. " SEB90 ,Set Enable Bit 90" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB89 ,Set Enable Bit 89" "Disabled,Enabled" bitfld.long 0x00 24. " SEB88 ,Set Enable Bit 88" "Disabled,Enabled" bitfld.long 0x00 23. " SEB87 ,Set Enable Bit 87" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB86 ,Set Enable Bit 86" "Disabled,Enabled" bitfld.long 0x00 21. " SEB85 ,Set Enable Bit 85" "Disabled,Enabled" bitfld.long 0x00 20. " SEB84 ,Set Enable Bit 84" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB83 ,Set Enable Bit 83" "Disabled,Enabled" bitfld.long 0x00 18. " SEB82 ,Set Enable Bit 82" "Disabled,Enabled" bitfld.long 0x00 17. " SEB81 ,Set Enable Bit 81" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB80 ,Set Enable Bit 80" "Disabled,Enabled" bitfld.long 0x00 15. " SEB79 ,Set Enable Bit 79" "Disabled,Enabled" bitfld.long 0x00 14. " SEB78 ,Set Enable Bit 78" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB77 ,Set Enable Bit 77" "Disabled,Enabled" bitfld.long 0x00 12. " SEB76 ,Set Enable Bit 76" "Disabled,Enabled" bitfld.long 0x00 11. " SEB75 ,Set Enable Bit 75" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB74 ,Set Enable Bit 74" "Disabled,Enabled" bitfld.long 0x00 9. " SEB73 ,Set Enable Bit 73" "Disabled,Enabled" bitfld.long 0x00 8. " SEB72 ,Set Enable Bit 72" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB71 ,Set Enable Bit 71" "Disabled,Enabled" bitfld.long 0x00 6. " SEB70 ,Set Enable Bit 70" "Disabled,Enabled" bitfld.long 0x00 5. " SEB69 ,Set Enable Bit 69" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB68 ,Set Enable Bit 68" "Disabled,Enabled" bitfld.long 0x00 3. " SEB67 ,Set Enable Bit 67" "Disabled,Enabled" bitfld.long 0x00 2. " SEB66 ,Set Enable Bit 66" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB65 ,Set Enable Bit 65" "Disabled,Enabled" bitfld.long 0x00 0. " SEB64 ,Set Enable Bit 64" "Disabled,Enabled" group.long 0x110C++0x03 line.long 0x0 "GICD_ISER3,Interrupt Set Enable Register 3" bitfld.long 0x00 31. " SEB127 ,Set Enable Bit 127" "Disabled,Enabled" bitfld.long 0x00 30. " SEB126 ,Set Enable Bit 126" "Disabled,Enabled" bitfld.long 0x00 29. " SEB125 ,Set Enable Bit 125" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB124 ,Set Enable Bit 124" "Disabled,Enabled" bitfld.long 0x00 27. " SEB123 ,Set Enable Bit 123" "Disabled,Enabled" bitfld.long 0x00 26. " SEB122 ,Set Enable Bit 122" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB121 ,Set Enable Bit 121" "Disabled,Enabled" bitfld.long 0x00 24. " SEB120 ,Set Enable Bit 120" "Disabled,Enabled" bitfld.long 0x00 23. " SEB119 ,Set Enable Bit 119" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB118 ,Set Enable Bit 118" "Disabled,Enabled" bitfld.long 0x00 21. " SEB117 ,Set Enable Bit 117" "Disabled,Enabled" bitfld.long 0x00 20. " SEB116 ,Set Enable Bit 116" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB115 ,Set Enable Bit 115" "Disabled,Enabled" bitfld.long 0x00 18. " SEB114 ,Set Enable Bit 114" "Disabled,Enabled" bitfld.long 0x00 17. " SEB113 ,Set Enable Bit 113" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB112 ,Set Enable Bit 112" "Disabled,Enabled" bitfld.long 0x00 15. " SEB111 ,Set Enable Bit 111" "Disabled,Enabled" bitfld.long 0x00 14. " SEB110 ,Set Enable Bit 110" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB109 ,Set Enable Bit 109" "Disabled,Enabled" bitfld.long 0x00 12. " SEB108 ,Set Enable Bit 108" "Disabled,Enabled" bitfld.long 0x00 11. " SEB107 ,Set Enable Bit 107" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB106 ,Set Enable Bit 106" "Disabled,Enabled" bitfld.long 0x00 9. " SEB105 ,Set Enable Bit 105" "Disabled,Enabled" bitfld.long 0x00 8. " SEB104 ,Set Enable Bit 104" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB103 ,Set Enable Bit 103" "Disabled,Enabled" bitfld.long 0x00 6. " SEB102 ,Set Enable Bit 102" "Disabled,Enabled" bitfld.long 0x00 5. " SEB101 ,Set Enable Bit 101" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB100 ,Set Enable Bit 100" "Disabled,Enabled" bitfld.long 0x00 3. " SEB99 ,Set Enable Bit 99" "Disabled,Enabled" bitfld.long 0x00 2. " SEB98 ,Set Enable Bit 98" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB97 ,Set Enable Bit 97" "Disabled,Enabled" bitfld.long 0x00 0. " SEB96 ,Set Enable Bit 96" "Disabled,Enabled" group.long 0x1110++0x03 line.long 0x0 "GICD_ISER4,Interrupt Set Enable Register 4" bitfld.long 0x00 31. " SEB159 ,Set Enable Bit 159" "Disabled,Enabled" bitfld.long 0x00 30. " SEB158 ,Set Enable Bit 158" "Disabled,Enabled" bitfld.long 0x00 29. " SEB157 ,Set Enable Bit 157" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB156 ,Set Enable Bit 156" "Disabled,Enabled" bitfld.long 0x00 27. " SEB155 ,Set Enable Bit 155" "Disabled,Enabled" bitfld.long 0x00 26. " SEB154 ,Set Enable Bit 154" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB153 ,Set Enable Bit 153" "Disabled,Enabled" bitfld.long 0x00 24. " SEB152 ,Set Enable Bit 152" "Disabled,Enabled" bitfld.long 0x00 23. " SEB151 ,Set Enable Bit 151" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB150 ,Set Enable Bit 150" "Disabled,Enabled" bitfld.long 0x00 21. " SEB149 ,Set Enable Bit 149" "Disabled,Enabled" bitfld.long 0x00 20. " SEB148 ,Set Enable Bit 148" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB147 ,Set Enable Bit 147" "Disabled,Enabled" bitfld.long 0x00 18. " SEB146 ,Set Enable Bit 146" "Disabled,Enabled" bitfld.long 0x00 17. " SEB145 ,Set Enable Bit 145" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB144 ,Set Enable Bit 144" "Disabled,Enabled" bitfld.long 0x00 15. " SEB143 ,Set Enable Bit 143" "Disabled,Enabled" bitfld.long 0x00 14. " SEB142 ,Set Enable Bit 142" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB141 ,Set Enable Bit 141" "Disabled,Enabled" bitfld.long 0x00 12. " SEB140 ,Set Enable Bit 140" "Disabled,Enabled" bitfld.long 0x00 11. " SEB139 ,Set Enable Bit 139" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB138 ,Set Enable Bit 138" "Disabled,Enabled" bitfld.long 0x00 9. " SEB137 ,Set Enable Bit 137" "Disabled,Enabled" bitfld.long 0x00 8. " SEB136 ,Set Enable Bit 136" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB135 ,Set Enable Bit 135" "Disabled,Enabled" bitfld.long 0x00 6. " SEB134 ,Set Enable Bit 134" "Disabled,Enabled" bitfld.long 0x00 5. " SEB133 ,Set Enable Bit 133" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB132 ,Set Enable Bit 132" "Disabled,Enabled" bitfld.long 0x00 3. " SEB131 ,Set Enable Bit 131" "Disabled,Enabled" bitfld.long 0x00 2. " SEB130 ,Set Enable Bit 130" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB129 ,Set Enable Bit 129" "Disabled,Enabled" bitfld.long 0x00 0. " SEB128 ,Set Enable Bit 128" "Disabled,Enabled" group.long 0x1114++0x03 line.long 0x0 "GICD_ISER5,Interrupt Set Enable Register 5" bitfld.long 0x00 31. " SEB191 ,Set Enable Bit 191" "Disabled,Enabled" bitfld.long 0x00 30. " SEB190 ,Set Enable Bit 190" "Disabled,Enabled" bitfld.long 0x00 29. " SEB189 ,Set Enable Bit 189" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB188 ,Set Enable Bit 188" "Disabled,Enabled" bitfld.long 0x00 27. " SEB187 ,Set Enable Bit 187" "Disabled,Enabled" bitfld.long 0x00 26. " SEB186 ,Set Enable Bit 186" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB185 ,Set Enable Bit 185" "Disabled,Enabled" bitfld.long 0x00 24. " SEB184 ,Set Enable Bit 184" "Disabled,Enabled" bitfld.long 0x00 23. " SEB183 ,Set Enable Bit 183" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB182 ,Set Enable Bit 182" "Disabled,Enabled" bitfld.long 0x00 21. " SEB181 ,Set Enable Bit 181" "Disabled,Enabled" bitfld.long 0x00 20. " SEB180 ,Set Enable Bit 180" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB179 ,Set Enable Bit 179" "Disabled,Enabled" bitfld.long 0x00 18. " SEB178 ,Set Enable Bit 178" "Disabled,Enabled" bitfld.long 0x00 17. " SEB177 ,Set Enable Bit 177" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB176 ,Set Enable Bit 176" "Disabled,Enabled" bitfld.long 0x00 15. " SEB175 ,Set Enable Bit 175" "Disabled,Enabled" bitfld.long 0x00 14. " SEB174 ,Set Enable Bit 174" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB173 ,Set Enable Bit 173" "Disabled,Enabled" bitfld.long 0x00 12. " SEB172 ,Set Enable Bit 172" "Disabled,Enabled" bitfld.long 0x00 11. " SEB171 ,Set Enable Bit 171" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB170 ,Set Enable Bit 170" "Disabled,Enabled" bitfld.long 0x00 9. " SEB169 ,Set Enable Bit 169" "Disabled,Enabled" bitfld.long 0x00 8. " SEB168 ,Set Enable Bit 168" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB167 ,Set Enable Bit 167" "Disabled,Enabled" bitfld.long 0x00 6. " SEB166 ,Set Enable Bit 166" "Disabled,Enabled" bitfld.long 0x00 5. " SEB165 ,Set Enable Bit 165" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB164 ,Set Enable Bit 164" "Disabled,Enabled" bitfld.long 0x00 3. " SEB163 ,Set Enable Bit 163" "Disabled,Enabled" bitfld.long 0x00 2. " SEB162 ,Set Enable Bit 162" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB161 ,Set Enable Bit 161" "Disabled,Enabled" bitfld.long 0x00 0. " SEB160 ,Set Enable Bit 160" "Disabled,Enabled" group.long 0x1118++0x03 line.long 0x0 "GICD_ISER6,Interrupt Set Enable Register 6" bitfld.long 0x00 31. " SEB223 ,Set Enable Bit 223" "Disabled,Enabled" bitfld.long 0x00 30. " SEB222 ,Set Enable Bit 222" "Disabled,Enabled" bitfld.long 0x00 29. " SEB221 ,Set Enable Bit 221" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB220 ,Set Enable Bit 220" "Disabled,Enabled" bitfld.long 0x00 27. " SEB219 ,Set Enable Bit 219" "Disabled,Enabled" bitfld.long 0x00 26. " SEB218 ,Set Enable Bit 218" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB217 ,Set Enable Bit 217" "Disabled,Enabled" bitfld.long 0x00 24. " SEB216 ,Set Enable Bit 216" "Disabled,Enabled" bitfld.long 0x00 23. " SEB215 ,Set Enable Bit 215" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB214 ,Set Enable Bit 214" "Disabled,Enabled" bitfld.long 0x00 21. " SEB213 ,Set Enable Bit 213" "Disabled,Enabled" bitfld.long 0x00 20. " SEB212 ,Set Enable Bit 212" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB211 ,Set Enable Bit 211" "Disabled,Enabled" bitfld.long 0x00 18. " SEB210 ,Set Enable Bit 210" "Disabled,Enabled" bitfld.long 0x00 17. " SEB209 ,Set Enable Bit 209" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB208 ,Set Enable Bit 208" "Disabled,Enabled" bitfld.long 0x00 15. " SEB207 ,Set Enable Bit 207" "Disabled,Enabled" bitfld.long 0x00 14. " SEB206 ,Set Enable Bit 206" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB205 ,Set Enable Bit 205" "Disabled,Enabled" bitfld.long 0x00 12. " SEB204 ,Set Enable Bit 204" "Disabled,Enabled" bitfld.long 0x00 11. " SEB203 ,Set Enable Bit 203" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB202 ,Set Enable Bit 202" "Disabled,Enabled" bitfld.long 0x00 9. " SEB201 ,Set Enable Bit 201" "Disabled,Enabled" bitfld.long 0x00 8. " SEB200 ,Set Enable Bit 200" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB199 ,Set Enable Bit 199" "Disabled,Enabled" bitfld.long 0x00 6. " SEB198 ,Set Enable Bit 198" "Disabled,Enabled" bitfld.long 0x00 5. " SEB197 ,Set Enable Bit 197" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB196 ,Set Enable Bit 196" "Disabled,Enabled" bitfld.long 0x00 3. " SEB195 ,Set Enable Bit 195" "Disabled,Enabled" bitfld.long 0x00 2. " SEB194 ,Set Enable Bit 194" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB193 ,Set Enable Bit 193" "Disabled,Enabled" bitfld.long 0x00 0. " SEB192 ,Set Enable Bit 192" "Disabled,Enabled" group.long 0x111C++0x03 line.long 0x0 "GICD_ISER7,Interrupt Set Enable Register 7" bitfld.long 0x00 31. " SEB255 ,Set Enable Bit 255" "Disabled,Enabled" bitfld.long 0x00 30. " SEB254 ,Set Enable Bit 254" "Disabled,Enabled" bitfld.long 0x00 29. " SEB253 ,Set Enable Bit 253" "Disabled,Enabled" textline " " bitfld.long 0x00 28. " SEB252 ,Set Enable Bit 252" "Disabled,Enabled" bitfld.long 0x00 27. " SEB251 ,Set Enable Bit 251" "Disabled,Enabled" bitfld.long 0x00 26. " SEB250 ,Set Enable Bit 250" "Disabled,Enabled" textline " " bitfld.long 0x00 25. " SEB249 ,Set Enable Bit 249" "Disabled,Enabled" bitfld.long 0x00 24. " SEB248 ,Set Enable Bit 248" "Disabled,Enabled" bitfld.long 0x00 23. " SEB247 ,Set Enable Bit 247" "Disabled,Enabled" textline " " bitfld.long 0x00 22. " SEB246 ,Set Enable Bit 246" "Disabled,Enabled" bitfld.long 0x00 21. " SEB245 ,Set Enable Bit 245" "Disabled,Enabled" bitfld.long 0x00 20. " SEB244 ,Set Enable Bit 244" "Disabled,Enabled" textline " " bitfld.long 0x00 19. " SEB243 ,Set Enable Bit 243" "Disabled,Enabled" bitfld.long 0x00 18. " SEB242 ,Set Enable Bit 242" "Disabled,Enabled" bitfld.long 0x00 17. " SEB241 ,Set Enable Bit 241" "Disabled,Enabled" textline " " bitfld.long 0x00 16. " SEB240 ,Set Enable Bit 240" "Disabled,Enabled" bitfld.long 0x00 15. " SEB239 ,Set Enable Bit 239" "Disabled,Enabled" bitfld.long 0x00 14. " SEB238 ,Set Enable Bit 238" "Disabled,Enabled" textline " " bitfld.long 0x00 13. " SEB237 ,Set Enable Bit 237" "Disabled,Enabled" bitfld.long 0x00 12. " SEB236 ,Set Enable Bit 236" "Disabled,Enabled" bitfld.long 0x00 11. " SEB235 ,Set Enable Bit 235" "Disabled,Enabled" textline " " bitfld.long 0x00 10. " SEB234 ,Set Enable Bit 234" "Disabled,Enabled" bitfld.long 0x00 9. " SEB233 ,Set Enable Bit 233" "Disabled,Enabled" bitfld.long 0x00 8. " SEB232 ,Set Enable Bit 232" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " SEB231 ,Set Enable Bit 231" "Disabled,Enabled" bitfld.long 0x00 6. " SEB230 ,Set Enable Bit 230" "Disabled,Enabled" bitfld.long 0x00 5. " SEB229 ,Set Enable Bit 229" "Disabled,Enabled" textline " " bitfld.long 0x00 4. " SEB228 ,Set Enable Bit 228" "Disabled,Enabled" bitfld.long 0x00 3. " SEB227 ,Set Enable Bit 227" "Disabled,Enabled" bitfld.long 0x00 2. " SEB226 ,Set Enable Bit 226" "Disabled,Enabled" textline " " bitfld.long 0x00 1. " SEB225 ,Set Enable Bit 225" "Disabled,Enabled" bitfld.long 0x00 0. " SEB224 ,Set Enable Bit 224" "Disabled,Enabled" tree.end tree "Clear-Enable Registers" group.long 0x1180++0x03 line.long 0x0 "GICD_ICER0,Interrupt Clear Enable Register 0" eventfld.long 0x00 31. " CEB31 ,Clear Enable Bit 31" "Disabled,Enabled" eventfld.long 0x00 30. " CEB30 ,Clear Enable Bit 30" "Disabled,Enabled" eventfld.long 0x00 29. " CEB29 ,Clear Enable Bit 29" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB28 ,Clear Enable Bit 28" "Disabled,Enabled" eventfld.long 0x00 27. " CEB27 ,Clear Enable Bit 27" "Disabled,Enabled" eventfld.long 0x00 26. " CEB26 ,Clear Enable Bit 26" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB25 ,Clear Enable Bit 25" "Disabled,Enabled" eventfld.long 0x00 24. " CEB24 ,Clear Enable Bit 24" "Disabled,Enabled" eventfld.long 0x00 23. " CEB23 ,Clear Enable Bit 23" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB22 ,Clear Enable Bit 22" "Disabled,Enabled" eventfld.long 0x00 21. " CEB21 ,Clear Enable Bit 21" "Disabled,Enabled" eventfld.long 0x00 20. " CEB20 ,Clear Enable Bit 20" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB19 ,Clear Enable Bit 19" "Disabled,Enabled" eventfld.long 0x00 18. " CEB18 ,Clear Enable Bit 18" "Disabled,Enabled" eventfld.long 0x00 17. " CEB17 ,Clear Enable Bit 17" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB16 ,Clear Enable Bit 16" "Disabled,Enabled" eventfld.long 0x00 15. " CEB15 ,Clear Enable Bit 15" "Disabled,Enabled" eventfld.long 0x00 14. " CEB14 ,Clear Enable Bit 14" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB13 ,Clear Enable Bit 13" "Disabled,Enabled" eventfld.long 0x00 12. " CEB12 ,Clear Enable Bit 12" "Disabled,Enabled" eventfld.long 0x00 11. " CEB11 ,Clear Enable Bit 11" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB10 ,Clear Enable Bit 10" "Disabled,Enabled" eventfld.long 0x00 9. " CEB9 ,Clear Enable Bit 9" "Disabled,Enabled" eventfld.long 0x00 8. " CEB8 ,Clear Enable Bit 8" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB7 ,Clear Enable Bit 7" "Disabled,Enabled" eventfld.long 0x00 6. " CEB6 ,Clear Enable Bit 6" "Disabled,Enabled" eventfld.long 0x00 5. " CEB5 ,Clear Enable Bit 5" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB4 ,Clear Enable Bit 4" "Disabled,Enabled" eventfld.long 0x00 3. " CEB3 ,Clear Enable Bit 3" "Disabled,Enabled" eventfld.long 0x00 2. " CEB2 ,Clear Enable Bit 2" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB1 ,Clear Enable Bit 1" "Disabled,Enabled" eventfld.long 0x00 0. " CEB0 ,Clear Enable Bit 0" "Disabled,Enabled" group.long 0x1184++0x03 line.long 0x0 "GICD_ICER1,Interrupt Clear Enable Register 1" eventfld.long 0x00 31. " CEB63 ,Clear Enable Bit 63" "Disabled,Enabled" eventfld.long 0x00 30. " CEB62 ,Clear Enable Bit 62" "Disabled,Enabled" eventfld.long 0x00 29. " CEB61 ,Clear Enable Bit 61" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB60 ,Clear Enable Bit 60" "Disabled,Enabled" eventfld.long 0x00 27. " CEB59 ,Clear Enable Bit 59" "Disabled,Enabled" eventfld.long 0x00 26. " CEB58 ,Clear Enable Bit 58" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB57 ,Clear Enable Bit 57" "Disabled,Enabled" eventfld.long 0x00 24. " CEB56 ,Clear Enable Bit 56" "Disabled,Enabled" eventfld.long 0x00 23. " CEB55 ,Clear Enable Bit 55" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB54 ,Clear Enable Bit 54" "Disabled,Enabled" eventfld.long 0x00 21. " CEB53 ,Clear Enable Bit 53" "Disabled,Enabled" eventfld.long 0x00 20. " CEB52 ,Clear Enable Bit 52" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB51 ,Clear Enable Bit 51" "Disabled,Enabled" eventfld.long 0x00 18. " CEB50 ,Clear Enable Bit 50" "Disabled,Enabled" eventfld.long 0x00 17. " CEB49 ,Clear Enable Bit 49" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB48 ,Clear Enable Bit 48" "Disabled,Enabled" eventfld.long 0x00 15. " CEB47 ,Clear Enable Bit 47" "Disabled,Enabled" eventfld.long 0x00 14. " CEB46 ,Clear Enable Bit 46" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB45 ,Clear Enable Bit 45" "Disabled,Enabled" eventfld.long 0x00 12. " CEB44 ,Clear Enable Bit 44" "Disabled,Enabled" eventfld.long 0x00 11. " CEB43 ,Clear Enable Bit 43" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB42 ,Clear Enable Bit 42" "Disabled,Enabled" eventfld.long 0x00 9. " CEB41 ,Clear Enable Bit 41" "Disabled,Enabled" eventfld.long 0x00 8. " CEB40 ,Clear Enable Bit 40" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB39 ,Clear Enable Bit 39" "Disabled,Enabled" eventfld.long 0x00 6. " CEB38 ,Clear Enable Bit 38" "Disabled,Enabled" eventfld.long 0x00 5. " CEB37 ,Clear Enable Bit 37" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB36 ,Clear Enable Bit 36" "Disabled,Enabled" eventfld.long 0x00 3. " CEB35 ,Clear Enable Bit 35" "Disabled,Enabled" eventfld.long 0x00 2. " CEB34 ,Clear Enable Bit 34" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB33 ,Clear Enable Bit 33" "Disabled,Enabled" eventfld.long 0x00 0. " CEB32 ,Clear Enable Bit 32" "Disabled,Enabled" group.long 0x1188++0x03 line.long 0x0 "GICD_ICER2,Interrupt Clear Enable Register 2" eventfld.long 0x00 31. " CEB95 ,Clear Enable Bit 95" "Disabled,Enabled" eventfld.long 0x00 30. " CEB94 ,Clear Enable Bit 94" "Disabled,Enabled" eventfld.long 0x00 29. " CEB93 ,Clear Enable Bit 93" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB92 ,Clear Enable Bit 92" "Disabled,Enabled" eventfld.long 0x00 27. " CEB91 ,Clear Enable Bit 91" "Disabled,Enabled" eventfld.long 0x00 26. " CEB90 ,Clear Enable Bit 90" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB89 ,Clear Enable Bit 89" "Disabled,Enabled" eventfld.long 0x00 24. " CEB88 ,Clear Enable Bit 88" "Disabled,Enabled" eventfld.long 0x00 23. " CEB87 ,Clear Enable Bit 87" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB86 ,Clear Enable Bit 86" "Disabled,Enabled" eventfld.long 0x00 21. " CEB85 ,Clear Enable Bit 85" "Disabled,Enabled" eventfld.long 0x00 20. " CEB84 ,Clear Enable Bit 84" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB83 ,Clear Enable Bit 83" "Disabled,Enabled" eventfld.long 0x00 18. " CEB82 ,Clear Enable Bit 82" "Disabled,Enabled" eventfld.long 0x00 17. " CEB81 ,Clear Enable Bit 81" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB80 ,Clear Enable Bit 80" "Disabled,Enabled" eventfld.long 0x00 15. " CEB79 ,Clear Enable Bit 79" "Disabled,Enabled" eventfld.long 0x00 14. " CEB78 ,Clear Enable Bit 78" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB77 ,Clear Enable Bit 77" "Disabled,Enabled" eventfld.long 0x00 12. " CEB76 ,Clear Enable Bit 76" "Disabled,Enabled" eventfld.long 0x00 11. " CEB75 ,Clear Enable Bit 75" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB74 ,Clear Enable Bit 74" "Disabled,Enabled" eventfld.long 0x00 9. " CEB73 ,Clear Enable Bit 73" "Disabled,Enabled" eventfld.long 0x00 8. " CEB72 ,Clear Enable Bit 72" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB71 ,Clear Enable Bit 71" "Disabled,Enabled" eventfld.long 0x00 6. " CEB70 ,Clear Enable Bit 70" "Disabled,Enabled" eventfld.long 0x00 5. " CEB69 ,Clear Enable Bit 69" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB68 ,Clear Enable Bit 68" "Disabled,Enabled" eventfld.long 0x00 3. " CEB67 ,Clear Enable Bit 67" "Disabled,Enabled" eventfld.long 0x00 2. " CEB66 ,Clear Enable Bit 66" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB65 ,Clear Enable Bit 65" "Disabled,Enabled" eventfld.long 0x00 0. " CEB64 ,Clear Enable Bit 64" "Disabled,Enabled" group.long 0x118C++0x03 line.long 0x0 "GICD_ICER3,Interrupt Clear Enable Register 3" eventfld.long 0x00 31. " CEB127 ,Clear Enable Bit 127" "Disabled,Enabled" eventfld.long 0x00 30. " CEB126 ,Clear Enable Bit 126" "Disabled,Enabled" eventfld.long 0x00 29. " CEB125 ,Clear Enable Bit 125" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB124 ,Clear Enable Bit 124" "Disabled,Enabled" eventfld.long 0x00 27. " CEB123 ,Clear Enable Bit 123" "Disabled,Enabled" eventfld.long 0x00 26. " CEB122 ,Clear Enable Bit 122" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB121 ,Clear Enable Bit 121" "Disabled,Enabled" eventfld.long 0x00 24. " CEB120 ,Clear Enable Bit 120" "Disabled,Enabled" eventfld.long 0x00 23. " CEB119 ,Clear Enable Bit 119" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB118 ,Clear Enable Bit 118" "Disabled,Enabled" eventfld.long 0x00 21. " CEB117 ,Clear Enable Bit 117" "Disabled,Enabled" eventfld.long 0x00 20. " CEB116 ,Clear Enable Bit 116" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB115 ,Clear Enable Bit 115" "Disabled,Enabled" eventfld.long 0x00 18. " CEB114 ,Clear Enable Bit 114" "Disabled,Enabled" eventfld.long 0x00 17. " CEB113 ,Clear Enable Bit 113" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB112 ,Clear Enable Bit 112" "Disabled,Enabled" eventfld.long 0x00 15. " CEB111 ,Clear Enable Bit 111" "Disabled,Enabled" eventfld.long 0x00 14. " CEB110 ,Clear Enable Bit 110" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB109 ,Clear Enable Bit 109" "Disabled,Enabled" eventfld.long 0x00 12. " CEB108 ,Clear Enable Bit 108" "Disabled,Enabled" eventfld.long 0x00 11. " CEB107 ,Clear Enable Bit 107" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB106 ,Clear Enable Bit 106" "Disabled,Enabled" eventfld.long 0x00 9. " CEB105 ,Clear Enable Bit 105" "Disabled,Enabled" eventfld.long 0x00 8. " CEB104 ,Clear Enable Bit 104" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB103 ,Clear Enable Bit 103" "Disabled,Enabled" eventfld.long 0x00 6. " CEB102 ,Clear Enable Bit 102" "Disabled,Enabled" eventfld.long 0x00 5. " CEB101 ,Clear Enable Bit 101" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB100 ,Clear Enable Bit 100" "Disabled,Enabled" eventfld.long 0x00 3. " CEB99 ,Clear Enable Bit 99" "Disabled,Enabled" eventfld.long 0x00 2. " CEB98 ,Clear Enable Bit 98" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB97 ,Clear Enable Bit 97" "Disabled,Enabled" eventfld.long 0x00 0. " CEB96 ,Clear Enable Bit 96" "Disabled,Enabled" group.long 0x1190++0x03 line.long 0x0 "GICD_ICER4,Interrupt Clear Enable Register 4" eventfld.long 0x00 31. " CEB159 ,Clear Enable Bit 159" "Disabled,Enabled" eventfld.long 0x00 30. " CEB158 ,Clear Enable Bit 158" "Disabled,Enabled" eventfld.long 0x00 29. " CEB157 ,Clear Enable Bit 157" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB156 ,Clear Enable Bit 156" "Disabled,Enabled" eventfld.long 0x00 27. " CEB155 ,Clear Enable Bit 155" "Disabled,Enabled" eventfld.long 0x00 26. " CEB154 ,Clear Enable Bit 154" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB153 ,Clear Enable Bit 153" "Disabled,Enabled" eventfld.long 0x00 24. " CEB152 ,Clear Enable Bit 152" "Disabled,Enabled" eventfld.long 0x00 23. " CEB151 ,Clear Enable Bit 151" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB150 ,Clear Enable Bit 150" "Disabled,Enabled" eventfld.long 0x00 21. " CEB149 ,Clear Enable Bit 149" "Disabled,Enabled" eventfld.long 0x00 20. " CEB148 ,Clear Enable Bit 148" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB147 ,Clear Enable Bit 147" "Disabled,Enabled" eventfld.long 0x00 18. " CEB146 ,Clear Enable Bit 146" "Disabled,Enabled" eventfld.long 0x00 17. " CEB145 ,Clear Enable Bit 145" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB144 ,Clear Enable Bit 144" "Disabled,Enabled" eventfld.long 0x00 15. " CEB143 ,Clear Enable Bit 143" "Disabled,Enabled" eventfld.long 0x00 14. " CEB142 ,Clear Enable Bit 142" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB141 ,Clear Enable Bit 141" "Disabled,Enabled" eventfld.long 0x00 12. " CEB140 ,Clear Enable Bit 140" "Disabled,Enabled" eventfld.long 0x00 11. " CEB139 ,Clear Enable Bit 139" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB138 ,Clear Enable Bit 138" "Disabled,Enabled" eventfld.long 0x00 9. " CEB137 ,Clear Enable Bit 137" "Disabled,Enabled" eventfld.long 0x00 8. " CEB136 ,Clear Enable Bit 136" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB135 ,Clear Enable Bit 135" "Disabled,Enabled" eventfld.long 0x00 6. " CEB134 ,Clear Enable Bit 134" "Disabled,Enabled" eventfld.long 0x00 5. " CEB133 ,Clear Enable Bit 133" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB132 ,Clear Enable Bit 132" "Disabled,Enabled" eventfld.long 0x00 3. " CEB131 ,Clear Enable Bit 131" "Disabled,Enabled" eventfld.long 0x00 2. " CEB130 ,Clear Enable Bit 130" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB129 ,Clear Enable Bit 129" "Disabled,Enabled" eventfld.long 0x00 0. " CEB128 ,Clear Enable Bit 128" "Disabled,Enabled" group.long 0x1194++0x03 line.long 0x0 "GICD_ICER5,Interrupt Clear Enable Register 5" eventfld.long 0x00 31. " CEB191 ,Clear Enable Bit 191" "Disabled,Enabled" eventfld.long 0x00 30. " CEB190 ,Clear Enable Bit 190" "Disabled,Enabled" eventfld.long 0x00 29. " CEB189 ,Clear Enable Bit 189" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB188 ,Clear Enable Bit 188" "Disabled,Enabled" eventfld.long 0x00 27. " CEB187 ,Clear Enable Bit 187" "Disabled,Enabled" eventfld.long 0x00 26. " CEB186 ,Clear Enable Bit 186" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB185 ,Clear Enable Bit 185" "Disabled,Enabled" eventfld.long 0x00 24. " CEB184 ,Clear Enable Bit 184" "Disabled,Enabled" eventfld.long 0x00 23. " CEB183 ,Clear Enable Bit 183" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB182 ,Clear Enable Bit 182" "Disabled,Enabled" eventfld.long 0x00 21. " CEB181 ,Clear Enable Bit 181" "Disabled,Enabled" eventfld.long 0x00 20. " CEB180 ,Clear Enable Bit 180" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB179 ,Clear Enable Bit 179" "Disabled,Enabled" eventfld.long 0x00 18. " CEB178 ,Clear Enable Bit 178" "Disabled,Enabled" eventfld.long 0x00 17. " CEB177 ,Clear Enable Bit 177" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB176 ,Clear Enable Bit 176" "Disabled,Enabled" eventfld.long 0x00 15. " CEB175 ,Clear Enable Bit 175" "Disabled,Enabled" eventfld.long 0x00 14. " CEB174 ,Clear Enable Bit 174" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB173 ,Clear Enable Bit 173" "Disabled,Enabled" eventfld.long 0x00 12. " CEB172 ,Clear Enable Bit 172" "Disabled,Enabled" eventfld.long 0x00 11. " CEB171 ,Clear Enable Bit 171" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB170 ,Clear Enable Bit 170" "Disabled,Enabled" eventfld.long 0x00 9. " CEB169 ,Clear Enable Bit 169" "Disabled,Enabled" eventfld.long 0x00 8. " CEB168 ,Clear Enable Bit 168" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB167 ,Clear Enable Bit 167" "Disabled,Enabled" eventfld.long 0x00 6. " CEB166 ,Clear Enable Bit 166" "Disabled,Enabled" eventfld.long 0x00 5. " CEB165 ,Clear Enable Bit 165" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB164 ,Clear Enable Bit 164" "Disabled,Enabled" eventfld.long 0x00 3. " CEB163 ,Clear Enable Bit 163" "Disabled,Enabled" eventfld.long 0x00 2. " CEB162 ,Clear Enable Bit 162" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB161 ,Clear Enable Bit 161" "Disabled,Enabled" eventfld.long 0x00 0. " CEB160 ,Clear Enable Bit 160" "Disabled,Enabled" group.long 0x1198++0x03 line.long 0x0 "GICD_ICER6,Interrupt Clear Enable Register 6" eventfld.long 0x00 31. " CEB223 ,Clear Enable Bit 223" "Disabled,Enabled" eventfld.long 0x00 30. " CEB222 ,Clear Enable Bit 222" "Disabled,Enabled" eventfld.long 0x00 29. " CEB221 ,Clear Enable Bit 221" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB220 ,Clear Enable Bit 220" "Disabled,Enabled" eventfld.long 0x00 27. " CEB219 ,Clear Enable Bit 219" "Disabled,Enabled" eventfld.long 0x00 26. " CEB218 ,Clear Enable Bit 218" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB217 ,Clear Enable Bit 217" "Disabled,Enabled" eventfld.long 0x00 24. " CEB216 ,Clear Enable Bit 216" "Disabled,Enabled" eventfld.long 0x00 23. " CEB215 ,Clear Enable Bit 215" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB214 ,Clear Enable Bit 214" "Disabled,Enabled" eventfld.long 0x00 21. " CEB213 ,Clear Enable Bit 213" "Disabled,Enabled" eventfld.long 0x00 20. " CEB212 ,Clear Enable Bit 212" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB211 ,Clear Enable Bit 211" "Disabled,Enabled" eventfld.long 0x00 18. " CEB210 ,Clear Enable Bit 210" "Disabled,Enabled" eventfld.long 0x00 17. " CEB209 ,Clear Enable Bit 209" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB208 ,Clear Enable Bit 208" "Disabled,Enabled" eventfld.long 0x00 15. " CEB207 ,Clear Enable Bit 207" "Disabled,Enabled" eventfld.long 0x00 14. " CEB206 ,Clear Enable Bit 206" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB205 ,Clear Enable Bit 205" "Disabled,Enabled" eventfld.long 0x00 12. " CEB204 ,Clear Enable Bit 204" "Disabled,Enabled" eventfld.long 0x00 11. " CEB203 ,Clear Enable Bit 203" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB202 ,Clear Enable Bit 202" "Disabled,Enabled" eventfld.long 0x00 9. " CEB201 ,Clear Enable Bit 201" "Disabled,Enabled" eventfld.long 0x00 8. " CEB200 ,Clear Enable Bit 200" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB199 ,Clear Enable Bit 199" "Disabled,Enabled" eventfld.long 0x00 6. " CEB198 ,Clear Enable Bit 198" "Disabled,Enabled" eventfld.long 0x00 5. " CEB197 ,Clear Enable Bit 197" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB196 ,Clear Enable Bit 196" "Disabled,Enabled" eventfld.long 0x00 3. " CEB195 ,Clear Enable Bit 195" "Disabled,Enabled" eventfld.long 0x00 2. " CEB194 ,Clear Enable Bit 194" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB193 ,Clear Enable Bit 193" "Disabled,Enabled" eventfld.long 0x00 0. " CEB192 ,Clear Enable Bit 192" "Disabled,Enabled" group.long 0x119C++0x03 line.long 0x0 "GICD_ICER7,Interrupt Clear Enable Register 7" eventfld.long 0x00 31. " CEB255 ,Clear Enable Bit 255" "Disabled,Enabled" eventfld.long 0x00 30. " CEB254 ,Clear Enable Bit 254" "Disabled,Enabled" eventfld.long 0x00 29. " CEB253 ,Clear Enable Bit 253" "Disabled,Enabled" textline " " eventfld.long 0x00 28. " CEB252 ,Clear Enable Bit 252" "Disabled,Enabled" eventfld.long 0x00 27. " CEB251 ,Clear Enable Bit 251" "Disabled,Enabled" eventfld.long 0x00 26. " CEB250 ,Clear Enable Bit 250" "Disabled,Enabled" textline " " eventfld.long 0x00 25. " CEB249 ,Clear Enable Bit 249" "Disabled,Enabled" eventfld.long 0x00 24. " CEB248 ,Clear Enable Bit 248" "Disabled,Enabled" eventfld.long 0x00 23. " CEB247 ,Clear Enable Bit 247" "Disabled,Enabled" textline " " eventfld.long 0x00 22. " CEB246 ,Clear Enable Bit 246" "Disabled,Enabled" eventfld.long 0x00 21. " CEB245 ,Clear Enable Bit 245" "Disabled,Enabled" eventfld.long 0x00 20. " CEB244 ,Clear Enable Bit 244" "Disabled,Enabled" textline " " eventfld.long 0x00 19. " CEB243 ,Clear Enable Bit 243" "Disabled,Enabled" eventfld.long 0x00 18. " CEB242 ,Clear Enable Bit 242" "Disabled,Enabled" eventfld.long 0x00 17. " CEB241 ,Clear Enable Bit 241" "Disabled,Enabled" textline " " eventfld.long 0x00 16. " CEB240 ,Clear Enable Bit 240" "Disabled,Enabled" eventfld.long 0x00 15. " CEB239 ,Clear Enable Bit 239" "Disabled,Enabled" eventfld.long 0x00 14. " CEB238 ,Clear Enable Bit 238" "Disabled,Enabled" textline " " eventfld.long 0x00 13. " CEB237 ,Clear Enable Bit 237" "Disabled,Enabled" eventfld.long 0x00 12. " CEB236 ,Clear Enable Bit 236" "Disabled,Enabled" eventfld.long 0x00 11. " CEB235 ,Clear Enable Bit 235" "Disabled,Enabled" textline " " eventfld.long 0x00 10. " CEB234 ,Clear Enable Bit 234" "Disabled,Enabled" eventfld.long 0x00 9. " CEB233 ,Clear Enable Bit 233" "Disabled,Enabled" eventfld.long 0x00 8. " CEB232 ,Clear Enable Bit 232" "Disabled,Enabled" textline " " eventfld.long 0x00 7. " CEB231 ,Clear Enable Bit 231" "Disabled,Enabled" eventfld.long 0x00 6. " CEB230 ,Clear Enable Bit 230" "Disabled,Enabled" eventfld.long 0x00 5. " CEB229 ,Clear Enable Bit 229" "Disabled,Enabled" textline " " eventfld.long 0x00 4. " CEB228 ,Clear Enable Bit 228" "Disabled,Enabled" eventfld.long 0x00 3. " CEB227 ,Clear Enable Bit 227" "Disabled,Enabled" eventfld.long 0x00 2. " CEB226 ,Clear Enable Bit 226" "Disabled,Enabled" textline " " eventfld.long 0x00 1. " CEB225 ,Clear Enable Bit 225" "Disabled,Enabled" eventfld.long 0x00 0. " CEB224 ,Clear Enable Bit 224" "Disabled,Enabled" tree.end tree "Set-Pending Registers" group.long 0x1200++0x03 line.long 0x0 "GICD_ISPR0,Interrupt Set Pending Register 0" bitfld.long 0x00 31. " SPB31 ,Set Pending Bit 31" "Not pending,Pending" bitfld.long 0x00 30. " SPB30 ,Set Pending Bit 30" "Not pending,Pending" bitfld.long 0x00 29. " SPB29 ,Set Pending Bit 29" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB28 ,Set Pending Bit 28" "Not pending,Pending" bitfld.long 0x00 27. " SPB27 ,Set Pending Bit 27" "Not pending,Pending" bitfld.long 0x00 26. " SPB26 ,Set Pending Bit 26" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB25 ,Set Pending Bit 25" "Not pending,Pending" bitfld.long 0x00 24. " SPB24 ,Set Pending Bit 24" "Not pending,Pending" bitfld.long 0x00 23. " SPB23 ,Set Pending Bit 23" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB22 ,Set Pending Bit 22" "Not pending,Pending" bitfld.long 0x00 21. " SPB21 ,Set Pending Bit 21" "Not pending,Pending" bitfld.long 0x00 20. " SPB20 ,Set Pending Bit 20" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB19 ,Set Pending Bit 19" "Not pending,Pending" bitfld.long 0x00 18. " SPB18 ,Set Pending Bit 18" "Not pending,Pending" bitfld.long 0x00 17. " SPB17 ,Set Pending Bit 17" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB16 ,Set Pending Bit 16" "Not pending,Pending" bitfld.long 0x00 15. " SPB15 ,Set Pending Bit 15" "Not pending,Pending" bitfld.long 0x00 14. " SPB14 ,Set Pending Bit 14" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB13 ,Set Pending Bit 13" "Not pending,Pending" bitfld.long 0x00 12. " SPB12 ,Set Pending Bit 12" "Not pending,Pending" bitfld.long 0x00 11. " SPB11 ,Set Pending Bit 11" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB10 ,Set Pending Bit 10" "Not pending,Pending" bitfld.long 0x00 9. " SPB9 ,Set Pending Bit 9" "Not pending,Pending" bitfld.long 0x00 8. " SPB8 ,Set Pending Bit 8" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB7 ,Set Pending Bit 7" "Not pending,Pending" bitfld.long 0x00 6. " SPB6 ,Set Pending Bit 6" "Not pending,Pending" bitfld.long 0x00 5. " SPB5 ,Set Pending Bit 5" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB4 ,Set Pending Bit 4" "Not pending,Pending" bitfld.long 0x00 3. " SPB3 ,Set Pending Bit 3" "Not pending,Pending" bitfld.long 0x00 2. " SPB2 ,Set Pending Bit 2" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB1 ,Set Pending Bit 1" "Not pending,Pending" bitfld.long 0x00 0. " SPB0 ,Set Pending Bit 0" "Not pending,Pending" group.long 0x1204++0x03 line.long 0x0 "GICD_ISPR1,Interrupt Set Pending Register 1" bitfld.long 0x00 31. " SPB63 ,Set Pending Bit 63" "Not pending,Pending" bitfld.long 0x00 30. " SPB62 ,Set Pending Bit 62" "Not pending,Pending" bitfld.long 0x00 29. " SPB61 ,Set Pending Bit 61" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB60 ,Set Pending Bit 60" "Not pending,Pending" bitfld.long 0x00 27. " SPB59 ,Set Pending Bit 59" "Not pending,Pending" bitfld.long 0x00 26. " SPB58 ,Set Pending Bit 58" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB57 ,Set Pending Bit 57" "Not pending,Pending" bitfld.long 0x00 24. " SPB56 ,Set Pending Bit 56" "Not pending,Pending" bitfld.long 0x00 23. " SPB55 ,Set Pending Bit 55" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB54 ,Set Pending Bit 54" "Not pending,Pending" bitfld.long 0x00 21. " SPB53 ,Set Pending Bit 53" "Not pending,Pending" bitfld.long 0x00 20. " SPB52 ,Set Pending Bit 52" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB51 ,Set Pending Bit 51" "Not pending,Pending" bitfld.long 0x00 18. " SPB50 ,Set Pending Bit 50" "Not pending,Pending" bitfld.long 0x00 17. " SPB49 ,Set Pending Bit 49" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB48 ,Set Pending Bit 48" "Not pending,Pending" bitfld.long 0x00 15. " SPB47 ,Set Pending Bit 47" "Not pending,Pending" bitfld.long 0x00 14. " SPB46 ,Set Pending Bit 46" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB45 ,Set Pending Bit 45" "Not pending,Pending" bitfld.long 0x00 12. " SPB44 ,Set Pending Bit 44" "Not pending,Pending" bitfld.long 0x00 11. " SPB43 ,Set Pending Bit 43" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB42 ,Set Pending Bit 42" "Not pending,Pending" bitfld.long 0x00 9. " SPB41 ,Set Pending Bit 41" "Not pending,Pending" bitfld.long 0x00 8. " SPB40 ,Set Pending Bit 40" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB39 ,Set Pending Bit 39" "Not pending,Pending" bitfld.long 0x00 6. " SPB38 ,Set Pending Bit 38" "Not pending,Pending" bitfld.long 0x00 5. " SPB37 ,Set Pending Bit 37" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB36 ,Set Pending Bit 36" "Not pending,Pending" bitfld.long 0x00 3. " SPB35 ,Set Pending Bit 35" "Not pending,Pending" bitfld.long 0x00 2. " SPB34 ,Set Pending Bit 34" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB33 ,Set Pending Bit 33" "Not pending,Pending" bitfld.long 0x00 0. " SPB32 ,Set Pending Bit 32" "Not pending,Pending" group.long 0x1208++0x03 line.long 0x0 "GICD_ISPR2,Interrupt Set Pending Register 2" bitfld.long 0x00 31. " SPB95 ,Set Pending Bit 95" "Not pending,Pending" bitfld.long 0x00 30. " SPB94 ,Set Pending Bit 94" "Not pending,Pending" bitfld.long 0x00 29. " SPB93 ,Set Pending Bit 93" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB92 ,Set Pending Bit 92" "Not pending,Pending" bitfld.long 0x00 27. " SPB91 ,Set Pending Bit 91" "Not pending,Pending" bitfld.long 0x00 26. " SPB90 ,Set Pending Bit 90" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB89 ,Set Pending Bit 89" "Not pending,Pending" bitfld.long 0x00 24. " SPB88 ,Set Pending Bit 88" "Not pending,Pending" bitfld.long 0x00 23. " SPB87 ,Set Pending Bit 87" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB86 ,Set Pending Bit 86" "Not pending,Pending" bitfld.long 0x00 21. " SPB85 ,Set Pending Bit 85" "Not pending,Pending" bitfld.long 0x00 20. " SPB84 ,Set Pending Bit 84" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB83 ,Set Pending Bit 83" "Not pending,Pending" bitfld.long 0x00 18. " SPB82 ,Set Pending Bit 82" "Not pending,Pending" bitfld.long 0x00 17. " SPB81 ,Set Pending Bit 81" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB80 ,Set Pending Bit 80" "Not pending,Pending" bitfld.long 0x00 15. " SPB79 ,Set Pending Bit 79" "Not pending,Pending" bitfld.long 0x00 14. " SPB78 ,Set Pending Bit 78" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB77 ,Set Pending Bit 77" "Not pending,Pending" bitfld.long 0x00 12. " SPB76 ,Set Pending Bit 76" "Not pending,Pending" bitfld.long 0x00 11. " SPB75 ,Set Pending Bit 75" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB74 ,Set Pending Bit 74" "Not pending,Pending" bitfld.long 0x00 9. " SPB73 ,Set Pending Bit 73" "Not pending,Pending" bitfld.long 0x00 8. " SPB72 ,Set Pending Bit 72" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB71 ,Set Pending Bit 71" "Not pending,Pending" bitfld.long 0x00 6. " SPB70 ,Set Pending Bit 70" "Not pending,Pending" bitfld.long 0x00 5. " SPB69 ,Set Pending Bit 69" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB68 ,Set Pending Bit 68" "Not pending,Pending" bitfld.long 0x00 3. " SPB67 ,Set Pending Bit 67" "Not pending,Pending" bitfld.long 0x00 2. " SPB66 ,Set Pending Bit 66" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB65 ,Set Pending Bit 65" "Not pending,Pending" bitfld.long 0x00 0. " SPB64 ,Set Pending Bit 64" "Not pending,Pending" group.long 0x120C++0x03 line.long 0x0 "GICD_ISPR3,Interrupt Set Pending Register 3" bitfld.long 0x00 31. " SPB127 ,Set Pending Bit 127" "Not pending,Pending" bitfld.long 0x00 30. " SPB126 ,Set Pending Bit 126" "Not pending,Pending" bitfld.long 0x00 29. " SPB125 ,Set Pending Bit 125" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB124 ,Set Pending Bit 124" "Not pending,Pending" bitfld.long 0x00 27. " SPB123 ,Set Pending Bit 123" "Not pending,Pending" bitfld.long 0x00 26. " SPB122 ,Set Pending Bit 122" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB121 ,Set Pending Bit 121" "Not pending,Pending" bitfld.long 0x00 24. " SPB120 ,Set Pending Bit 120" "Not pending,Pending" bitfld.long 0x00 23. " SPB119 ,Set Pending Bit 119" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB118 ,Set Pending Bit 118" "Not pending,Pending" bitfld.long 0x00 21. " SPB117 ,Set Pending Bit 117" "Not pending,Pending" bitfld.long 0x00 20. " SPB116 ,Set Pending Bit 116" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB115 ,Set Pending Bit 115" "Not pending,Pending" bitfld.long 0x00 18. " SPB114 ,Set Pending Bit 114" "Not pending,Pending" bitfld.long 0x00 17. " SPB113 ,Set Pending Bit 113" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB112 ,Set Pending Bit 112" "Not pending,Pending" bitfld.long 0x00 15. " SPB111 ,Set Pending Bit 111" "Not pending,Pending" bitfld.long 0x00 14. " SPB110 ,Set Pending Bit 110" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB109 ,Set Pending Bit 109" "Not pending,Pending" bitfld.long 0x00 12. " SPB108 ,Set Pending Bit 108" "Not pending,Pending" bitfld.long 0x00 11. " SPB107 ,Set Pending Bit 107" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB106 ,Set Pending Bit 106" "Not pending,Pending" bitfld.long 0x00 9. " SPB105 ,Set Pending Bit 105" "Not pending,Pending" bitfld.long 0x00 8. " SPB104 ,Set Pending Bit 104" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB103 ,Set Pending Bit 103" "Not pending,Pending" bitfld.long 0x00 6. " SPB102 ,Set Pending Bit 102" "Not pending,Pending" bitfld.long 0x00 5. " SPB101 ,Set Pending Bit 101" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB100 ,Set Pending Bit 100" "Not pending,Pending" bitfld.long 0x00 3. " SPB99 ,Set Pending Bit 99" "Not pending,Pending" bitfld.long 0x00 2. " SPB98 ,Set Pending Bit 98" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB97 ,Set Pending Bit 97" "Not pending,Pending" bitfld.long 0x00 0. " SPB96 ,Set Pending Bit 96" "Not pending,Pending" group.long 0x1210++0x03 line.long 0x0 "GICD_ISPR4,Interrupt Set Pending Register 4" bitfld.long 0x00 31. " SPB159 ,Set Pending Bit 159" "Not pending,Pending" bitfld.long 0x00 30. " SPB158 ,Set Pending Bit 158" "Not pending,Pending" bitfld.long 0x00 29. " SPB157 ,Set Pending Bit 157" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB156 ,Set Pending Bit 156" "Not pending,Pending" bitfld.long 0x00 27. " SPB155 ,Set Pending Bit 155" "Not pending,Pending" bitfld.long 0x00 26. " SPB154 ,Set Pending Bit 154" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB153 ,Set Pending Bit 153" "Not pending,Pending" bitfld.long 0x00 24. " SPB152 ,Set Pending Bit 152" "Not pending,Pending" bitfld.long 0x00 23. " SPB151 ,Set Pending Bit 151" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB150 ,Set Pending Bit 150" "Not pending,Pending" bitfld.long 0x00 21. " SPB149 ,Set Pending Bit 149" "Not pending,Pending" bitfld.long 0x00 20. " SPB148 ,Set Pending Bit 148" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB147 ,Set Pending Bit 147" "Not pending,Pending" bitfld.long 0x00 18. " SPB146 ,Set Pending Bit 146" "Not pending,Pending" bitfld.long 0x00 17. " SPB145 ,Set Pending Bit 145" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB144 ,Set Pending Bit 144" "Not pending,Pending" bitfld.long 0x00 15. " SPB143 ,Set Pending Bit 143" "Not pending,Pending" bitfld.long 0x00 14. " SPB142 ,Set Pending Bit 142" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB141 ,Set Pending Bit 141" "Not pending,Pending" bitfld.long 0x00 12. " SPB140 ,Set Pending Bit 140" "Not pending,Pending" bitfld.long 0x00 11. " SPB139 ,Set Pending Bit 139" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB138 ,Set Pending Bit 138" "Not pending,Pending" bitfld.long 0x00 9. " SPB137 ,Set Pending Bit 137" "Not pending,Pending" bitfld.long 0x00 8. " SPB136 ,Set Pending Bit 136" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB135 ,Set Pending Bit 135" "Not pending,Pending" bitfld.long 0x00 6. " SPB134 ,Set Pending Bit 134" "Not pending,Pending" bitfld.long 0x00 5. " SPB133 ,Set Pending Bit 133" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB132 ,Set Pending Bit 132" "Not pending,Pending" bitfld.long 0x00 3. " SPB131 ,Set Pending Bit 131" "Not pending,Pending" bitfld.long 0x00 2. " SPB130 ,Set Pending Bit 130" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB129 ,Set Pending Bit 129" "Not pending,Pending" bitfld.long 0x00 0. " SPB128 ,Set Pending Bit 128" "Not pending,Pending" group.long 0x1214++0x03 line.long 0x0 "GICD_ISPR5,Interrupt Set Pending Register 5" bitfld.long 0x00 31. " SPB191 ,Set Pending Bit 191" "Not pending,Pending" bitfld.long 0x00 30. " SPB190 ,Set Pending Bit 190" "Not pending,Pending" bitfld.long 0x00 29. " SPB189 ,Set Pending Bit 189" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB188 ,Set Pending Bit 188" "Not pending,Pending" bitfld.long 0x00 27. " SPB187 ,Set Pending Bit 187" "Not pending,Pending" bitfld.long 0x00 26. " SPB186 ,Set Pending Bit 186" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB185 ,Set Pending Bit 185" "Not pending,Pending" bitfld.long 0x00 24. " SPB184 ,Set Pending Bit 184" "Not pending,Pending" bitfld.long 0x00 23. " SPB183 ,Set Pending Bit 183" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB182 ,Set Pending Bit 182" "Not pending,Pending" bitfld.long 0x00 21. " SPB181 ,Set Pending Bit 181" "Not pending,Pending" bitfld.long 0x00 20. " SPB180 ,Set Pending Bit 180" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB179 ,Set Pending Bit 179" "Not pending,Pending" bitfld.long 0x00 18. " SPB178 ,Set Pending Bit 178" "Not pending,Pending" bitfld.long 0x00 17. " SPB177 ,Set Pending Bit 177" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB176 ,Set Pending Bit 176" "Not pending,Pending" bitfld.long 0x00 15. " SPB175 ,Set Pending Bit 175" "Not pending,Pending" bitfld.long 0x00 14. " SPB174 ,Set Pending Bit 174" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB173 ,Set Pending Bit 173" "Not pending,Pending" bitfld.long 0x00 12. " SPB172 ,Set Pending Bit 172" "Not pending,Pending" bitfld.long 0x00 11. " SPB171 ,Set Pending Bit 171" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB170 ,Set Pending Bit 170" "Not pending,Pending" bitfld.long 0x00 9. " SPB169 ,Set Pending Bit 169" "Not pending,Pending" bitfld.long 0x00 8. " SPB168 ,Set Pending Bit 168" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB167 ,Set Pending Bit 167" "Not pending,Pending" bitfld.long 0x00 6. " SPB166 ,Set Pending Bit 166" "Not pending,Pending" bitfld.long 0x00 5. " SPB165 ,Set Pending Bit 165" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB164 ,Set Pending Bit 164" "Not pending,Pending" bitfld.long 0x00 3. " SPB163 ,Set Pending Bit 163" "Not pending,Pending" bitfld.long 0x00 2. " SPB162 ,Set Pending Bit 162" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB161 ,Set Pending Bit 161" "Not pending,Pending" bitfld.long 0x00 0. " SPB160 ,Set Pending Bit 160" "Not pending,Pending" group.long 0x1218++0x03 line.long 0x0 "GICD_ISPR6,Interrupt Set Pending Register 6" bitfld.long 0x00 31. " SPB223 ,Set Pending Bit 223" "Not pending,Pending" bitfld.long 0x00 30. " SPB222 ,Set Pending Bit 222" "Not pending,Pending" bitfld.long 0x00 29. " SPB221 ,Set Pending Bit 221" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB220 ,Set Pending Bit 220" "Not pending,Pending" bitfld.long 0x00 27. " SPB219 ,Set Pending Bit 219" "Not pending,Pending" bitfld.long 0x00 26. " SPB218 ,Set Pending Bit 218" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB217 ,Set Pending Bit 217" "Not pending,Pending" bitfld.long 0x00 24. " SPB216 ,Set Pending Bit 216" "Not pending,Pending" bitfld.long 0x00 23. " SPB215 ,Set Pending Bit 215" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB214 ,Set Pending Bit 214" "Not pending,Pending" bitfld.long 0x00 21. " SPB213 ,Set Pending Bit 213" "Not pending,Pending" bitfld.long 0x00 20. " SPB212 ,Set Pending Bit 212" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB211 ,Set Pending Bit 211" "Not pending,Pending" bitfld.long 0x00 18. " SPB210 ,Set Pending Bit 210" "Not pending,Pending" bitfld.long 0x00 17. " SPB209 ,Set Pending Bit 209" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB208 ,Set Pending Bit 208" "Not pending,Pending" bitfld.long 0x00 15. " SPB207 ,Set Pending Bit 207" "Not pending,Pending" bitfld.long 0x00 14. " SPB206 ,Set Pending Bit 206" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB205 ,Set Pending Bit 205" "Not pending,Pending" bitfld.long 0x00 12. " SPB204 ,Set Pending Bit 204" "Not pending,Pending" bitfld.long 0x00 11. " SPB203 ,Set Pending Bit 203" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB202 ,Set Pending Bit 202" "Not pending,Pending" bitfld.long 0x00 9. " SPB201 ,Set Pending Bit 201" "Not pending,Pending" bitfld.long 0x00 8. " SPB200 ,Set Pending Bit 200" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB199 ,Set Pending Bit 199" "Not pending,Pending" bitfld.long 0x00 6. " SPB198 ,Set Pending Bit 198" "Not pending,Pending" bitfld.long 0x00 5. " SPB197 ,Set Pending Bit 197" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB196 ,Set Pending Bit 196" "Not pending,Pending" bitfld.long 0x00 3. " SPB195 ,Set Pending Bit 195" "Not pending,Pending" bitfld.long 0x00 2. " SPB194 ,Set Pending Bit 194" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB193 ,Set Pending Bit 193" "Not pending,Pending" bitfld.long 0x00 0. " SPB192 ,Set Pending Bit 192" "Not pending,Pending" group.long 0x121C++0x03 line.long 0x0 "GICD_ISPR7,Interrupt Set Pending Register 7" bitfld.long 0x00 31. " SPB255 ,Set Pending Bit 255" "Not pending,Pending" bitfld.long 0x00 30. " SPB254 ,Set Pending Bit 254" "Not pending,Pending" bitfld.long 0x00 29. " SPB253 ,Set Pending Bit 253" "Not pending,Pending" textline " " bitfld.long 0x00 28. " SPB252 ,Set Pending Bit 252" "Not pending,Pending" bitfld.long 0x00 27. " SPB251 ,Set Pending Bit 251" "Not pending,Pending" bitfld.long 0x00 26. " SPB250 ,Set Pending Bit 250" "Not pending,Pending" textline " " bitfld.long 0x00 25. " SPB249 ,Set Pending Bit 249" "Not pending,Pending" bitfld.long 0x00 24. " SPB248 ,Set Pending Bit 248" "Not pending,Pending" bitfld.long 0x00 23. " SPB247 ,Set Pending Bit 247" "Not pending,Pending" textline " " bitfld.long 0x00 22. " SPB246 ,Set Pending Bit 246" "Not pending,Pending" bitfld.long 0x00 21. " SPB245 ,Set Pending Bit 245" "Not pending,Pending" bitfld.long 0x00 20. " SPB244 ,Set Pending Bit 244" "Not pending,Pending" textline " " bitfld.long 0x00 19. " SPB243 ,Set Pending Bit 243" "Not pending,Pending" bitfld.long 0x00 18. " SPB242 ,Set Pending Bit 242" "Not pending,Pending" bitfld.long 0x00 17. " SPB241 ,Set Pending Bit 241" "Not pending,Pending" textline " " bitfld.long 0x00 16. " SPB240 ,Set Pending Bit 240" "Not pending,Pending" bitfld.long 0x00 15. " SPB239 ,Set Pending Bit 239" "Not pending,Pending" bitfld.long 0x00 14. " SPB238 ,Set Pending Bit 238" "Not pending,Pending" textline " " bitfld.long 0x00 13. " SPB237 ,Set Pending Bit 237" "Not pending,Pending" bitfld.long 0x00 12. " SPB236 ,Set Pending Bit 236" "Not pending,Pending" bitfld.long 0x00 11. " SPB235 ,Set Pending Bit 235" "Not pending,Pending" textline " " bitfld.long 0x00 10. " SPB234 ,Set Pending Bit 234" "Not pending,Pending" bitfld.long 0x00 9. " SPB233 ,Set Pending Bit 233" "Not pending,Pending" bitfld.long 0x00 8. " SPB232 ,Set Pending Bit 232" "Not pending,Pending" textline " " bitfld.long 0x00 7. " SPB231 ,Set Pending Bit 231" "Not pending,Pending" bitfld.long 0x00 6. " SPB230 ,Set Pending Bit 230" "Not pending,Pending" bitfld.long 0x00 5. " SPB229 ,Set Pending Bit 229" "Not pending,Pending" textline " " bitfld.long 0x00 4. " SPB228 ,Set Pending Bit 228" "Not pending,Pending" bitfld.long 0x00 3. " SPB227 ,Set Pending Bit 227" "Not pending,Pending" bitfld.long 0x00 2. " SPB226 ,Set Pending Bit 226" "Not pending,Pending" textline " " bitfld.long 0x00 1. " SPB225 ,Set Pending Bit 225" "Not pending,Pending" bitfld.long 0x00 0. " SPB224 ,Set Pending Bit 224" "Not pending,Pending" textline " " tree.end tree "Clear-Pending Registers" group.long 0x1280++0x03 line.long 0x0 "GICD_ICPR0,Interrupt Clear Pending Register 0" eventfld.long 0x00 31. " CPB31 ,Clear Pending Bit 31" "Not pending,Pending" eventfld.long 0x00 30. " CPB30 ,Clear Pending Bit 30" "Not pending,Pending" eventfld.long 0x00 29. " CPB29 ,Clear Pending Bit 29" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB28 ,Clear Pending Bit 28" "Not pending,Pending" eventfld.long 0x00 27. " CPB27 ,Clear Pending Bit 27" "Not pending,Pending" eventfld.long 0x00 26. " CPB26 ,Clear Pending Bit 26" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB25 ,Clear Pending Bit 25" "Not pending,Pending" eventfld.long 0x00 24. " CPB24 ,Clear Pending Bit 24" "Not pending,Pending" eventfld.long 0x00 23. " CPB23 ,Clear Pending Bit 23" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB22 ,Clear Pending Bit 22" "Not pending,Pending" eventfld.long 0x00 21. " CPB21 ,Clear Pending Bit 21" "Not pending,Pending" eventfld.long 0x00 20. " CPB20 ,Clear Pending Bit 20" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB19 ,Clear Pending Bit 19" "Not pending,Pending" eventfld.long 0x00 18. " CPB18 ,Clear Pending Bit 18" "Not pending,Pending" eventfld.long 0x00 17. " CPB17 ,Clear Pending Bit 17" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB16 ,Clear Pending Bit 16" "Not pending,Pending" eventfld.long 0x00 15. " CPB15 ,Clear Pending Bit 15" "Not pending,Pending" eventfld.long 0x00 14. " CPB14 ,Clear Pending Bit 14" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB13 ,Clear Pending Bit 13" "Not pending,Pending" eventfld.long 0x00 12. " CPB12 ,Clear Pending Bit 12" "Not pending,Pending" eventfld.long 0x00 11. " CPB11 ,Clear Pending Bit 11" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB10 ,Clear Pending Bit 10" "Not pending,Pending" eventfld.long 0x00 9. " CPB9 ,Clear Pending Bit 9" "Not pending,Pending" eventfld.long 0x00 8. " CPB8 ,Clear Pending Bit 8" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB7 ,Clear Pending Bit 7" "Not pending,Pending" eventfld.long 0x00 6. " CPB6 ,Clear Pending Bit 6" "Not pending,Pending" eventfld.long 0x00 5. " CPB5 ,Clear Pending Bit 5" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB4 ,Clear Pending Bit 4" "Not pending,Pending" eventfld.long 0x00 3. " CPB3 ,Clear Pending Bit 3" "Not pending,Pending" eventfld.long 0x00 2. " CPB2 ,Clear Pending Bit 2" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB1 ,Clear Pending Bit 1" "Not pending,Pending" eventfld.long 0x00 0. " CPB0 ,Clear Pending Bit 0" "Not pending,Pending" group.long 0x1284++0x03 line.long 0x0 "GICD_ICPR1,Interrupt Clear Pending Register 1" eventfld.long 0x00 31. " CPB63 ,Clear Pending Bit 63" "Not pending,Pending" eventfld.long 0x00 30. " CPB62 ,Clear Pending Bit 62" "Not pending,Pending" eventfld.long 0x00 29. " CPB61 ,Clear Pending Bit 61" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB60 ,Clear Pending Bit 60" "Not pending,Pending" eventfld.long 0x00 27. " CPB59 ,Clear Pending Bit 59" "Not pending,Pending" eventfld.long 0x00 26. " CPB58 ,Clear Pending Bit 58" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB57 ,Clear Pending Bit 57" "Not pending,Pending" eventfld.long 0x00 24. " CPB56 ,Clear Pending Bit 56" "Not pending,Pending" eventfld.long 0x00 23. " CPB55 ,Clear Pending Bit 55" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB54 ,Clear Pending Bit 54" "Not pending,Pending" eventfld.long 0x00 21. " CPB53 ,Clear Pending Bit 53" "Not pending,Pending" eventfld.long 0x00 20. " CPB52 ,Clear Pending Bit 52" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB51 ,Clear Pending Bit 51" "Not pending,Pending" eventfld.long 0x00 18. " CPB50 ,Clear Pending Bit 50" "Not pending,Pending" eventfld.long 0x00 17. " CPB49 ,Clear Pending Bit 49" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB48 ,Clear Pending Bit 48" "Not pending,Pending" eventfld.long 0x00 15. " CPB47 ,Clear Pending Bit 47" "Not pending,Pending" eventfld.long 0x00 14. " CPB46 ,Clear Pending Bit 46" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB45 ,Clear Pending Bit 45" "Not pending,Pending" eventfld.long 0x00 12. " CPB44 ,Clear Pending Bit 44" "Not pending,Pending" eventfld.long 0x00 11. " CPB43 ,Clear Pending Bit 43" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB42 ,Clear Pending Bit 42" "Not pending,Pending" eventfld.long 0x00 9. " CPB41 ,Clear Pending Bit 41" "Not pending,Pending" eventfld.long 0x00 8. " CPB40 ,Clear Pending Bit 40" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB39 ,Clear Pending Bit 39" "Not pending,Pending" eventfld.long 0x00 6. " CPB38 ,Clear Pending Bit 38" "Not pending,Pending" eventfld.long 0x00 5. " CPB37 ,Clear Pending Bit 37" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB36 ,Clear Pending Bit 36" "Not pending,Pending" eventfld.long 0x00 3. " CPB35 ,Clear Pending Bit 35" "Not pending,Pending" eventfld.long 0x00 2. " CPB34 ,Clear Pending Bit 34" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB33 ,Clear Pending Bit 33" "Not pending,Pending" eventfld.long 0x00 0. " CPB32 ,Clear Pending Bit 32" "Not pending,Pending" group.long 0x1288++0x03 line.long 0x0 "GICD_ICPR2,Interrupt Clear Pending Register 2" eventfld.long 0x00 31. " CPB95 ,Clear Pending Bit 95" "Not pending,Pending" eventfld.long 0x00 30. " CPB94 ,Clear Pending Bit 94" "Not pending,Pending" eventfld.long 0x00 29. " CPB93 ,Clear Pending Bit 93" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB92 ,Clear Pending Bit 92" "Not pending,Pending" eventfld.long 0x00 27. " CPB91 ,Clear Pending Bit 91" "Not pending,Pending" eventfld.long 0x00 26. " CPB90 ,Clear Pending Bit 90" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB89 ,Clear Pending Bit 89" "Not pending,Pending" eventfld.long 0x00 24. " CPB88 ,Clear Pending Bit 88" "Not pending,Pending" eventfld.long 0x00 23. " CPB87 ,Clear Pending Bit 87" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB86 ,Clear Pending Bit 86" "Not pending,Pending" eventfld.long 0x00 21. " CPB85 ,Clear Pending Bit 85" "Not pending,Pending" eventfld.long 0x00 20. " CPB84 ,Clear Pending Bit 84" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB83 ,Clear Pending Bit 83" "Not pending,Pending" eventfld.long 0x00 18. " CPB82 ,Clear Pending Bit 82" "Not pending,Pending" eventfld.long 0x00 17. " CPB81 ,Clear Pending Bit 81" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB80 ,Clear Pending Bit 80" "Not pending,Pending" eventfld.long 0x00 15. " CPB79 ,Clear Pending Bit 79" "Not pending,Pending" eventfld.long 0x00 14. " CPB78 ,Clear Pending Bit 78" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB77 ,Clear Pending Bit 77" "Not pending,Pending" eventfld.long 0x00 12. " CPB76 ,Clear Pending Bit 76" "Not pending,Pending" eventfld.long 0x00 11. " CPB75 ,Clear Pending Bit 75" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB74 ,Clear Pending Bit 74" "Not pending,Pending" eventfld.long 0x00 9. " CPB73 ,Clear Pending Bit 73" "Not pending,Pending" eventfld.long 0x00 8. " CPB72 ,Clear Pending Bit 72" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB71 ,Clear Pending Bit 71" "Not pending,Pending" eventfld.long 0x00 6. " CPB70 ,Clear Pending Bit 70" "Not pending,Pending" eventfld.long 0x00 5. " CPB69 ,Clear Pending Bit 69" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB68 ,Clear Pending Bit 68" "Not pending,Pending" eventfld.long 0x00 3. " CPB67 ,Clear Pending Bit 67" "Not pending,Pending" eventfld.long 0x00 2. " CPB66 ,Clear Pending Bit 66" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB65 ,Clear Pending Bit 65" "Not pending,Pending" eventfld.long 0x00 0. " CPB64 ,Clear Pending Bit 64" "Not pending,Pending" group.long 0x128C++0x03 line.long 0x0 "GICD_ICPR3,Interrupt Clear Pending Register 3" eventfld.long 0x00 31. " CPB127 ,Clear Pending Bit 127" "Not pending,Pending" eventfld.long 0x00 30. " CPB126 ,Clear Pending Bit 126" "Not pending,Pending" eventfld.long 0x00 29. " CPB125 ,Clear Pending Bit 125" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB124 ,Clear Pending Bit 124" "Not pending,Pending" eventfld.long 0x00 27. " CPB123 ,Clear Pending Bit 123" "Not pending,Pending" eventfld.long 0x00 26. " CPB122 ,Clear Pending Bit 122" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB121 ,Clear Pending Bit 121" "Not pending,Pending" eventfld.long 0x00 24. " CPB120 ,Clear Pending Bit 120" "Not pending,Pending" eventfld.long 0x00 23. " CPB119 ,Clear Pending Bit 119" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB118 ,Clear Pending Bit 118" "Not pending,Pending" eventfld.long 0x00 21. " CPB117 ,Clear Pending Bit 117" "Not pending,Pending" eventfld.long 0x00 20. " CPB116 ,Clear Pending Bit 116" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB115 ,Clear Pending Bit 115" "Not pending,Pending" eventfld.long 0x00 18. " CPB114 ,Clear Pending Bit 114" "Not pending,Pending" eventfld.long 0x00 17. " CPB113 ,Clear Pending Bit 113" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB112 ,Clear Pending Bit 112" "Not pending,Pending" eventfld.long 0x00 15. " CPB111 ,Clear Pending Bit 111" "Not pending,Pending" eventfld.long 0x00 14. " CPB110 ,Clear Pending Bit 110" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB109 ,Clear Pending Bit 109" "Not pending,Pending" eventfld.long 0x00 12. " CPB108 ,Clear Pending Bit 108" "Not pending,Pending" eventfld.long 0x00 11. " CPB107 ,Clear Pending Bit 107" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB106 ,Clear Pending Bit 106" "Not pending,Pending" eventfld.long 0x00 9. " CPB105 ,Clear Pending Bit 105" "Not pending,Pending" eventfld.long 0x00 8. " CPB104 ,Clear Pending Bit 104" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB103 ,Clear Pending Bit 103" "Not pending,Pending" eventfld.long 0x00 6. " CPB102 ,Clear Pending Bit 102" "Not pending,Pending" eventfld.long 0x00 5. " CPB101 ,Clear Pending Bit 101" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB100 ,Clear Pending Bit 100" "Not pending,Pending" eventfld.long 0x00 3. " CPB99 ,Clear Pending Bit 99" "Not pending,Pending" eventfld.long 0x00 2. " CPB98 ,Clear Pending Bit 98" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB97 ,Clear Pending Bit 97" "Not pending,Pending" eventfld.long 0x00 0. " CPB96 ,Clear Pending Bit 96" "Not pending,Pending" group.long 0x1290++0x03 line.long 0x0 "GICD_ICPR4,Interrupt Clear Pending Register 4" eventfld.long 0x00 31. " CPB159 ,Clear Pending Bit 159" "Not pending,Pending" eventfld.long 0x00 30. " CPB158 ,Clear Pending Bit 158" "Not pending,Pending" eventfld.long 0x00 29. " CPB157 ,Clear Pending Bit 157" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB156 ,Clear Pending Bit 156" "Not pending,Pending" eventfld.long 0x00 27. " CPB155 ,Clear Pending Bit 155" "Not pending,Pending" eventfld.long 0x00 26. " CPB154 ,Clear Pending Bit 154" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB153 ,Clear Pending Bit 153" "Not pending,Pending" eventfld.long 0x00 24. " CPB152 ,Clear Pending Bit 152" "Not pending,Pending" eventfld.long 0x00 23. " CPB151 ,Clear Pending Bit 151" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB150 ,Clear Pending Bit 150" "Not pending,Pending" eventfld.long 0x00 21. " CPB149 ,Clear Pending Bit 149" "Not pending,Pending" eventfld.long 0x00 20. " CPB148 ,Clear Pending Bit 148" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB147 ,Clear Pending Bit 147" "Not pending,Pending" eventfld.long 0x00 18. " CPB146 ,Clear Pending Bit 146" "Not pending,Pending" eventfld.long 0x00 17. " CPB145 ,Clear Pending Bit 145" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB144 ,Clear Pending Bit 144" "Not pending,Pending" eventfld.long 0x00 15. " CPB143 ,Clear Pending Bit 143" "Not pending,Pending" eventfld.long 0x00 14. " CPB142 ,Clear Pending Bit 142" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB141 ,Clear Pending Bit 141" "Not pending,Pending" eventfld.long 0x00 12. " CPB140 ,Clear Pending Bit 140" "Not pending,Pending" eventfld.long 0x00 11. " CPB139 ,Clear Pending Bit 139" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB138 ,Clear Pending Bit 138" "Not pending,Pending" eventfld.long 0x00 9. " CPB137 ,Clear Pending Bit 137" "Not pending,Pending" eventfld.long 0x00 8. " CPB136 ,Clear Pending Bit 136" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB135 ,Clear Pending Bit 135" "Not pending,Pending" eventfld.long 0x00 6. " CPB134 ,Clear Pending Bit 134" "Not pending,Pending" eventfld.long 0x00 5. " CPB133 ,Clear Pending Bit 133" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB132 ,Clear Pending Bit 132" "Not pending,Pending" eventfld.long 0x00 3. " CPB131 ,Clear Pending Bit 131" "Not pending,Pending" eventfld.long 0x00 2. " CPB130 ,Clear Pending Bit 130" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB129 ,Clear Pending Bit 129" "Not pending,Pending" eventfld.long 0x00 0. " CPB128 ,Clear Pending Bit 128" "Not pending,Pending" group.long 0x1294++0x03 line.long 0x0 "GICD_ICPR5,Interrupt Clear Pending Register 5" eventfld.long 0x00 31. " CPB191 ,Clear Pending Bit 191" "Not pending,Pending" eventfld.long 0x00 30. " CPB190 ,Clear Pending Bit 190" "Not pending,Pending" eventfld.long 0x00 29. " CPB189 ,Clear Pending Bit 189" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB188 ,Clear Pending Bit 188" "Not pending,Pending" eventfld.long 0x00 27. " CPB187 ,Clear Pending Bit 187" "Not pending,Pending" eventfld.long 0x00 26. " CPB186 ,Clear Pending Bit 186" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB185 ,Clear Pending Bit 185" "Not pending,Pending" eventfld.long 0x00 24. " CPB184 ,Clear Pending Bit 184" "Not pending,Pending" eventfld.long 0x00 23. " CPB183 ,Clear Pending Bit 183" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB182 ,Clear Pending Bit 182" "Not pending,Pending" eventfld.long 0x00 21. " CPB181 ,Clear Pending Bit 181" "Not pending,Pending" eventfld.long 0x00 20. " CPB180 ,Clear Pending Bit 180" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB179 ,Clear Pending Bit 179" "Not pending,Pending" eventfld.long 0x00 18. " CPB178 ,Clear Pending Bit 178" "Not pending,Pending" eventfld.long 0x00 17. " CPB177 ,Clear Pending Bit 177" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB176 ,Clear Pending Bit 176" "Not pending,Pending" eventfld.long 0x00 15. " CPB175 ,Clear Pending Bit 175" "Not pending,Pending" eventfld.long 0x00 14. " CPB174 ,Clear Pending Bit 174" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB173 ,Clear Pending Bit 173" "Not pending,Pending" eventfld.long 0x00 12. " CPB172 ,Clear Pending Bit 172" "Not pending,Pending" eventfld.long 0x00 11. " CPB171 ,Clear Pending Bit 171" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB170 ,Clear Pending Bit 170" "Not pending,Pending" eventfld.long 0x00 9. " CPB169 ,Clear Pending Bit 169" "Not pending,Pending" eventfld.long 0x00 8. " CPB168 ,Clear Pending Bit 168" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB167 ,Clear Pending Bit 167" "Not pending,Pending" eventfld.long 0x00 6. " CPB166 ,Clear Pending Bit 166" "Not pending,Pending" eventfld.long 0x00 5. " CPB165 ,Clear Pending Bit 165" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB164 ,Clear Pending Bit 164" "Not pending,Pending" eventfld.long 0x00 3. " CPB163 ,Clear Pending Bit 163" "Not pending,Pending" eventfld.long 0x00 2. " CPB162 ,Clear Pending Bit 162" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB161 ,Clear Pending Bit 161" "Not pending,Pending" eventfld.long 0x00 0. " CPB160 ,Clear Pending Bit 160" "Not pending,Pending" group.long 0x1298++0x03 line.long 0x0 "GICD_ICPR6,Interrupt Clear Pending Register 6" eventfld.long 0x00 31. " CPB223 ,Clear Pending Bit 223" "Not pending,Pending" eventfld.long 0x00 30. " CPB222 ,Clear Pending Bit 222" "Not pending,Pending" eventfld.long 0x00 29. " CPB221 ,Clear Pending Bit 221" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB220 ,Clear Pending Bit 220" "Not pending,Pending" eventfld.long 0x00 27. " CPB219 ,Clear Pending Bit 219" "Not pending,Pending" eventfld.long 0x00 26. " CPB218 ,Clear Pending Bit 218" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB217 ,Clear Pending Bit 217" "Not pending,Pending" eventfld.long 0x00 24. " CPB216 ,Clear Pending Bit 216" "Not pending,Pending" eventfld.long 0x00 23. " CPB215 ,Clear Pending Bit 215" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB214 ,Clear Pending Bit 214" "Not pending,Pending" eventfld.long 0x00 21. " CPB213 ,Clear Pending Bit 213" "Not pending,Pending" eventfld.long 0x00 20. " CPB212 ,Clear Pending Bit 212" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB211 ,Clear Pending Bit 211" "Not pending,Pending" eventfld.long 0x00 18. " CPB210 ,Clear Pending Bit 210" "Not pending,Pending" eventfld.long 0x00 17. " CPB209 ,Clear Pending Bit 209" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB208 ,Clear Pending Bit 208" "Not pending,Pending" eventfld.long 0x00 15. " CPB207 ,Clear Pending Bit 207" "Not pending,Pending" eventfld.long 0x00 14. " CPB206 ,Clear Pending Bit 206" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB205 ,Clear Pending Bit 205" "Not pending,Pending" eventfld.long 0x00 12. " CPB204 ,Clear Pending Bit 204" "Not pending,Pending" eventfld.long 0x00 11. " CPB203 ,Clear Pending Bit 203" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB202 ,Clear Pending Bit 202" "Not pending,Pending" eventfld.long 0x00 9. " CPB201 ,Clear Pending Bit 201" "Not pending,Pending" eventfld.long 0x00 8. " CPB200 ,Clear Pending Bit 200" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB199 ,Clear Pending Bit 199" "Not pending,Pending" eventfld.long 0x00 6. " CPB198 ,Clear Pending Bit 198" "Not pending,Pending" eventfld.long 0x00 5. " CPB197 ,Clear Pending Bit 197" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB196 ,Clear Pending Bit 196" "Not pending,Pending" eventfld.long 0x00 3. " CPB195 ,Clear Pending Bit 195" "Not pending,Pending" eventfld.long 0x00 2. " CPB194 ,Clear Pending Bit 194" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB193 ,Clear Pending Bit 193" "Not pending,Pending" eventfld.long 0x00 0. " CPB192 ,Clear Pending Bit 192" "Not pending,Pending" group.long 0x129C++0x03 line.long 0x0 "GICD_ICPR7,Interrupt Clear Pending Register 7" eventfld.long 0x00 31. " CPB255 ,Clear Pending Bit 255" "Not pending,Pending" eventfld.long 0x00 30. " CPB254 ,Clear Pending Bit 254" "Not pending,Pending" eventfld.long 0x00 29. " CPB253 ,Clear Pending Bit 253" "Not pending,Pending" textline " " eventfld.long 0x00 28. " CPB252 ,Clear Pending Bit 252" "Not pending,Pending" eventfld.long 0x00 27. " CPB251 ,Clear Pending Bit 251" "Not pending,Pending" eventfld.long 0x00 26. " CPB250 ,Clear Pending Bit 250" "Not pending,Pending" textline " " eventfld.long 0x00 25. " CPB249 ,Clear Pending Bit 249" "Not pending,Pending" eventfld.long 0x00 24. " CPB248 ,Clear Pending Bit 248" "Not pending,Pending" eventfld.long 0x00 23. " CPB247 ,Clear Pending Bit 247" "Not pending,Pending" textline " " eventfld.long 0x00 22. " CPB246 ,Clear Pending Bit 246" "Not pending,Pending" eventfld.long 0x00 21. " CPB245 ,Clear Pending Bit 245" "Not pending,Pending" eventfld.long 0x00 20. " CPB244 ,Clear Pending Bit 244" "Not pending,Pending" textline " " eventfld.long 0x00 19. " CPB243 ,Clear Pending Bit 243" "Not pending,Pending" eventfld.long 0x00 18. " CPB242 ,Clear Pending Bit 242" "Not pending,Pending" eventfld.long 0x00 17. " CPB241 ,Clear Pending Bit 241" "Not pending,Pending" textline " " eventfld.long 0x00 16. " CPB240 ,Clear Pending Bit 240" "Not pending,Pending" eventfld.long 0x00 15. " CPB239 ,Clear Pending Bit 239" "Not pending,Pending" eventfld.long 0x00 14. " CPB238 ,Clear Pending Bit 238" "Not pending,Pending" textline " " eventfld.long 0x00 13. " CPB237 ,Clear Pending Bit 237" "Not pending,Pending" eventfld.long 0x00 12. " CPB236 ,Clear Pending Bit 236" "Not pending,Pending" eventfld.long 0x00 11. " CPB235 ,Clear Pending Bit 235" "Not pending,Pending" textline " " eventfld.long 0x00 10. " CPB234 ,Clear Pending Bit 234" "Not pending,Pending" eventfld.long 0x00 9. " CPB233 ,Clear Pending Bit 233" "Not pending,Pending" eventfld.long 0x00 8. " CPB232 ,Clear Pending Bit 232" "Not pending,Pending" textline " " eventfld.long 0x00 7. " CPB231 ,Clear Pending Bit 231" "Not pending,Pending" eventfld.long 0x00 6. " CPB230 ,Clear Pending Bit 230" "Not pending,Pending" eventfld.long 0x00 5. " CPB229 ,Clear Pending Bit 229" "Not pending,Pending" textline " " eventfld.long 0x00 4. " CPB228 ,Clear Pending Bit 228" "Not pending,Pending" eventfld.long 0x00 3. " CPB227 ,Clear Pending Bit 227" "Not pending,Pending" eventfld.long 0x00 2. " CPB226 ,Clear Pending Bit 226" "Not pending,Pending" textline " " eventfld.long 0x00 1. " CPB225 ,Clear Pending Bit 225" "Not pending,Pending" eventfld.long 0x00 0. " CPB224 ,Clear Pending Bit 224" "Not pending,Pending" textline " " tree.end tree "Set/Clear Active Registers" group.long 0x1300++0x03 line.long 0x0 "GICD_ISACTIVER0,Interrupt Set Active Register 0" group.long 0x1304++0x03 line.long 0x0 "GICD_ISACTIVER1,Interrupt Set Active Register 1" group.long 0x1308++0x03 line.long 0x0 "GICD_ISACTIVER2,Interrupt Set Active Register 2" group.long 0x130C++0x03 line.long 0x0 "GICD_ISACTIVER3,Interrupt Set Active Register 3" group.long 0x1310++0x03 line.long 0x0 "GICD_ISACTIVER4,Interrupt Set Active Register 4" group.long 0x1314++0x03 line.long 0x0 "GICD_ISACTIVER5,Interrupt Set Active Register 5" group.long 0x1318++0x03 line.long 0x0 "GICD_ISACTIVER6,Interrupt Set Active Register 6" group.long 0x131C++0x03 line.long 0x0 "GICD_ISACTIVER7,Interrupt Set Active Register 7" textline " " group.long 0x1380++0x03 line.long 0x0 "GICD_ICACTIVER0,Interrupt Clear Active Register 0" group.long 0x1384++0x03 line.long 0x0 "GICD_ICACTIVER1,Interrupt Clear Active Register 1" group.long 0x1388++0x03 line.long 0x0 "GICD_ICACTIVER2,Interrupt Clear Active Register 2" group.long 0x138C++0x03 line.long 0x0 "GICD_ICACTIVER3,Interrupt Clear Active Register 3" group.long 0x1390++0x03 line.long 0x0 "GICD_ICACTIVER4,Interrupt Clear Active Register 4" group.long 0x1394++0x03 line.long 0x0 "GICD_ICACTIVER5,Interrupt Clear Active Register 5" group.long 0x1398++0x03 line.long 0x0 "GICD_ICACTIVER6,Interrupt Clear Active Register 6" group.long 0x139C++0x03 line.long 0x0 "GICD_ICACTIVER7,Interrupt Clear Active Register 7" textline " " tree.end tree "Priority Registers" group.long 0x1400++0x03 line.long 0x0 "GICD_IPR0,Interrupt Priority Register 0" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1404++0x03 line.long 0x0 "GICD_IPR1,Interrupt Priority Register 1" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1408++0x03 line.long 0x0 "GICD_IPR2,Interrupt Priority Register 2" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x140C++0x03 line.long 0x0 "GICD_IPR3,Interrupt Priority Register 3" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1410++0x03 line.long 0x0 "GICD_IPR4,Interrupt Priority Register 4" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1414++0x03 line.long 0x0 "GICD_IPR5,Interrupt Priority Register 5" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1418++0x03 line.long 0x0 "GICD_IPR6,Interrupt Priority Register 6" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x141C++0x03 line.long 0x0 "GICD_IPR7,Interrupt Priority Register 7" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1420++0x03 line.long 0x0 "GICD_IPR8,Interrupt Priority Register 8" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1424++0x03 line.long 0x0 "GICD_IPR9,Interrupt Priority Register 9" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1428++0x03 line.long 0x0 "GICD_IPR10,Interrupt Priority Register 10" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x142C++0x03 line.long 0x0 "GICD_IPR11,Interrupt Priority Register 11" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1430++0x03 line.long 0x0 "GICD_IPR12,Interrupt Priority Register 12" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1434++0x03 line.long 0x0 "GICD_IPR13,Interrupt Priority Register 13" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1438++0x03 line.long 0x0 "GICD_IPR14,Interrupt Priority Register 14" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x143C++0x03 line.long 0x0 "GICD_IPR15,Interrupt Priority Register 15" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1440++0x03 line.long 0x0 "GICD_IPR16,Interrupt Priority Register 16" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1444++0x03 line.long 0x0 "GICD_IPR17,Interrupt Priority Register 17" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1448++0x03 line.long 0x0 "GICD_IPR18,Interrupt Priority Register 18" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x144C++0x03 line.long 0x0 "GICD_IPR19,Interrupt Priority Register 19" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1450++0x03 line.long 0x0 "GICD_IPR20,Interrupt Priority Register 20" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1454++0x03 line.long 0x0 "GICD_IPR21,Interrupt Priority Register 21" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1458++0x03 line.long 0x0 "GICD_IPR22,Interrupt Priority Register 22" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x145C++0x03 line.long 0x0 "GICD_IPR23,Interrupt Priority Register 23" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1460++0x03 line.long 0x0 "GICD_IPR24,Interrupt Priority Register 24" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1464++0x03 line.long 0x0 "GICD_IPR25,Interrupt Priority Register 25" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1468++0x03 line.long 0x0 "GICD_IPR26,Interrupt Priority Register 26" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x146C++0x03 line.long 0x0 "GICD_IPR27,Interrupt Priority Register 27" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1470++0x03 line.long 0x0 "GICD_IPR28,Interrupt Priority Register 28" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1474++0x03 line.long 0x0 "GICD_IPR29,Interrupt Priority Register 29" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1478++0x03 line.long 0x0 "GICD_IPR30,Interrupt Priority Register 30" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x147C++0x03 line.long 0x0 "GICD_IPR31,Interrupt Priority Register 31" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1480++0x03 line.long 0x0 "GICD_IPR32,Interrupt Priority Register 32" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1484++0x03 line.long 0x0 "GICD_IPR33,Interrupt Priority Register 33" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1488++0x03 line.long 0x0 "GICD_IPR34,Interrupt Priority Register 34" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x148C++0x03 line.long 0x0 "GICD_IPR35,Interrupt Priority Register 35" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1490++0x03 line.long 0x0 "GICD_IPR36,Interrupt Priority Register 36" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1494++0x03 line.long 0x0 "GICD_IPR37,Interrupt Priority Register 37" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x1498++0x03 line.long 0x0 "GICD_IPR38,Interrupt Priority Register 38" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x149C++0x03 line.long 0x0 "GICD_IPR39,Interrupt Priority Register 39" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14A0++0x03 line.long 0x0 "GICD_IPR40,Interrupt Priority Register 40" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14A4++0x03 line.long 0x0 "GICD_IPR41,Interrupt Priority Register 41" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14A8++0x03 line.long 0x0 "GICD_IPR42,Interrupt Priority Register 42" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14AC++0x03 line.long 0x0 "GICD_IPR43,Interrupt Priority Register 43" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14B0++0x03 line.long 0x0 "GICD_IPR44,Interrupt Priority Register 44" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14B4++0x03 line.long 0x0 "GICD_IPR45,Interrupt Priority Register 45" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14B8++0x03 line.long 0x0 "GICD_IPR46,Interrupt Priority Register 46" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14BC++0x03 line.long 0x0 "GICD_IPR47,Interrupt Priority Register 47" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14C0++0x03 line.long 0x0 "GICD_IPR48,Interrupt Priority Register 48" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14C4++0x03 line.long 0x0 "GICD_IPR49,Interrupt Priority Register 49" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14C8++0x03 line.long 0x0 "GICD_IPR50,Interrupt Priority Register 50" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14CC++0x03 line.long 0x0 "GICD_IPR51,Interrupt Priority Register 51" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14D0++0x03 line.long 0x0 "GICD_IPR52,Interrupt Priority Register 52" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14D4++0x03 line.long 0x0 "GICD_IPR53,Interrupt Priority Register 53" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14D8++0x03 line.long 0x0 "GICD_IPR54,Interrupt Priority Register 54" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14DC++0x03 line.long 0x0 "GICD_IPR55,Interrupt Priority Register 55" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14E0++0x03 line.long 0x0 "GICD_IPR56,Interrupt Priority Register 56" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14E4++0x03 line.long 0x0 "GICD_IPR57,Interrupt Priority Register 57" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14E8++0x03 line.long 0x0 "GICD_IPR58,Interrupt Priority Register 58" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14EC++0x03 line.long 0x0 "GICD_IPR59,Interrupt Priority Register 59" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14F0++0x03 line.long 0x0 "GICD_IPR60,Interrupt Priority Register 60" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14F4++0x03 line.long 0x0 "GICD_IPR61,Interrupt Priority Register 61" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14F8++0x03 line.long 0x0 "GICD_IPR62,Interrupt Priority Register 62" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" group.long 0x14FC++0x03 line.long 0x0 "GICD_IPR63,Interrupt Priority Register 63" hexmask.long.byte 0x00 24.--31. 1. " PBO3 ,Priority Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " PBO2 ,Priority Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " PBO1 ,Priority Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " PBO0 ,Priority Byte Offset 0" textline " " tree.end tree "Processor Targets Registers" rgroup.long 0x1800++0x03 line.long 0x0 "GICD_IPTR0,Interrupt Processor Targets Register 0" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x1804++0x03 line.long 0x0 "GICD_IPTR1,Interrupt Processor Targets Register 1" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x1808++0x03 line.long 0x0 "GICD_IPTR2,Interrupt Processor Targets Register 2" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x180C++0x03 line.long 0x0 "GICD_IPTR3,Interrupt Processor Targets Register 3" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x1810++0x03 line.long 0x0 "GICD_IPTR4,Interrupt Processor Targets Register 4" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x1814++0x03 line.long 0x0 "GICD_IPTR5,Interrupt Processor Targets Register 5" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x1818++0x03 line.long 0x0 "GICD_IPTR6,Interrupt Processor Targets Register 6" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" rgroup.long 0x181C++0x03 line.long 0x0 "GICD_IPTR7,Interrupt Processor Targets Register 7" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1820++0x03 line.long 0x0 "GICD_IPTR8,Interrupt Processor Targets Register 8" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1824++0x03 line.long 0x0 "GICD_IPTR9,Interrupt Processor Targets Register 9" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1828++0x03 line.long 0x0 "GICD_IPTR10,Interrupt Processor Targets Register 10" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x182C++0x03 line.long 0x0 "GICD_IPTR11,Interrupt Processor Targets Register 11" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1830++0x03 line.long 0x0 "GICD_IPTR12,Interrupt Processor Targets Register 12" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1834++0x03 line.long 0x0 "GICD_IPTR13,Interrupt Processor Targets Register 13" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1838++0x03 line.long 0x0 "GICD_IPTR14,Interrupt Processor Targets Register 14" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x183C++0x03 line.long 0x0 "GICD_IPTR15,Interrupt Processor Targets Register 15" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1840++0x03 line.long 0x0 "GICD_IPTR16,Interrupt Processor Targets Register 16" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1844++0x03 line.long 0x0 "GICD_IPTR17,Interrupt Processor Targets Register 17" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1848++0x03 line.long 0x0 "GICD_IPTR18,Interrupt Processor Targets Register 18" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x184C++0x03 line.long 0x0 "GICD_IPTR19,Interrupt Processor Targets Register 19" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1850++0x03 line.long 0x0 "GICD_IPTR20,Interrupt Processor Targets Register 20" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1854++0x03 line.long 0x0 "GICD_IPTR21,Interrupt Processor Targets Register 21" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1858++0x03 line.long 0x0 "GICD_IPTR22,Interrupt Processor Targets Register 22" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x185C++0x03 line.long 0x0 "GICD_IPTR23,Interrupt Processor Targets Register 23" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1860++0x03 line.long 0x0 "GICD_IPTR24,Interrupt Processor Targets Register 24" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1864++0x03 line.long 0x0 "GICD_IPTR25,Interrupt Processor Targets Register 25" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1868++0x03 line.long 0x0 "GICD_IPTR26,Interrupt Processor Targets Register 26" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x186C++0x03 line.long 0x0 "GICD_IPTR27,Interrupt Processor Targets Register 27" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1870++0x03 line.long 0x0 "GICD_IPTR28,Interrupt Processor Targets Register 28" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1874++0x03 line.long 0x0 "GICD_IPTR29,Interrupt Processor Targets Register 29" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1878++0x03 line.long 0x0 "GICD_IPTR30,Interrupt Processor Targets Register 30" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x187C++0x03 line.long 0x0 "GICD_IPTR31,Interrupt Processor Targets Register 31" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1880++0x03 line.long 0x0 "GICD_IPTR32,Interrupt Processor Targets Register 32" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1884++0x03 line.long 0x0 "GICD_IPTR33,Interrupt Processor Targets Register 33" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1888++0x03 line.long 0x0 "GICD_IPTR34,Interrupt Processor Targets Register 34" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x188C++0x03 line.long 0x0 "GICD_IPTR35,Interrupt Processor Targets Register 35" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1890++0x03 line.long 0x0 "GICD_IPTR36,Interrupt Processor Targets Register 36" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1894++0x03 line.long 0x0 "GICD_IPTR37,Interrupt Processor Targets Register 37" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x1898++0x03 line.long 0x0 "GICD_IPTR38,Interrupt Processor Targets Register 38" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x189C++0x03 line.long 0x0 "GICD_IPTR39,Interrupt Processor Targets Register 39" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18A0++0x03 line.long 0x0 "GICD_IPTR40,Interrupt Processor Targets Register 40" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18A4++0x03 line.long 0x0 "GICD_IPTR41,Interrupt Processor Targets Register 41" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18A8++0x03 line.long 0x0 "GICD_IPTR42,Interrupt Processor Targets Register 42" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18AC++0x03 line.long 0x0 "GICD_IPTR43,Interrupt Processor Targets Register 43" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18B0++0x03 line.long 0x0 "GICD_IPTR44,Interrupt Processor Targets Register 44" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18B4++0x03 line.long 0x0 "GICD_IPTR45,Interrupt Processor Targets Register 45" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18B8++0x03 line.long 0x0 "GICD_IPTR46,Interrupt Processor Targets Register 46" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18BC++0x03 line.long 0x0 "GICD_IPTR47,Interrupt Processor Targets Register 47" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18C0++0x03 line.long 0x0 "GICD_IPTR48,Interrupt Processor Targets Register 48" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18C4++0x03 line.long 0x0 "GICD_IPTR49,Interrupt Processor Targets Register 49" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18C8++0x03 line.long 0x0 "GICD_IPTR50,Interrupt Processor Targets Register 50" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18CC++0x03 line.long 0x0 "GICD_IPTR51,Interrupt Processor Targets Register 51" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18D0++0x03 line.long 0x0 "GICD_IPTR52,Interrupt Processor Targets Register 52" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18D4++0x03 line.long 0x0 "GICD_IPTR53,Interrupt Processor Targets Register 53" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18D8++0x03 line.long 0x0 "GICD_IPTR54,Interrupt Processor Targets Register 54" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18DC++0x03 line.long 0x0 "GICD_IPTR55,Interrupt Processor Targets Register 55" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18E0++0x03 line.long 0x0 "GICD_IPTR56,Interrupt Processor Targets Register 56" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18E4++0x03 line.long 0x0 "GICD_IPTR57,Interrupt Processor Targets Register 57" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18E8++0x03 line.long 0x0 "GICD_IPTR58,Interrupt Processor Targets Register 58" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18EC++0x03 line.long 0x0 "GICD_IPTR59,Interrupt Processor Targets Register 59" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18F0++0x03 line.long 0x0 "GICD_IPTR60,Interrupt Processor Targets Register 60" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18F4++0x03 line.long 0x0 "GICD_IPTR61,Interrupt Processor Targets Register 61" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18F8++0x03 line.long 0x0 "GICD_IPTR62,Interrupt Processor Targets Register 62" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" group.long 0x18FC++0x03 line.long 0x0 "GICD_IPTR63,Interrupt Processor Targets Register 63" hexmask.long.byte 0x00 24.--31. 1. " CPUTBO3 ,CPU Targets Byte Offset 3" hexmask.long.byte 0x00 16.--23. 1. " CPUTBO2 ,CPU Targets Byte Offset 2" hexmask.long.byte 0x00 8.--15. 1. " CPUTBO1 ,CPU Targets Byte Offset 1" hexmask.long.byte 0x00 0.--7. 1. " CPUTBO0 ,CPU Targets Byte Offset 0" textline " " tree.end tree "Configuration Registers" rgroup.long 0x1C00++0x03 line.long 0x00 "GICD_ICFR0,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" rgroup.long 0x1C04++0x03 line.long 0x00 "GICD_ICFR1,Interrupt Configuration Register" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C08++0x03 line.long 0x00 "GICD_ICFR2,Interrupt Configuration Register 0x1C08" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C0C++0x03 line.long 0x00 "GICD_ICFR3,Interrupt Configuration Register 0x1C0C" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C10++0x03 line.long 0x00 "GICD_ICFR4,Interrupt Configuration Register 0x1C10" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C14++0x03 line.long 0x00 "GICD_ICFR5,Interrupt Configuration Register 0x1C14" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C18++0x03 line.long 0x00 "GICD_ICFR6,Interrupt Configuration Register 0x1C18" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C1C++0x03 line.long 0x00 "GICD_ICFR7,Interrupt Configuration Register 0x1C1C" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C20++0x03 line.long 0x00 "GICD_ICFR8,Interrupt Configuration Register 0x1C20" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C24++0x03 line.long 0x00 "GICD_ICFR9,Interrupt Configuration Register 0x1C24" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C28++0x03 line.long 0x00 "GICD_ICFR10,Interrupt Configuration Register 0x1C28" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C2C++0x03 line.long 0x00 "GICD_ICFR11,Interrupt Configuration Register 0x1C2C" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C30++0x03 line.long 0x00 "GICD_ICFR12,Interrupt Configuration Register 0x1C30" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C34++0x03 line.long 0x00 "GICD_ICFR13,Interrupt Configuration Register 0x1C34" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C38++0x03 line.long 0x00 "GICD_ICFR14,Interrupt Configuration Register 0x1C38" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " group.long 0x1C3C++0x03 line.long 0x00 "GICD_ICFR15,Interrupt Configuration Register 0x1C3C" bitfld.long 0x00 31. " ICF15 ,Interrupt Configuration 15" "Edge,Level" bitfld.long 0x00 29. " ICF14 ,Interrupt Configuration 14" "Edge,Level" bitfld.long 0x00 27. " ICF13 ,Interrupt Configuration 13" "Edge,Level" textline " " bitfld.long 0x00 25. " ICF12 ,Interrupt Configuration 12" "Edge,Level" bitfld.long 0x00 23. " ICF11 ,Interrupt Configuration 11" "Edge,Level" bitfld.long 0x00 21. " ICF10 ,Interrupt Configuration 10" "Edge,Level" textline " " bitfld.long 0x00 19. " ICF9 ,Interrupt Configuration 9" "Edge,Level" bitfld.long 0x00 17. " ICF8 ,Interrupt Configuration 8" "Edge,Level" bitfld.long 0x00 15. " ICF7 ,Interrupt Configuration 7" "Edge,Level" textline " " bitfld.long 0x00 13. " ICF6 ,Interrupt Configuration 6" "Edge,Level" bitfld.long 0x00 11. " ICF5 ,Interrupt Configuration 5" "Edge,Level" bitfld.long 0x00 9. " ICF4 ,Interrupt Configuration 4" "Edge,Level" textline " " bitfld.long 0x00 7. " ICF3 ,Interrupt Configuration 3" "Edge,Level" bitfld.long 0x00 5. " ICF2 ,Interrupt Configuration 2" "Edge,Level" bitfld.long 0x00 3. " ICF1 ,Interrupt Configuration 1" "Edge,Level" textline " " bitfld.long 0x00 1. " ICF0 ,Interrupt Configuration 0" "Edge,Level" textline " " tree.end width 17. tree "Private/Shared Peripheral Interrupt Status Registers" rgroup.long 0x1D00++0x03 line.long 0x00 "GICD_PPISR,Private Peripheral Interrupt Status Register" bitfld.long 0x00 15. " PPI3S ,nIRQ pin status" "No interrupt,Interrupt" bitfld.long 0x00 14. " PPI2S ,Non-secure Physical Timer event status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 13. " PPI1S ,Secure Physical Timer event status" "No interrupt,Interrupt" bitfld.long 0x00 12. " PPI0S ,nFIQ pin status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " PPI4S ,Virtual Timer event status" "No interrupt,Interrupt" bitfld.long 0x00 10. " PPI5S ,Hypervisor Timer event status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 9. " PPI6S ,Virtual Maintenance Status" "No interrupt,Interrupt" rgroup.long 0x1D04++0x03 line.long 0x00 "GICD_SPISR0,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[31] ,IRQS[31] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[30] ,IRQS[30] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[29] ,IRQS[29] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[28] ,IRQS[28] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[27] ,IRQS[27] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[26] ,IRQS[26] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[25] ,IRQS[25] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[24] ,IRQS[24] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[23] ,IRQS[23] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[22] ,IRQS[22] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[21] ,IRQS[21] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[20] ,IRQS[20] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[19] ,IRQS[19] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[18] ,IRQS[18] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[17] ,IRQS[17] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[16] ,IRQS[16] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[15] ,IRQS[15] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[14] ,IRQS[14] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[13] ,IRQS[13] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[12] ,IRQS[12] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[11] ,IRQS[11] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[10] ,IRQS[10] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[9] ,IRQS[9] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[8] ,IRQS[8] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[7] ,IRQS[7] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[6] ,IRQS[6] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[5] ,IRQS[5] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[4] ,IRQS[4] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[3] ,IRQS[3] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[2] ,IRQS[2] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[1] ,IRQS[1] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[0] ,IRQS[0] status" "No interrupt,Interrupt" rgroup.long 0x1D08++0x03 line.long 0x00 "GICD_SPISR1,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[63] ,IRQS[63] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[62] ,IRQS[62] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[61] ,IRQS[61] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[60] ,IRQS[60] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[59] ,IRQS[59] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[58] ,IRQS[58] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[57] ,IRQS[57] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[56] ,IRQS[56] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[55] ,IRQS[55] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[54] ,IRQS[54] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[53] ,IRQS[53] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[52] ,IRQS[52] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[51] ,IRQS[51] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[50] ,IRQS[50] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[49] ,IRQS[49] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[48] ,IRQS[48] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[47] ,IRQS[47] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[46] ,IRQS[46] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[45] ,IRQS[45] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[44] ,IRQS[44] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[43] ,IRQS[43] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[42] ,IRQS[42] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[41] ,IRQS[41] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[40] ,IRQS[40] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[39] ,IRQS[39] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[38] ,IRQS[38] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[37] ,IRQS[37] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[36] ,IRQS[36] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[35] ,IRQS[35] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[34] ,IRQS[34] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[33] ,IRQS[33] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[32] ,IRQS[32] status" "No interrupt,Interrupt" rgroup.long 0x1D0C++0x03 line.long 0x00 "GICD_SPISR2,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[95] ,IRQS[95] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[94] ,IRQS[94] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[93] ,IRQS[93] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[92] ,IRQS[92] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[91] ,IRQS[91] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[90] ,IRQS[90] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[89] ,IRQS[89] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[88] ,IRQS[88] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[87] ,IRQS[87] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[86] ,IRQS[86] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[85] ,IRQS[85] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[84] ,IRQS[84] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[83] ,IRQS[83] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[82] ,IRQS[82] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[81] ,IRQS[81] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[80] ,IRQS[80] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[79] ,IRQS[79] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[78] ,IRQS[78] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[77] ,IRQS[77] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[76] ,IRQS[76] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[75] ,IRQS[75] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[74] ,IRQS[74] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[73] ,IRQS[73] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[72] ,IRQS[72] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[71] ,IRQS[71] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[70] ,IRQS[70] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[69] ,IRQS[69] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[68] ,IRQS[68] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[67] ,IRQS[67] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[66] ,IRQS[66] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[65] ,IRQS[65] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[64] ,IRQS[64] status" "No interrupt,Interrupt" rgroup.long 0x1D10++0x03 line.long 0x00 "GICD_SPISR3,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[127] ,IRQS[127] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[126] ,IRQS[126] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[125] ,IRQS[125] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[124] ,IRQS[124] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[123] ,IRQS[123] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[122] ,IRQS[122] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[121] ,IRQS[121] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[120] ,IRQS[120] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[119] ,IRQS[119] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[118] ,IRQS[118] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[117] ,IRQS[117] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[116] ,IRQS[116] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[115] ,IRQS[115] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[114] ,IRQS[114] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[113] ,IRQS[113] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[112] ,IRQS[112] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[111] ,IRQS[111] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[110] ,IRQS[110] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[109] ,IRQS[109] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[108] ,IRQS[108] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[107] ,IRQS[107] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[106] ,IRQS[106] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[105] ,IRQS[105] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[104] ,IRQS[104] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[103] ,IRQS[103] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[102] ,IRQS[102] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[101] ,IRQS[101] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[100] ,IRQS[100] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[99] ,IRQS[99] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[98] ,IRQS[98] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[97] ,IRQS[97] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[96] ,IRQS[96] status" "No interrupt,Interrupt" rgroup.long 0x1D14++0x03 line.long 0x00 "GICD_SPISR4,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[159] ,IRQS[159] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[158] ,IRQS[158] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[157] ,IRQS[157] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[156] ,IRQS[156] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[155] ,IRQS[155] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[154] ,IRQS[154] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[153] ,IRQS[153] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[152] ,IRQS[152] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[151] ,IRQS[151] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[150] ,IRQS[150] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[149] ,IRQS[149] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[148] ,IRQS[148] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[147] ,IRQS[147] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[146] ,IRQS[146] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[145] ,IRQS[145] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[144] ,IRQS[144] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[143] ,IRQS[143] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[142] ,IRQS[142] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[141] ,IRQS[141] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[140] ,IRQS[140] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[139] ,IRQS[139] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[138] ,IRQS[138] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[137] ,IRQS[137] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[136] ,IRQS[136] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[135] ,IRQS[135] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[134] ,IRQS[134] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[133] ,IRQS[133] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[132] ,IRQS[132] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[131] ,IRQS[131] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[130] ,IRQS[130] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[129] ,IRQS[129] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[128] ,IRQS[128] status" "No interrupt,Interrupt" rgroup.long 0x1D18++0x03 line.long 0x00 "GICD_SPISR5,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[191] ,IRQS[191] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[190] ,IRQS[190] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[189] ,IRQS[189] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[188] ,IRQS[188] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[187] ,IRQS[187] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[186] ,IRQS[186] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[185] ,IRQS[185] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[184] ,IRQS[184] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[183] ,IRQS[183] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[182] ,IRQS[182] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[181] ,IRQS[181] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[180] ,IRQS[180] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[179] ,IRQS[179] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[178] ,IRQS[178] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[177] ,IRQS[177] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[176] ,IRQS[176] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[175] ,IRQS[175] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[174] ,IRQS[174] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[173] ,IRQS[173] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[172] ,IRQS[172] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[171] ,IRQS[171] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[170] ,IRQS[170] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[169] ,IRQS[169] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[168] ,IRQS[168] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[167] ,IRQS[167] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[166] ,IRQS[166] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[165] ,IRQS[165] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[164] ,IRQS[164] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[163] ,IRQS[163] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[162] ,IRQS[162] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[161] ,IRQS[161] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[160] ,IRQS[160] status" "No interrupt,Interrupt" rgroup.long 0x1D1C++0x03 line.long 0x00 "GICD_SPISR6,Shared Peripheral Interrupt Status Register" bitfld.long 0x00 31. " IRQS[223] ,IRQS[223] status" "No interrupt,Interrupt" bitfld.long 0x00 30. " IRQS[222] ,IRQS[222] status" "No interrupt,Interrupt" bitfld.long 0x00 29. " IRQS[221] ,IRQS[221] status" "No interrupt,Interrupt" bitfld.long 0x00 28. " IRQS[220] ,IRQS[220] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 27. " IRQS[219] ,IRQS[219] status" "No interrupt,Interrupt" bitfld.long 0x00 26. " IRQS[218] ,IRQS[218] status" "No interrupt,Interrupt" bitfld.long 0x00 25. " IRQS[217] ,IRQS[217] status" "No interrupt,Interrupt" bitfld.long 0x00 24. " IRQS[216] ,IRQS[216] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 23. " IRQS[215] ,IRQS[215] status" "No interrupt,Interrupt" bitfld.long 0x00 22. " IRQS[214] ,IRQS[214] status" "No interrupt,Interrupt" bitfld.long 0x00 21. " IRQS[213] ,IRQS[213] status" "No interrupt,Interrupt" bitfld.long 0x00 20. " IRQS[212] ,IRQS[212] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 19. " IRQS[211] ,IRQS[211] status" "No interrupt,Interrupt" bitfld.long 0x00 18. " IRQS[210] ,IRQS[210] status" "No interrupt,Interrupt" bitfld.long 0x00 17. " IRQS[209] ,IRQS[209] status" "No interrupt,Interrupt" bitfld.long 0x00 16. " IRQS[208] ,IRQS[208] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 15. " IRQS[207] ,IRQS[207] status" "No interrupt,Interrupt" bitfld.long 0x00 14. " IRQS[206] ,IRQS[206] status" "No interrupt,Interrupt" bitfld.long 0x00 13. " IRQS[205] ,IRQS[205] status" "No interrupt,Interrupt" bitfld.long 0x00 12. " IRQS[204] ,IRQS[204] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 11. " IRQS[203] ,IRQS[203] status" "No interrupt,Interrupt" bitfld.long 0x00 10. " IRQS[202] ,IRQS[202] status" "No interrupt,Interrupt" bitfld.long 0x00 9. " IRQS[201] ,IRQS[201] status" "No interrupt,Interrupt" bitfld.long 0x00 8. " IRQS[200] ,IRQS[200] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 7. " IRQS[199] ,IRQS[199] status" "No interrupt,Interrupt" bitfld.long 0x00 6. " IRQS[198] ,IRQS[198] status" "No interrupt,Interrupt" bitfld.long 0x00 5. " IRQS[197] ,IRQS[197] status" "No interrupt,Interrupt" bitfld.long 0x00 4. " IRQS[196] ,IRQS[196] status" "No interrupt,Interrupt" textline " " bitfld.long 0x00 3. " IRQS[195] ,IRQS[195] status" "No interrupt,Interrupt" bitfld.long 0x00 2. " IRQS[194] ,IRQS[194] status" "No interrupt,Interrupt" bitfld.long 0x00 1. " IRQS[193] ,IRQS[193] status" "No interrupt,Interrupt" bitfld.long 0x00 0. " IRQS[192] ,IRQS[192] status" "No interrupt,Interrupt" tree.end textline " " width 17. wgroup.long 0x1F00++0x03 line.long 0x00 "GICD_SGIR,Software Generated Interrupt Register" bitfld.long 0x00 24.--25. " TLF ,Target List Filter" "Send to specified,Send to all,Send to interrupt,?..." hexmask.long.byte 0x00 16.--23. 1. " CPUTL ,CPU Target List" bitfld.long 0x00 15. " SATT ,SATT" "Secure,Non-secure" bitfld.long 0x00 0.--3. " SGINTID ,SGI Interrupt ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1F10++0x03 line.long 0x00 "GICD_CPENDSGIR0,SGI Clear Pending Registers" group.long 0x1F14++0x03 line.long 0x00 "GICD_CPENDSGIR1,SGI Clear Pending Registers" group.long 0x1F18++0x03 line.long 0x00 "GICD_CPENDSGIR2,SGI Clear Pending Registers" group.long 0x1F1C++0x03 line.long 0x00 "GICD_CPENDSGIR3,SGI Clear Pending Registers" textline " " group.long 0x1F20++0x03 line.long 0x00 "GICD_SPENDSGIR0,SGI Set Pending Registers" group.long 0x1F24++0x03 line.long 0x00 "GICD_SPENDSGIR1,SGI Set Pending Registers" group.long 0x1F28++0x03 line.long 0x00 "GICD_SPENDSGIR2,SGI Set Pending Registers" group.long 0x1F2C++0x03 line.long 0x00 "GICD_SPENDSGIR3,SGI Set Pending Registers" textline " " rgroup.long 0x1FE0++0x03 "Peripheral/Component ID Registers" line.long 0x00 "GICD_PIDR0,Peripheral ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " DEVID ,DevID field" rgroup.long 0x1FE4++0x03 line.long 0x00 "GICD_PIDR1,Peripheral ID1 Register" bitfld.long 0x00 4.--7. " ARCHID ,ArchID field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. " DEVID ,DevID field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x1FE8++0x03 line.long 0x00 "GICD_PIDR2,Peripheral ID2 Register" bitfld.long 0x00 4.--7. " ARCHID ,ArchID field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. " UJEPCODE ,UsesJEPcode field" "Low,High" bitfld.long 0x00 0.--2. " DEVID ,DevID field" "0,1,2,3,4,5,6,7" rgroup.long 0x1FEC++0x03 line.long 0x00 "GICD_PIDR3,Peripheral ID3 Register" bitfld.long 0x00 4.--7. " REVID ,Revision field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x1FD0++0x03 line.long 0x00 "GICD_PIDR4,Peripheral ID4 Register" bitfld.long 0x00 0.--3. " CC ,ContinuationCode field" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hgroup.long 0x1FD4++0x03 hide.long 0x00 "GICD_PIDR5,Peripheral ID5 Register" hgroup.long 0x1FD8++0x03 hide.long 0x00 "GICD_PIDR6,Peripheral ID6 Register" hgroup.long 0x1FDC++0x03 hide.long 0x00 "GICD_PIDR7,Peripheral ID7 Register" textline " " rgroup.long 0x1FF0++0x03 line.long 0x00 "GICD_CIDR0,Component ID0 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0x1FF4++0x03 line.long 0x00 "GICD_CIDR1,Component ID1 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0x1FF8++0x03 line.long 0x00 "GICD_CIDR2,Component ID2 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" rgroup.long 0x1FFC++0x03 line.long 0x00 "GICD_CIDR3,Component ID3 Register" hexmask.long.byte 0x00 0.--7. 1. " FIXVAL ,ARM-defined fixed values for the preamble for component discovery" textline " " width 17. group.long 0x2000++0x03 "Interrupt Controller Physical CPU Interface" line.long 0x00 "GICC_ICR,CPU Interface Control Register" bitfld.long 0x00 4. " SBPR ,Secure/Non-secure Binary Point Register for preemption control" "SBPR for Secure/Non-SBPR for Non-Secure,SBPR for Both" textline " " bitfld.long 0x00 3. " FIQEN ,Indicates using of FIQ or IRQ signal for interrupts" "IRQ,FIQ" bitfld.long 0x00 2. " ACKCTL ,Interrupt acknowledge control" "Not acknowledged,Acknowledged" textline " " bitfld.long 0x00 1. " ENABLENS ,Global Enable for signalling of Non-secure interrupts" "Disabled,Enabled" bitfld.long 0x00 0. " ENABLES ,Global Enable for signalling of Secure interrupts" "Disabled,Enabled" group.long 0x2004++0x03 line.long 0x00 "GICC_PMR,Interrupt Priority Mask Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority mask level for CPU interface" group.long 0x2008++0x03 line.long 0x00 "GICC_BPR,Binary Point Register" bitfld.long 0x00 0.--2. " BP ,Binary point" "0,1,2,3,4,5,6,7" hgroup.long 0x200C++0x03 hide.long 0x00 "GICC_IAR,Interrupt Acknowledge Register" in wgroup.long 0x2010++0x03 line.long 0x00 "GICC_EOIR,End Of Interrupt Register" bitfld.long 0x00 10.--12. " CPUID ,CPU ID" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--9. 1. " EOIINTID ,ACKINTID value from the corresponding ICCIAR access" rgroup.long 0x2014++0x03 line.long 0x00 "GICC_RPR,Running Priority Register" hexmask.long.byte 0x00 0.--7. 1. " PRIORITY ,Priority value of highest priority interrupt" rgroup.long 0x2018++0x03 line.long 0x00 "GICC_HPIR,Highest Pending Interrupt Register" bitfld.long 0x00 10.--12. " CPUID ,CPU ID" "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--9. 1. " PENDINTID ,Interrupt ID of the highest priority pending interrupt" group.long 0x201C++0x03 line.long 0x00 "GICC_ABPR,Aliased Binary Point Register" group.long 0x20D0++0x03 line.long 0x00 "GICC_APR0,Active Priorities Register" group.long 0x20E0++0x03 line.long 0x00 "GICC_NSAPR0,Non-Secure Active Priorities Register" rgroup.long 0x20FC++0x03 line.long 0x00 "GICC_IIDR,CPU and Virtual CPU Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PRODID ,Product ID" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" wgroup.long 0x3000++0x03 line.long 0x00 "GICC_DIR,Deactivate Interrupt Register" width 17. group.long 0x4000++0x03 "Interrupt Controller Virtual CPU Interface (Hypervisor view)" line.long 0x00 "GICH_HCR,Hypervisor Control Register" rgroup.long 0x4004++0x03 line.long 0x00 "GICH_VTR,VGIC Type Register" bitfld.long 0x00 29.--31. " PRIBITS ,Number of priority bits" "Reserved,Reserved,Reserved,Reserved,5 bits 32 PRI levels,?..." textline " " bitfld.long 0x00 26.--28. " PREBITS ,Number of pre-emption bits" "Reserved,Reserved,Reserved,Reserved,5 bits 32 PRE levels,?..." textline " " bitfld.long 0x00 0.--5. " LISTREGS ,List regs number" "Reserved,Reserved,Reserved,4 lists,?..." group.long 0x40F0++0x03 line.long 0x00 "GICH_APR0,Active Priorities Register" group.long 0x4100++0x03 line.long 0x00 "GICH_LR0,List Register 0" group.long 0x4104++0x03 line.long 0x00 "GICH_LR1,List Register 1" group.long 0x4108++0x03 line.long 0x00 "GICH_LR2,List Register 2" group.long 0x410C++0x03 line.long 0x00 "GICH_LR3,List Register 3" group.long 0x6000++0x03 "Interrupt Controller Virtual CPU Interface (Virtual Machine View)" line.long 0x00 "GICV_CTLR,VM Control Register" group.long 0x6004++0x03 line.long 0x00 "GICV_PMR,VM Priority Mask Register" group.long 0x6008++0x03 line.long 0x00 "GICV_BPR,VM Binary Point Register" hgroup.long 0x600C++0x03 hide.long 0x00 "GICV_IAR,VM Interrupt Acknowledge Register" in wgroup.long 0x6010++0x03 line.long 0x00 "GICV_EOIR,VM End Of Interrupt Register" rgroup.long 0x6014++0x03 line.long 0x00 "GICV_RPR,VM Running Priority Register" rgroup.long 0x6018++0x03 line.long 0x00 "GICV_HPIR,VM Highest Pending Interrupt Register" group.long 0x601C++0x03 line.long 0x00 "GICV_ABPR,VM Aliased Binary Point Register" group.long 0x60D0++0x03 line.long 0x00 "GICV_APR0,VM Active Priority Register" group.long 0x60E0++0x03 line.long 0x00 "GICV_NSAPR0,VM Non-Secure Active Priority Register" rgroup.long 0x60FC++0x03 line.long 0x00 "GICV_IIDR,Virtual Machine CPU Interface Identification Register" hexmask.long.word 0x00 20.--31. 1. " PRODID ,Product ID" bitfld.long 0x00 12.--15. " REV ,Revision" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " hexmask.long.word 0x00 0.--11. 1. " IMP ,Implementer" wgroup.long 0x7000++0x03 line.long 0x00 "GICV_DIR,VM Deactivate Interrupt Register" tree.end tree.end elif cpuis("AM572XIPU*") tree.close "Core Registers (Cortex-M4)" AUTOINDENT.PUSH AUTOINDENT.OFF tree "System Control" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 12. group.long 0x08++0x03 line.long 0x00 "ACTLR,Auxiliary Control Register" bitfld.long 0x00 9. " DISFPCA ,Disables lazy stacking of floating point context" "No,Yes" bitfld.long 0x00 8. " DISOOFP ,Disables floating point instructions completing" "No,Yes" bitfld.long 0x00 2. " DISFOLD ,Disables folding of IT instructions" "No,Yes" textline " " bitfld.long 0x00 1. " DISDEFWBUF ,Disables write buffer use during default memory map accesses" "No,Yes" bitfld.long 0x00 0. " DISMCYCINT ,Disables interruption of multi-cycle instructions" "No,Yes" group.long 0x10++0x0B line.long 0x00 "SYST_CSR,SysTick Control and Status Register" rbitfld.long 0x00 16. " COUNTFLAG ,Counter Flag" "Not counted,Counted" bitfld.long 0x00 2. " CLKSOURCE ,SysTick clock source" "External,Core" bitfld.long 0x00 1. " TICKINT ,SysTick Handler" "No SysTick,SysTick" textline " " bitfld.long 0x00 0. " ENABLE ,Counter Enable" "Disabled,Enabled" line.long 0x04 "SYST_RVR,SysTick Reload Value Register" hexmask.long.tbyte 0x04 0.--23. 1. " RELOAD ,The value to load into the SYST_CVR when the counter reaches 0" line.long 0x08 "SYST_CVR,SysTick Current Value Register" rgroup.long 0x1C++0x03 line.long 0x00 "SYST_CALIB,SysTick Calibration Value Register" bitfld.long 0x00 31. " NOREF ,Indicates whether the implementation defined reference clock is implemented" "Implemented,Not implemented" bitfld.long 0x00 30. " SKEW ,Indicates whether the 10ms calibration value is exact" "Exact,Inexact" hexmask.long.tbyte 0x00 0.--23. 1. " TENMS ,Holds a reload value to be used for 10ms (100Hz) timing" rgroup.long 0xD00++0x03 line.long 0x00 "CPUID,CPU ID Base Register" hexmask.long.byte 0x00 24.--31. 1. " IMPLEMENTER ,Implementer Code" bitfld.long 0x00 20.--23. " VARIANT ,Indicates processor revision" "Revision 0,?..." bitfld.long 0x00 16.--19. " ARCHITECTURE ,Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " hexmask.long.word 0x00 4.--15. 1. " PARTNO ,Indicates part number" bitfld.long 0x00 0.--3. " REVISION ,Indicates patch release" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD04++0x23 line.long 0x00 "ICSR,Interrupt Control State Register" bitfld.long 0x00 31. " NMIPENDSET ,Set Pending NMI Bit" "Inactive,Active" bitfld.long 0x00 28. " PENDSVSET ,Set Pending pendSV Bit" "Not pending,Pending" bitfld.long 0x00 27. " PENDSVCLR ,Removes the pending status of the PendSV exception" "No effect,Removed" textline " " bitfld.long 0x00 26. " PENDSTSET ,Set Pending SysTick Bit" "Not pending,Pending" bitfld.long 0x00 25. " PENDSTCLR ,Clear Pending SysTick Bit" "No effect,Removed" bitfld.long 0x00 23. " ISRPREEMPT ,Use Only at Debug Time" "Not active,Active" textline " " bitfld.long 0x00 22. " ISRPENDING ,Indicates whether an external interrupt" "Not pending,Pending" hexmask.long.word 0x00 12.--20. 1. " VECTPENDING ,Pending ISR Number Field" bitfld.long 0x00 11. " RETTOBASE ,Interrupt Exception" "Active,Not active" textline " " hexmask.long.word 0x00 0.--8. 1. " VECTACTIVE ,The exception number of the current executing exception" line.long 0x04 "VTOR,Vector Table Offset Register" hexmask.long 0x04 7.--31. 0x80 " TBLOFF ,Vector table address" line.long 0x08 "AIRCR,Application Interrupt and Reset Control Register" hexmask.long.word 0x08 16.--31. 1. " VECTKEY ,Register Key" rbitfld.long 0x08 15. " ENDIANESS ,Data endianness Bit" "Little,Big" bitfld.long 0x08 8.--10. " PRIGROUP ,Interrupt Priority Grouping Field" "[7:1]/[0],[7:2]/[1:0],[7:3]/[2:0],[7:4]/[3:0],[7:5]/[4:0],[7:6]/[5:0],[7]/[6:0],-/[7:0]" textline " " bitfld.long 0x08 2. " SYSRESETREQ ,System Reset Request" "Not requested,Requested" bitfld.long 0x08 1. " VECTCLRACTIVE ,Clear Active Vector Bit" "No effect,Clear" bitfld.long 0x08 0. " VECTRESET ,System Reset" "No effect,Reset" line.long 0x0C "SCR,System Control Register" bitfld.long 0x0C 4. " SEVONPEND ,Determines whether an interrupt transition from inactive state to pending state is a wakeup event" "Not wakeup,Wakeup" bitfld.long 0x0C 2. " SLEEPDEEP ,Provides a qualifying hint indicating that waking from sleep might take longer" "Not deep sleep,Deep sleep" bitfld.long 0x0C 1. " SLEEPONEXIT ,Determines whether, on an exit from an ISR that returns to the base level of execution priority, the processor enters a sleep state" "Disabled,Enabled" line.long 0x10 "CCR,Configuration Control Register" bitfld.long 0x10 18. " BP ,Branch prediction enable bit" "Disabled,Enabled" bitfld.long 0x10 17. " IC ,Instruction cache enable bit" "Disabled,Enabled" bitfld.long 0x10 16. " DC ,Cache enable bit" "Disabled,Enabled" textline " " bitfld.long 0x10 9. " STKALIGN ,8-byte Stack Frame Alignment" "4-byte/no adjustment,8-byte/adjustment" bitfld.long 0x10 8. " BFHFNMIGN ,Enable NMI and Hard Fault and FAULTMASK to Ignore Bus Fault" "Disabled,Enabled" bitfld.long 0x10 4. " DIV_0_TRP ,Trap Divide by Zero" "Disabled,Enabled" textline " " bitfld.long 0x10 3. " UNALIGN_TRP ,Trap for Unaligned Access" "Disabled,Enabled" bitfld.long 0x10 1. " USERSETMPEND ,Controls whether unprivileged software can access the STIR" "Denied,Allowed" bitfld.long 0x10 0. " NONEBASETHRDENA ,Control Entry to Thread Mode" "Only from last exception,Any level" line.long 0x14 "SHPR1,SSystem Handler Priority Register 1" hexmask.long.byte 0x14 24.--31. 1. " PRI_7 ,Priority of System Handler 7" hexmask.long.byte 0x14 16.--23. 1. " PRI_6 ,Priority of system handler 6(UsageFault)" hexmask.long.byte 0x14 8.--15. 1. " PRI_5 ,Priority of system handler 5(BusFault)" textline " " hexmask.long.byte 0x14 0.--7. 1. " PRI_4 ,Priority of system handler 4(MemManage)" line.long 0x18 "SHPR2,System Handler Priority Register 2" hexmask.long.byte 0x18 24.--31. 1. " PRI_11 ,Priority of system handler 11(SVCall)" hexmask.long.byte 0x18 16.--23. 1. " PRI_10 ,Priority of System Handler 10" hexmask.long.byte 0x18 8.--15. 1. " PRI_9 ,Priority of System Handler 9" textline " " hexmask.long.byte 0x18 0.--7. 1. " PRI_8 ,Priority of System Handler 8" line.long 0x1C "SHPR3,System Handler Priority Register 3" hexmask.long.byte 0x1C 24.--31. 1. " PRI_15 ,Priority of System Handler 15(SysTick)" hexmask.long.byte 0x1C 16.--23. 1. " PRI_14 ,Priority of System Handler 14(PendSV)" hexmask.long.byte 0x1C 8.--15. 1. " PRI_13 ,Priority of System Handler 13" textline " " hexmask.long.byte 0x1C 0.--7. 1. " PRI_12 ,Priority of System Handler 12(DebugMonitor)" line.long 0x20 "SHCSR,System Handler Control and State Register" bitfld.long 0x20 18. " USGFAULTENA ,Enable UsageFault" "Disabled,Enabled" bitfld.long 0x20 17. " BUSFAULTENA ,Enable BusFault" "Disabled,Enabled" bitfld.long 0x20 16. " MEMFAULTENA ,Enable MemManage fault" "Disabled,Enabled" textline " " bitfld.long 0x20 15. " SVCALLPENDED ,SVCall is pending" "Not pending,Pending" bitfld.long 0x20 14. " BUSFAULTPENDED ,BusFault is pending" "Not pending,Pending" bitfld.long 0x20 13. " MEMFAULTPENDED ,MemManage is pending" "Not pending,Pending" textline " " bitfld.long 0x20 12. " USGFAULTPENDED ,UsageFault is pending" "Not pending,Pending" bitfld.long 0x20 11. " SYSTICKACT ,SysTick is Active" "Not active,Active" bitfld.long 0x20 10. " PENDSVACT ,PendSV is Active" "Not active,Active" textline " " bitfld.long 0x20 8. " MONITORACT ,Monitor is Active" "Not active,Active" bitfld.long 0x20 7. " SVCALLACT ,SVCall is Active" "Not active,Active" bitfld.long 0x20 3. " USGFAULTACT ,UsageFault is Active" "Not active,Active" textline " " bitfld.long 0x20 1. " BUSFAULTACT ,BusFault is Active" "Not active,Active" bitfld.long 0x20 0. " MEMFAULTACT ,MemManage is Active" "Not active,Active" group.byte 0xD28++0x1 line.byte 0x00 "MMFSR,MemManage Status Register" bitfld.byte 0x00 7. " MMARVALID ,Address Valid Flag" "Not valid,Valid" bitfld.byte 0x00 5. " MLSPERR ,A MemManage fault occurred during FP lazy state preservation" "Not occurred,Occurred" bitfld.byte 0x00 4. " MSTKERR ,tacking Access Violations" "Not occurred,Occurred" textline " " bitfld.byte 0x00 3. " MUNSTKERR ,Unstack Access Violations" "Not occurred,Occurred" bitfld.byte 0x00 1. " DACCVIOL ,Data Access Violation" "Not occurred,Occurred" bitfld.byte 0x00 0. " IACCVIOL ,Instruction Access Violation" "Not occurred,Occurred" line.byte 0x01 "BFSR,Bus Fault Status Register" bitfld.byte 0x01 7. " BFARVALID ,Address Valid Flag" "Not valid,Valid" bitfld.byte 0x01 5. " LSPERR ,A bus fault occurred during FP lazy state preservation" "Not occurred,Occurred" bitfld.byte 0x01 4. " STKERR ,Derived bus fault(exception entry)" "Not occurred,Occurred" textline " " bitfld.byte 0x01 3. " UNSTKERR ,Derived bus fault(exception return)" "Not occurred,Occurred" bitfld.byte 0x01 2. " IMPRECISERR ,Imprecise data access error" "Not occurred,Occurred" bitfld.byte 0x01 1. " PRECISERR ,Precise data access error" "Not occurred,Occurred" textline " " bitfld.byte 0x01 0. " IBUSERR ,Bus fault on an instruction prefetch" "Not occurred,Occurred" group.word 0xD2A++0x1 line.word 0x00 "USAFAULT,Usage Fault Status Register" bitfld.word 0x00 9. " DIVBYZERO ,Divide by zero error" "No error,Error" bitfld.word 0x00 8. " UNALIGNED ,Unaligned access error" "No error,Error" bitfld.word 0x00 3. " NOCP ,A coprocessor access error" "No error,Error" textline " " bitfld.word 0x00 2. " INVPC ,An integrity check error" "No error,Error" bitfld.word 0x00 1. " INVSTATE ,Invalid Combination of EPSR and Instruction" "No error,Error" bitfld.word 0x00 0. " UNDEFINSTR ,Undefined instruction error" "No error,Error" group.long 0xD2C++0x07 line.long 0x00 "HFSR,Hard Fault Status Register" bitfld.long 0x00 31. " DEBUGEVT ,Indicates when a Debug event has occurred" "Not occurred,Occurred" bitfld.long 0x00 30. " FORCED ,Indicates that a fault with configurable priority" "Not occurred,Occurred" bitfld.long 0x00 1. " VECTTBL ,Indicates when a fault has occurred because of a vector table read error on exception processing" "Not occurred,Occurred" line.long 0x04 "DFSR,Debug Fault Status Register" bitfld.long 0x04 4. " EXTERNAL ,Indicates a debug event generated because of the assertion of EDBGRQ" "Not asserted,Asserted" bitfld.long 0x04 3. " VCATCH ,Vector Catch Flag" "Not occurred,Occurred" bitfld.long 0x04 2. " DWTTRAP ,Indicates a debug event generated by the DWT" "Not occurred,Occurred" textline " " bitfld.long 0x04 1. " BKPT ,BKPT Flag" "Not executed,Executed" bitfld.long 0x04 0. " HALTED ,Indicates a debug event generated by either" "Not requested,Requested" group.long 0xD34++0x0B line.long 0x00 "MMFAR,MemManage Fault Address Register" line.long 0x04 "BFAR,BusFault Address Register" line.long 0x08 "AFSR,Auxiliary Fault Status Register" group.long 0xD88++0x03 line.long 0x00 "CPACR,Coprocessor Access Control Register" bitfld.long 0x00 22.--23. " CP11 ,Access privileges for coprocessor 11" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 20.--21. " CP10 ,Access privileges for coprocessor 10" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 14.--15. " CP7 ,Access privileges for coprocessor 7" "Access denied,Privileged only,Reserved,Full access" textline " " bitfld.long 0x00 12.--13. " CP6 ,Access privileges for coprocessor 6" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 10.--11. " CP5 ,Access privileges for coprocessor 5" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 8.--9. " CP4 ,Access privileges for coprocessor 4" "Access denied,Privileged only,Reserved,Full access" textline " " bitfld.long 0x00 6.--7. " CP3 ,Access privileges for coprocessor 3" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 4.--5. " CP2 ,Access privileges for coprocessor 2" "Access denied,Privileged only,Reserved,Full access" bitfld.long 0x00 2.--3. " CP1 ,Access privileges for coprocessor 1" "Access denied,Privileged only,Reserved,Full access" textline " " bitfld.long 0x00 0.--1. " CP0 ,Access privileges for coprocessor 0" "Access denied,Privileged only,Reserved,Full access" wgroup.long 0xF00++0x03 line.long 0x00 "STIR,Software Trigger Interrupt Register" hexmask.long.word 0x00 0.--8. 1. " INTID ,Indicates the interrupt to be triggered" width 10. tree "Feature Registers" rgroup.long 0xD40++0x0B line.long 0x00 "ID_PFR0,Processor Feature Register 0" bitfld.long 0x00 4.--7. " STATE1 ,Thumb instruction set support" ",,,Supported,?..." bitfld.long 0x00 0.--3. " STATE0 ,ARM instruction set support" "Not supported,?..." line.long 0x04 "ID_PFR1,Processor Feature Register 1" bitfld.long 0x04 8.--11. " MPROF ,M profile programmers' model" ",,2-stack,?..." line.long 0x08 "ID_DFR0,Debug Feature Register 0" bitfld.long 0x08 20.--23. " DBGMOD ,Support for memory-mapped debug model for M profile processors" "Not supported,Supported,?..." hgroup.long 0xD4C++0x03 hide.long 0x00 "ID_AFR0,Auxiliary Feature Register 0" rgroup.long 0xD50++0x03 line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0" bitfld.long 0x00 20.--23. " AUXREG ,Indicates the support for Auxiliary registers" "Not supported,ACTLR only,?..." bitfld.long 0x00 16.--19. " TCMSUP ,Indicates the support for Tightly Coupled Memory (TCM)" "Not supported,Supported,?..." bitfld.long 0x00 12.--15. " SHRLEV ,Indicates the number of shareability levels implemented" "Level 1,?..." textline " " bitfld.long 0x00 8.--11. " OUTMSHR ,Indicates the outermost shareability domain implemented" "Non-cacheable,,,,,,,,,,,,,,,Ignored" bitfld.long 0x00 4.--7. " PMSASUP ,Indicates support for a PMSA" "Not supported,,,PMSAv7,?..." hgroup.long 0xD54++0x03 hide.long 0x00 "ID_MMFR1,Memory Model Feature Register 1" rgroup.long 0xD58++0x03 line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2" bitfld.long 0x00 24.--27. " WFISTALL ,Indicates the support for Wait For Interrupt (WFI) stalling" "Not supported,Supported,?..." rgroup.long 0xD60++0x13 line.long 0x00 "ID_ISAR0,Instruction Set Attributes Register 0" bitfld.long 0x00 24.--27. " DIVIDE ,Indicates the supported divide instructions" "Not supported,SDIV/UDIV,?..." bitfld.long 0x00 20.--23. " DEBUG ,Indicates the supported debug instructions" "Not supported,BKPT,?..." bitfld.long 0x00 16.--19. " COPROC ,Indicates the supported coprocessor instructions" "Not supported,CDP/LDC/MCR/STC,CDP2/LDC2/MCR2/STC2,MCRR/MRRC,MCRR2/MRRC2,?..." textline " " bitfld.long 0x00 12.--15. " CMPBRANCH ,Indicates the supported combined compare and branch instructions" "Not supported,CBNZ/CBZ,?..." bitfld.long 0x00 8.--11. " BITFIELD ,Indicates the supported bitfield instructions" "Not supported,BFC/BFI/SBFX/UBFX,?..." bitfld.long 0x00 4.--7. " BITCOUNT ,Indicates the supported bit counting instructions" "Not supported,CLZ,?..." line.long 0x04 "ID_ISAR1,Instruction Set Attributes Register 1" bitfld.long 0x04 24.--27. " INTERWORK ,Indicates the supported Interworking instructions" "Not supported,BX,BX/BLX,?..." bitfld.long 0x04 20.--23. " IMMEDIATE ,Indicates the support for data-processing instructions with long immediates" "Not supported,ADDW/MOVW/MOVT/SUBW,?..." bitfld.long 0x04 16.--19. " IFTHEN ,Indicates the supported IfThen instructions" "Not supported,IT,?..." textline " " bitfld.long 0x04 12.--15. " EXTEND ,Indicates the supported Extend instructions" "Not supported,Supported,Supported,?..." line.long 0x08 "ID_ISAR2,Instruction Set Attributes Register 2" bitfld.long 0x08 24.--27. " REVERSAL ,Indicates the supported reversal instructions" "Not supported,REV/REV16/REVSH,REV/REV16/REVSH/RBIT,?..." bitfld.long 0x08 20.--23. " MULTU ,Indicates the supported advanced unsigned multiply instructions" "Not supported,UMULL/UMLAL,UMULL/UMLAL/UMAAL,?..." bitfld.long 0x08 16.--19. " MULTS ,Indicates the supported advanced signed multiply instructions" "Not supported,Supported,Supported,Supported,?..." textline " " bitfld.long 0x08 12.--15. " MULT ,Indicates the supported additional multiply instructions" "Not supported,MLA,MLA/MLS,?..." bitfld.long 0x08 8.--11. " MULTIACCESSINT ,Indicates the support for multi-access interruptible instructions" "Not supported,LDM/STM restartable,LDM/STM continuable,?..." bitfld.long 0x08 4.--7. " MEMHINT ,Indicates the supported memory hint instructions" "Not supported,,,PLD/PLI,?..." textline " " bitfld.long 0x08 0.--3. " LOADSTORE ,Indicates the supported additional load and store instructions" "Not supported,LDRD/STRD,?..." line.long 0x0C "ID_ISAR3,Instruction Set Attributes Register 3" bitfld.long 0x0C 24.--27. " TRUENOP ,Indicates the support for a true NOP instruction" "Not supported,Supported,?..." bitfld.long 0x0C 20.--23. " THUMBCOPY ,Indicates the supported non flag-setting MOV instructions" "Not supported,Supported,?..." bitfld.long 0x0C 16.--19. " TABBRANCH ,Indicates the supported Table Branch instructions" "Not supported,TBB/TBH,?..." textline " " bitfld.long 0x0C 12.--15. " SYNCHPRIM ,Indicates the supported Table Branch instructions" "Not supported,Supported,Supported,Supported,?..." bitfld.long 0x0C 8.--11. " SVC ,Indicates the supported SVC instructions" "Not supported,SVC,?..." bitfld.long 0x0C 4.--7. " SIMD ,Indicates the supported SIMD instructions" "Not supported,Supported,,Supported,?..." textline " " bitfld.long 0x0C 0.--3. " SATURATE ,Indicates the supported Saturate instructions" "Not supported,QADD/QDADD/QDSUB/QSUB,?..." line.long 0x10 "ID_ISAR4,Instruction Set Attributes Register 4" bitfld.long 0x10 24.--27. " PSR_M ,Indicates the supported M profile instructions to modify the PSRs" "Not supported,Supported,?..." bitfld.long 0x10 20.--23. " SYNCHPRIMFRAC ,Indicates the supported M profile instructions to modify the PSRs" "Not supported,Supported,Supported,Supported,?..." bitfld.long 0x10 16.--19. " BARRIER ,Indicates the supported Barrier instructions" "Not supported,DMB/DSB/ISB,?..." textline " " bitfld.long 0x10 8.--11. " WRITEBACK ,Indicates the support for writeback addressing modes" "Basic support,Full support,?..." bitfld.long 0x10 4.--7. " WITHSHIFTS ,Indicates the support for instructions with shifts" "MOV/shift,Shift LSL 0-3,,Shift other,?..." bitfld.long 0x10 0.--3. " UNPRIV ,Indicates the supported unprivileged instructions" "Not supported,LDRBT/LDRT/STRBT/STRT,LDRBT/LDRT/STRBT/STRT/LDRHT/LDRSBT/LDRSHTSTRHT,?..." tree.end width 6. tree "CoreSight Identification Registers" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "PID1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "PID2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0C "PID3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "PID4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "CID0,Component ID0 (Preamble)" line.long 0x04 "CID1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " Preamble ,Preamble" line.long 0x08 "CID2,Component ID2" line.long 0x0C "CID3,Component ID3" tree.end width 0x0B else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Memory Protection Unit" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 15. rgroup.long 0xD90++0x03 line.long 0x00 "MPU_TYPE,MPU Type Register" bitfld.long 0x00 16.--23. " IREGION ,Instruction region" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,?..." bitfld.long 0x00 8.--15. " DREGION ,Number of regions supported by the MPU" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,?..." bitfld.long 0x00 0. " SEPARATE ,Indicates support for separate instruction and data address maps" "Not supported,Supported" group.long 0xD94++0x03 line.long 0x00 "MPU_CTRL,MPU Control Register" bitfld.long 0x00 2. " PRIVDEFENA ,Enables the default memory map as a background region for privileged access" "Disabled,Enabled" bitfld.long 0x00 1. " HFNMIENA ,Handlers executing with priority less than 0 access memory with the MPU enabled or with the MPU disabled" "MPU disabled,MPU enabled" bitfld.long 0x00 0. " ENABLE ,Enables the MPU" "Disabled,Enabled" group.long 0xD98++0x03 line.long 0x00 "MPU_RNR,MPU Region Number Register" hexmask.long.byte 0x00 0.--7. 1. " REGION ,Indicates the memory region accessed by MPU_RBAR and MPU_RASR" tree.close "MPU regions" if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x0 group.long 0xD9C++0x03 "Region 0" saveout 0xD98 %l 0x0 line.long 0x00 "MPU_RBAR0,MPU Region Base Address Register 0" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x0 line.long 0x00 "MPU_RASR0,MPU Region Attribute and Size Register 0" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 0 (not implemented)" saveout 0xD98 %l 0x0 hide.long 0x00 "MPU_RBAR0,MPU Region Base Address Register 0" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x0 hide.long 0x00 "MPU_RASR0,MPU Region Attribute and Size Register 0" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x1 group.long 0xD9C++0x03 "Region 1" saveout 0xD98 %l 0x1 line.long 0x00 "MPU_RBAR1,MPU Region Base Address Register 1" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x1 line.long 0x00 "MPU_RASR1,MPU Region Attribute and Size Register 1" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 1 (not implemented)" saveout 0xD98 %l 0x1 hide.long 0x00 "MPU_RBAR1,MPU Region Base Address Register 1" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x1 hide.long 0x00 "MPU_RASR1,MPU Region Attribute and Size Register 1" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x2 group.long 0xD9C++0x03 "Region 2" saveout 0xD98 %l 0x2 line.long 0x00 "MPU_RBAR2,MPU Region Base Address Register 2" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x2 line.long 0x00 "MPU_RASR2,MPU Region Attribute and Size Register 2" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 2 (not implemented)" saveout 0xD98 %l 0x2 hide.long 0x00 "MPU_RBAR2,MPU Region Base Address Register 2" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x2 hide.long 0x00 "MPU_RASR2,MPU Region Attribute and Size Register 2" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x3 group.long 0xD9C++0x03 "Region 3" saveout 0xD98 %l 0x3 line.long 0x00 "MPU_RBAR3,MPU Region Base Address Register 3" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x3 line.long 0x00 "MPU_RASR3,MPU Region Attribute and Size Register 3" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 3 (not implemented)" saveout 0xD98 %l 0x3 hide.long 0x00 "MPU_RBAR3,MPU Region Base Address Register 3" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x3 hide.long 0x00 "MPU_RASR3,MPU Region Attribute and Size Register 3" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x4 group.long 0xD9C++0x03 "Region 4" saveout 0xD98 %l 0x4 line.long 0x00 "MPU_RBAR4,MPU Region Base Address Register 4" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x4 line.long 0x00 "MPU_RASR4,MPU Region Attribute and Size Register 4" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 4 (not implemented)" saveout 0xD98 %l 0x4 hide.long 0x00 "MPU_RBAR4,MPU Region Base Address Register 4" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x4 hide.long 0x00 "MPU_RASR4,MPU Region Attribute and Size Register 4" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x5 group.long 0xD9C++0x03 "Region 5" saveout 0xD98 %l 0x5 line.long 0x00 "MPU_RBAR5,MPU Region Base Address Register 5" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x5 line.long 0x00 "MPU_RASR5,MPU Region Attribute and Size Register 5" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 5 (not implemented)" saveout 0xD98 %l 0x5 hide.long 0x00 "MPU_RBAR5,MPU Region Base Address Register 5" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x5 hide.long 0x00 "MPU_RASR5,MPU Region Attribute and Size Register 5" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x6 group.long 0xD9C++0x03 "Region 6" saveout 0xD98 %l 0x6 line.long 0x00 "MPU_RBAR6,MPU Region Base Address Register 6" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x6 line.long 0x00 "MPU_RASR6,MPU Region Attribute and Size Register 6" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 6 (not implemented)" saveout 0xD98 %l 0x6 hide.long 0x00 "MPU_RBAR6,MPU Region Base Address Register 6" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x6 hide.long 0x00 "MPU_RASR6,MPU Region Attribute and Size Register 6" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x7 group.long 0xD9C++0x03 "Region 7" saveout 0xD98 %l 0x7 line.long 0x00 "MPU_RBAR7,MPU Region Base Address Register 7" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x7 line.long 0x00 "MPU_RASR7,MPU Region Attribute and Size Register 7" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 7 (not implemented)" saveout 0xD98 %l 0x7 hide.long 0x00 "MPU_RBAR7,MPU Region Base Address Register 7" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x7 hide.long 0x00 "MPU_RASR7,MPU Region Attribute and Size Register 7" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x8 group.long 0xD9C++0x03 "Region 8" saveout 0xD98 %l 0x8 line.long 0x00 "MPU_RBAR8,MPU Region Base Address Register 8" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x8 line.long 0x00 "MPU_RASR8,MPU Region Attribute and Size Register 8" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 8 (not implemented)" saveout 0xD98 %l 0x8 hide.long 0x00 "MPU_RBAR8,MPU Region Base Address Register 8" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x8 hide.long 0x00 "MPU_RASR8,MPU Region Attribute and Size Register 8" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0x9 group.long 0xD9C++0x03 "Region 9" saveout 0xD98 %l 0x9 line.long 0x00 "MPU_RBAR9,MPU Region Base Address Register 9" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0x9 line.long 0x00 "MPU_RASR9,MPU Region Attribute and Size Register 9" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 9 (not implemented)" saveout 0xD98 %l 0x9 hide.long 0x00 "MPU_RBAR9,MPU Region Base Address Register 9" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0x9 hide.long 0x00 "MPU_RASR9,MPU Region Attribute and Size Register 9" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xA group.long 0xD9C++0x03 "Region 10" saveout 0xD98 %l 0xA line.long 0x00 "MPU_RBAR10,MPU Region Base Address Register 10" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xA line.long 0x00 "MPU_RASR10,MPU Region Attribute and Size Register 10" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 10 (not implemented)" saveout 0xD98 %l 0xA hide.long 0x00 "MPU_RBAR10,MPU Region Base Address Register 10" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xA hide.long 0x00 "MPU_RASR10,MPU Region Attribute and Size Register 10" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xB group.long 0xD9C++0x03 "Region 11" saveout 0xD98 %l 0xB line.long 0x00 "MPU_RBAR11,MPU Region Base Address Register 11" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xB line.long 0x00 "MPU_RASR11,MPU Region Attribute and Size Register 11" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 11 (not implemented)" saveout 0xD98 %l 0xB hide.long 0x00 "MPU_RBAR11,MPU Region Base Address Register 11" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xB hide.long 0x00 "MPU_RASR11,MPU Region Attribute and Size Register 11" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xC group.long 0xD9C++0x03 "Region 12" saveout 0xD98 %l 0xC line.long 0x00 "MPU_RBAR12,MPU Region Base Address Register 12" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xC line.long 0x00 "MPU_RASR12,MPU Region Attribute and Size Register 12" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 12 (not implemented)" saveout 0xD98 %l 0xC hide.long 0x00 "MPU_RBAR12,MPU Region Base Address Register 12" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xC hide.long 0x00 "MPU_RASR12,MPU Region Attribute and Size Register 12" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xD group.long 0xD9C++0x03 "Region 13" saveout 0xD98 %l 0xD line.long 0x00 "MPU_RBAR13,MPU Region Base Address Register 13" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xD line.long 0x00 "MPU_RASR13,MPU Region Attribute and Size Register 13" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 13 (not implemented)" saveout 0xD98 %l 0xD hide.long 0x00 "MPU_RBAR13,MPU Region Base Address Register 13" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xD hide.long 0x00 "MPU_RASR13,MPU Region Attribute and Size Register 13" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xE group.long 0xD9C++0x03 "Region 14" saveout 0xD98 %l 0xE line.long 0x00 "MPU_RBAR14,MPU Region Base Address Register 14" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xE line.long 0x00 "MPU_RASR14,MPU Region Attribute and Size Register 14" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 14 (not implemented)" saveout 0xD98 %l 0xE hide.long 0x00 "MPU_RBAR14,MPU Region Base Address Register 14" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xE hide.long 0x00 "MPU_RASR14,MPU Region Attribute and Size Register 14" textline " " textline " " endif if ((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xD90)&0xff00)>>8)>0xF group.long 0xD9C++0x03 "Region 15" saveout 0xD98 %l 0xF line.long 0x00 "MPU_RBAR15,MPU Region Base Address Register 15" hexmask.long 0x00 5.--31. 0x20 " ADDR ,Base address of the region" group.long 0xDA0++0x03 saveout 0xD98 %l 0xF line.long 0x00 "MPU_RASR15,MPU Region Attribute and Size Register 15" bitfld.long 0x00 28. " XN ,eXecute Never" "Execute,Not execute" bitfld.long 0x00 24.--26. " AP ,Data Access Permission" "No access,S:RW U:--,S:RW U:R-,S:RW U:RW,reserved,S:R- U:--,S:R- U:R-,S:R- U:R-" bitfld.long 0x00 19.--21. " TEX ,Type Extension Field" "0,1,2,3,4,5,6,7" textline " " bitfld.long 0x00 18. " S ,Shareable Bit" "Not shareable,Shareable" bitfld.long 0x00 17. " C ,Cacheable Bit" "Not cacheable,Cacheable" bitfld.long 0x00 16. " B ,Bufferable Bit" "Not bufferable,Bufferable" textline " " bitfld.long 0x00 15. " SRD[7..0] ,Subregion 7 Disable" "0,1" bitfld.long 0x00 14. ",Subregion 6 Disable" "0,1" bitfld.long 0x00 13. ",Subregion 5 Disable" "0,1" bitfld.long 0x00 12. ",Subregion 4 Disable" "0,1" bitfld.long 0x00 11. ",Subregion 3 Disable" "0,1" bitfld.long 0x00 10. ",Subregion 2 Disable" "0,1" bitfld.long 0x00 9. ",Subregion 1 Disabled" "0,1" bitfld.long 0x00 8. ",Subregion 0 Disable" "0,1" bitfld.long 0x00 1.--5. " SIZE ,Indicates the region size" "-,-,-,-,32 B,64 B,128 B,256 B,512 B,1 kB,2 kB,4 kB,8 kB,16 kB,32 kB,64 kB,128 kB,256 kB,512 kB,1 MB,2 MB,4 MB,8 MB,16 MB,32 MB,64 MB,128 MB,256 MB,512 MB,1 GB,2 GB,4 GB" bitfld.long 0x00 0. " ENABLE ,Enables this region" "Disabled,Enabled" else hgroup.long 0xD9C++0x03 "Region 15 (not implemented)" saveout 0xD98 %l 0xF hide.long 0x00 "MPU_RBAR15,MPU Region Base Address Register 15" hgroup.long 0xDA0++0x03 saveout 0xD98 %l 0xF hide.long 0x00 "MPU_RASR15,MPU Region Attribute and Size Register 15" textline " " textline " " endif tree.end width 0x0b else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Nested Vectored Interrupt Controller" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 6. rgroup.long 0x04++0x03 line.long 0x00 "ICTR,Interrupt Controller Type Register" bitfld.long 0x00 0.--3. " INTLINESNUM ,Total Number of Interrupt" "0-32,33-64,65-96,97-128,129-160,161-192,193-224,225-240,?..." tree "Interrupt Enable Registers" width 23. if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x00) group.long 0x100++0x03 line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x01) group.long 0x100++0x7 line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x02) group.long 0x100++0x0B line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x03) group.long 0x100++0x0F line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x04) group.long 0x100++0x13 line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x10 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " ENA159 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " ENA158 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " ENA157 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " ENA156 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " ENA155 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " ENA154 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " ENA153 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " ENA152 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " ENA151 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " ENA150 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " ENA149 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " ENA148 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " ENA147 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " ENA146 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " ENA145 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " ENA144 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " ENA143 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " ENA142 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " ENA141 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " ENA140 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " ENA139 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " ENA138 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " ENA137 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " ENA136 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " ENA135 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " ENA134 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " ENA133 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " ENA132 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " ENA131 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " ENA130 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " ENA129 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " ENA128 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x05) group.long 0x100++0x17 line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x10 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " ENA159 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " ENA158 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " ENA157 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " ENA156 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " ENA155 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " ENA154 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " ENA153 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " ENA152 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " ENA151 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " ENA150 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " ENA149 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " ENA148 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " ENA147 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " ENA146 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " ENA145 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " ENA144 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " ENA143 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " ENA142 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " ENA141 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " ENA140 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " ENA139 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " ENA138 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " ENA137 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " ENA136 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " ENA135 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " ENA134 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " ENA133 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " ENA132 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " ENA131 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " ENA130 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " ENA129 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " ENA128 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x14 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " ENA191 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " ENA190 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " ENA189 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " ENA188 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " ENA187 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " ENA186 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " ENA185 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " ENA184 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " ENA183 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " ENA182 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " ENA181 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " ENA180 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " ENA179 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " ENA178 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " ENA177 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " ENA176 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " ENA175 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " ENA174 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " ENA173 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " ENA172 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " ENA171 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " ENA170 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " ENA169 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " ENA168 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " ENA167 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " ENA166 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " ENA165 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " ENA164 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " ENA163 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " ENA162 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " ENA161 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " ENA160 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x06) group.long 0x100++0x1B line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x10 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " ENA159 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " ENA158 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " ENA157 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " ENA156 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " ENA155 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " ENA154 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " ENA153 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " ENA152 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " ENA151 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " ENA150 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " ENA149 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " ENA148 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " ENA147 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " ENA146 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " ENA145 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " ENA144 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " ENA143 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " ENA142 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " ENA141 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " ENA140 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " ENA139 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " ENA138 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " ENA137 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " ENA136 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " ENA135 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " ENA134 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " ENA133 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " ENA132 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " ENA131 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " ENA130 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " ENA129 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " ENA128 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x14 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " ENA191 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " ENA190 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " ENA189 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " ENA188 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " ENA187 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " ENA186 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " ENA185 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " ENA184 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " ENA183 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " ENA182 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " ENA181 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " ENA180 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " ENA179 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " ENA178 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " ENA177 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " ENA176 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " ENA175 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " ENA174 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " ENA173 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " ENA172 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " ENA171 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " ENA170 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " ENA169 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " ENA168 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " ENA167 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " ENA166 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " ENA165 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " ENA164 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " ENA163 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " ENA162 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " ENA161 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " ENA160 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x18 "IRQ192_223_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x18 31. 0x18 31. 0x98 31. " ENA223 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 30. 0x18 30. 0x98 30. " ENA222 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 29. 0x18 29. 0x98 29. " ENA221 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 28. 0x18 28. 0x98 28. " ENA220 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 27. 0x18 27. 0x98 27. " ENA219 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 26. 0x18 26. 0x98 26. " ENA218 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 25. 0x18 25. 0x98 25. " ENA217 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 24. 0x18 24. 0x98 24. " ENA216 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 23. 0x18 23. 0x98 23. " ENA215 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 22. 0x18 22. 0x98 22. " ENA214 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 21. 0x18 21. 0x98 21. " ENA213 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 20. 0x18 20. 0x98 20. " ENA212 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 19. 0x18 19. 0x98 19. " ENA211 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 18. 0x18 18. 0x98 18. " ENA210 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 17. 0x18 17. 0x98 17. " ENA209 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 16. 0x18 16. 0x98 16. " ENA208 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 15. 0x18 15. 0x98 15. " ENA207 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 14. 0x18 14. 0x98 14. " ENA206 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 13. 0x18 13. 0x98 13. " ENA205 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 12. 0x18 12. 0x98 12. " ENA204 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 11. 0x18 11. 0x98 11. " ENA203 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 10. 0x18 10. 0x98 10. " ENA202 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 9. 0x18 9. 0x98 9. " ENA201 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 8. 0x18 8. 0x98 8. " ENA200 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 7. 0x18 7. 0x98 7. " ENA199 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 6. 0x18 6. 0x98 6. " ENA198 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 5. 0x18 5. 0x98 5. " ENA197 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 4. 0x18 4. 0x98 4. " ENA196 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 3. 0x18 3. 0x98 3. " ENA195 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 2. 0x18 2. 0x98 2. " ENA194 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 1. 0x18 1. 0x98 1. " ENA193 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 0. 0x18 0. 0x98 0. " ENA192 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x07) group.long 0x100++0x1F line.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " ENA31 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " ENA30 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " ENA29 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " ENA28 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " ENA27 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " ENA26 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " ENA25 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " ENA24 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " ENA23 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " ENA22 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " ENA21 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " ENA20 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " ENA19 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " ENA18 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " ENA17 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " ENA16 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " ENA15 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " ENA14 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " ENA13 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " ENA12 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " ENA11 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " ENA10 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " ENA9 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " ENA8 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " ENA7 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " ENA6 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " ENA5 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " ENA4 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " ENA3 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " ENA2 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " ENA1 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " ENA0 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " ENA63 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " ENA62 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " ENA61 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " ENA60 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " ENA59 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " ENA58 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " ENA57 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " ENA56 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " ENA55 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " ENA54 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " ENA53 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " ENA52 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " ENA51 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " ENA50 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " ENA49 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " ENA48 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " ENA47 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " ENA46 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " ENA45 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " ENA44 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " ENA43 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " ENA42 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " ENA41 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " ENA40 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " ENA39 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " ENA38 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " ENA37 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " ENA36 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " ENA35 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " ENA34 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " ENA33 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " ENA32 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " ENA95 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " ENA94 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " ENA93 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " ENA92 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " ENA91 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " ENA90 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " ENA89 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " ENA88 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " ENA87 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " ENA86 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " ENA85 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " ENA84 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " ENA83 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " ENA82 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " ENA81 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " ENA80 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " ENA79 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " ENA78 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " ENA77 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " ENA76 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " ENA75 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " ENA74 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " ENA73 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " ENA72 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " ENA71 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " ENA70 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " ENA69 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " ENA68 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " ENA67 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " ENA66 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " ENA65 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " ENA64 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " ENA127 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " ENA126 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " ENA125 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " ENA124 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " ENA123 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " ENA122 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " ENA121 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " ENA120 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " ENA119 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " ENA118 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " ENA117 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " ENA116 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " ENA115 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " ENA114 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " ENA113 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " ENA112 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " ENA111 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " ENA110 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " ENA109 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " ENA108 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " ENA107 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " ENA106 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " ENA105 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " ENA104 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " ENA103 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " ENA102 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " ENA101 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " ENA100 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " ENA99 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " ENA98 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " ENA97 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " ENA96 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x10 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " ENA159 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " ENA158 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " ENA157 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " ENA156 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " ENA155 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " ENA154 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " ENA153 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " ENA152 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " ENA151 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " ENA150 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " ENA149 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " ENA148 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " ENA147 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " ENA146 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " ENA145 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " ENA144 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " ENA143 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " ENA142 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " ENA141 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " ENA140 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " ENA139 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " ENA138 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " ENA137 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " ENA136 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " ENA135 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " ENA134 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " ENA133 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " ENA132 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " ENA131 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " ENA130 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " ENA129 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " ENA128 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x14 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " ENA191 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " ENA190 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " ENA189 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " ENA188 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " ENA187 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " ENA186 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " ENA185 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " ENA184 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " ENA183 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " ENA182 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " ENA181 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " ENA180 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " ENA179 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " ENA178 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " ENA177 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " ENA176 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " ENA175 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " ENA174 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " ENA173 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " ENA172 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " ENA171 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " ENA170 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " ENA169 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " ENA168 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " ENA167 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " ENA166 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " ENA165 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " ENA164 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " ENA163 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " ENA162 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " ENA161 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " ENA160 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x18 "IRQ192_223_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x18 31. 0x18 31. 0x98 31. " ENA223 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 30. 0x18 30. 0x98 30. " ENA222 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 29. 0x18 29. 0x98 29. " ENA221 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 28. 0x18 28. 0x98 28. " ENA220 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 27. 0x18 27. 0x98 27. " ENA219 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 26. 0x18 26. 0x98 26. " ENA218 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 25. 0x18 25. 0x98 25. " ENA217 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 24. 0x18 24. 0x98 24. " ENA216 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 23. 0x18 23. 0x98 23. " ENA215 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 22. 0x18 22. 0x98 22. " ENA214 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 21. 0x18 21. 0x98 21. " ENA213 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 20. 0x18 20. 0x98 20. " ENA212 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 19. 0x18 19. 0x98 19. " ENA211 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 18. 0x18 18. 0x98 18. " ENA210 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 17. 0x18 17. 0x98 17. " ENA209 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 16. 0x18 16. 0x98 16. " ENA208 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 15. 0x18 15. 0x98 15. " ENA207 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 14. 0x18 14. 0x98 14. " ENA206 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 13. 0x18 13. 0x98 13. " ENA205 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 12. 0x18 12. 0x98 12. " ENA204 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 11. 0x18 11. 0x98 11. " ENA203 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 10. 0x18 10. 0x98 10. " ENA202 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 9. 0x18 9. 0x98 9. " ENA201 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 8. 0x18 8. 0x98 8. " ENA200 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 7. 0x18 7. 0x98 7. " ENA199 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 6. 0x18 6. 0x98 6. " ENA198 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 5. 0x18 5. 0x98 5. " ENA197 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 4. 0x18 4. 0x98 4. " ENA196 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 3. 0x18 3. 0x98 3. " ENA195 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 2. 0x18 2. 0x98 2. " ENA194 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x18 1. 0x18 1. 0x98 1. " ENA193 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x18 0. 0x18 0. 0x98 0. " ENA192 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" line.long 0x1c "IRQ224_239_EN_SET/CLR,Interrupt Enable Register" setclrfld.long 0x1c 15. 0x1c 15. 0x9c 15. " ENA239 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 14. 0x1c 14. 0x9c 14. " ENA238 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 13. 0x1c 13. 0x9c 13. " ENA237 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 12. 0x1c 12. 0x9c 12. " ENA236 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 11. 0x1c 11. 0x9c 11. " ENA235 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 10. 0x1c 10. 0x9c 10. " ENA234 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x1c 9. 0x1c 9. 0x9c 9. " ENA233 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 8. 0x1c 8. 0x9c 8. " ENA232 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 7. 0x1c 7. 0x9c 7. " ENA231 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 6. 0x1c 6. 0x9c 6. " ENA230 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 5. 0x1c 5. 0x9c 5. " ENA229 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 4. 0x1c 4. 0x9c 4. " ENA228 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" textline " " setclrfld.long 0x1c 3. 0x1c 3. 0x9c 3. " ENA227 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 2. 0x1c 2. 0x9c 2. " ENA226 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 1. 0x1c 1. 0x9c 1. " ENA225 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" setclrfld.long 0x1c 0. 0x1c 0. 0x9c 0. " ENA224 ,Interrupt Set/Clear Enable Bit" "Disabled,Enabled" else hgroup.long 0x100++0x1F hide.long 0x00 "IRQ0_31_EN_SET/CLR,Interrupt Enable Register" hide.long 0x04 "IRQ32_63_EN_SET/CLR,Interrupt Enable Register" hide.long 0x08 "IRQ64_95_EN_SET/CLR,Interrupt Enable Register" hide.long 0x0c "IRQ96_127_EN_SET/CLR,Interrupt Enable Register" hide.long 0x10 "IRQ128_159_EN_SET/CLR,Interrupt Enable Register" hide.long 0x14 "IRQ160_191_EN_SET/CLR,Interrupt Enable Register" hide.long 0x18 "IRQ192_223_EN_SET/CLR,Interrupt Enable Register" hide.long 0x1c "IRQ224_239_EN_SET/CLR,Interrupt Enable Register" endif tree.end tree "Interrupt Pending Registers" width 23. if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x00) group.long 0x200++0x03 line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x01) group.long 0x200++0x07 line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x02) group.long 0x200++0x0B line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x03) group.long 0x200++0x0F line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x04) group.long 0x200++0x13 line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x10 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " PEN159 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " PEN158 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " PEN157 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " PEN156 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " PEN155 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " PEN154 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " PEN153 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " PEN152 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " PEN151 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " PEN150 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " PEN149 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " PEN148 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " PEN147 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " PEN146 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " PEN145 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " PEN144 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " PEN143 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " PEN142 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " PEN141 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " PEN140 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " PEN139 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " PEN138 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " PEN137 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " PEN136 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " PEN135 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " PEN134 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " PEN133 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " PEN132 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " PEN131 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " PEN130 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " PEN129 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " PEN128 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x05) group.long 0x200++0x17 line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x10 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " PEN159 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " PEN158 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " PEN157 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " PEN156 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " PEN155 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " PEN154 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " PEN153 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " PEN152 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " PEN151 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " PEN150 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " PEN149 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " PEN148 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " PEN147 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " PEN146 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " PEN145 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " PEN144 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " PEN143 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " PEN142 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " PEN141 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " PEN140 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " PEN139 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " PEN138 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " PEN137 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " PEN136 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " PEN135 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " PEN134 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " PEN133 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " PEN132 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " PEN131 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " PEN130 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " PEN129 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " PEN128 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x14 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " PEN191 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " PEN190 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " PEN189 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " PEN188 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " PEN187 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " PEN186 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " PEN185 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " PEN184 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " PEN183 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " PEN182 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " PEN181 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " PEN180 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " PEN179 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " PEN178 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " PEN177 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " PEN176 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " PEN175 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " PEN174 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " PEN173 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " PEN172 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " PEN171 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " PEN170 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " PEN169 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " PEN168 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " PEN167 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " PEN166 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " PEN165 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " PEN164 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " PEN163 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " PEN162 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " PEN161 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " PEN160 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x06) group.long 0x200++0x1B line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x10 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " PEN159 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " PEN158 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " PEN157 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " PEN156 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " PEN155 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " PEN154 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " PEN153 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " PEN152 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " PEN151 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " PEN150 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " PEN149 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " PEN148 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " PEN147 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " PEN146 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " PEN145 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " PEN144 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " PEN143 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " PEN142 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " PEN141 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " PEN140 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " PEN139 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " PEN138 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " PEN137 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " PEN136 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " PEN135 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " PEN134 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " PEN133 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " PEN132 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " PEN131 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " PEN130 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " PEN129 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " PEN128 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x14 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " PEN191 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " PEN190 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " PEN189 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " PEN188 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " PEN187 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " PEN186 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " PEN185 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " PEN184 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " PEN183 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " PEN182 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " PEN181 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " PEN180 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " PEN179 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " PEN178 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " PEN177 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " PEN176 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " PEN175 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " PEN174 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " PEN173 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " PEN172 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " PEN171 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " PEN170 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " PEN169 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " PEN168 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " PEN167 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " PEN166 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " PEN165 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " PEN164 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " PEN163 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " PEN162 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " PEN161 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " PEN160 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x18 "IRQ192_223_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x18 31. 0x18 31. 0x98 31. " PEN223 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 30. 0x18 30. 0x98 30. " PEN222 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 29. 0x18 29. 0x98 29. " PEN221 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 28. 0x18 28. 0x98 28. " PEN220 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 27. 0x18 27. 0x98 27. " PEN219 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 26. 0x18 26. 0x98 26. " PEN218 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 25. 0x18 25. 0x98 25. " PEN217 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 24. 0x18 24. 0x98 24. " PEN216 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 23. 0x18 23. 0x98 23. " PEN215 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 22. 0x18 22. 0x98 22. " PEN214 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 21. 0x18 21. 0x98 21. " PEN213 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 20. 0x18 20. 0x98 20. " PEN212 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 19. 0x18 19. 0x98 19. " PEN211 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 18. 0x18 18. 0x98 18. " PEN210 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 17. 0x18 17. 0x98 17. " PEN209 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 16. 0x18 16. 0x98 16. " PEN208 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 15. 0x18 15. 0x98 15. " PEN207 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 14. 0x18 14. 0x98 14. " PEN206 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 13. 0x18 13. 0x98 13. " PEN205 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 12. 0x18 12. 0x98 12. " PEN204 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 11. 0x18 11. 0x98 11. " PEN203 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 10. 0x18 10. 0x98 10. " PEN202 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 9. 0x18 9. 0x98 9. " PEN201 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 8. 0x18 8. 0x98 8. " PEN200 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 7. 0x18 7. 0x98 7. " PEN199 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 6. 0x18 6. 0x98 6. " PEN198 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 5. 0x18 5. 0x98 5. " PEN197 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 4. 0x18 4. 0x98 4. " PEN196 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 3. 0x18 3. 0x98 3. " PEN195 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 2. 0x18 2. 0x98 2. " PEN194 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 1. 0x18 1. 0x98 1. " PEN193 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 0. 0x18 0. 0x98 0. " PEN192 ,Interrupt Set/Clear Pending" "Not pending,Pending" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x07) group.long 0x200++0x1F line.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x00 31. 0x00 31. 0x80 31. " PEN31 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 30. 0x00 30. 0x80 30. " PEN30 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 29. 0x00 29. 0x80 29. " PEN29 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 28. 0x00 28. 0x80 28. " PEN28 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 27. 0x00 27. 0x80 27. " PEN27 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 26. 0x00 26. 0x80 26. " PEN26 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 25. 0x00 25. 0x80 25. " PEN25 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 24. 0x00 24. 0x80 24. " PEN24 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 23. 0x00 23. 0x80 23. " PEN23 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 22. 0x00 22. 0x80 22. " PEN22 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 21. 0x00 21. 0x80 21. " PEN21 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 20. 0x00 20. 0x80 20. " PEN20 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 19. 0x00 19. 0x80 19. " PEN19 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 18. 0x00 18. 0x80 18. " PEN18 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 17. 0x00 17. 0x80 17. " PEN17 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 16. 0x00 16. 0x80 16. " PEN16 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 15. 0x00 15. 0x80 15. " PEN15 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 14. 0x00 14. 0x80 14. " PEN14 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 13. 0x00 13. 0x80 13. " PEN13 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 12. 0x00 12. 0x80 12. " PEN12 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 11. 0x00 11. 0x80 11. " PEN11 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 10. 0x00 10. 0x80 10. " PEN10 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 9. 0x00 9. 0x80 9. " PEN9 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 8. 0x00 8. 0x80 8. " PEN8 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 7. 0x00 7. 0x80 7. " PEN7 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 6. 0x00 6. 0x80 6. " PEN6 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 5. 0x00 5. 0x80 5. " PEN5 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 4. 0x00 4. 0x80 4. " PEN4 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 3. 0x00 3. 0x80 3. " PEN3 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 2. 0x00 2. 0x80 2. " PEN2 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x00 1. 0x00 1. 0x80 1. " PEN1 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x00 0. 0x00 0. 0x80 0. " PEN0 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x04 31. 0x04 31. 0x84 31. " PEN63 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 30. 0x04 30. 0x84 30. " PEN62 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 29. 0x04 29. 0x84 29. " PEN61 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 28. 0x04 28. 0x84 28. " PEN60 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 27. 0x04 27. 0x84 27. " PEN59 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 26. 0x04 26. 0x84 26. " PEN58 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 25. 0x04 25. 0x84 25. " PEN57 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 24. 0x04 24. 0x84 24. " PEN56 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 23. 0x04 23. 0x84 23. " PEN55 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 22. 0x04 22. 0x84 22. " PEN54 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 21. 0x04 21. 0x84 21. " PEN53 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 20. 0x04 20. 0x84 20. " PEN52 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 19. 0x04 19. 0x84 19. " PEN51 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 18. 0x04 18. 0x84 18. " PEN50 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 17. 0x04 17. 0x84 17. " PEN49 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 16. 0x04 16. 0x84 16. " PEN48 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 15. 0x04 15. 0x84 15. " PEN47 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 14. 0x04 14. 0x84 14. " PEN46 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 13. 0x04 13. 0x84 13. " PEN45 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 12. 0x04 12. 0x84 12. " PEN44 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 11. 0x04 11. 0x84 11. " PEN43 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 10. 0x04 10. 0x84 10. " PEN42 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 9. 0x04 9. 0x84 9. " PEN41 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 8. 0x04 8. 0x84 8. " PEN40 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 7. 0x04 7. 0x84 7. " PEN39 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 6. 0x04 6. 0x84 6. " PEN38 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 5. 0x04 5. 0x84 5. " PEN37 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 4. 0x04 4. 0x84 4. " PEN36 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 3. 0x04 3. 0x84 3. " PEN35 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 2. 0x04 2. 0x84 2. " PEN34 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x04 1. 0x04 1. 0x84 1. " PEN33 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x04 0. 0x04 0. 0x84 0. " PEN32 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x08 31. 0x08 31. 0x88 31. " PEN95 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 30. 0x08 30. 0x88 30. " PEN94 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 29. 0x08 29. 0x88 29. " PEN93 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 28. 0x08 28. 0x88 28. " PEN92 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 27. 0x08 27. 0x88 27. " PEN91 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 26. 0x08 26. 0x88 26. " PEN90 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 25. 0x08 25. 0x88 25. " PEN89 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 24. 0x08 24. 0x88 24. " PEN88 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 23. 0x08 23. 0x88 23. " PEN87 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 22. 0x08 22. 0x88 22. " PEN86 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 21. 0x08 21. 0x88 21. " PEN85 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 20. 0x08 20. 0x88 20. " PEN84 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 19. 0x08 19. 0x88 19. " PEN83 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 18. 0x08 18. 0x88 18. " PEN82 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 17. 0x08 17. 0x88 17. " PEN81 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 16. 0x08 16. 0x88 16. " PEN80 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 15. 0x08 15. 0x88 15. " PEN79 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 14. 0x08 14. 0x88 14. " PEN78 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 13. 0x08 13. 0x88 13. " PEN77 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 12. 0x08 12. 0x88 12. " PEN76 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 11. 0x08 11. 0x88 11. " PEN75 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 10. 0x08 10. 0x88 10. " PEN74 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 9. 0x08 9. 0x88 9. " PEN73 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 8. 0x08 8. 0x88 8. " PEN72 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 7. 0x08 7. 0x88 7. " PEN71 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 6. 0x08 6. 0x88 6. " PEN70 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 5. 0x08 5. 0x88 5. " PEN69 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 4. 0x08 4. 0x88 4. " PEN68 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 3. 0x08 3. 0x88 3. " PEN67 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 2. 0x08 2. 0x88 2. " PEN66 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x08 1. 0x08 1. 0x88 1. " PEN65 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x08 0. 0x08 0. 0x88 0. " PEN64 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x0c 31. 0x0c 31. 0x8c 31. " PEN127 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 30. 0x0c 30. 0x8c 30. " PEN126 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 29. 0x0c 29. 0x8c 29. " PEN125 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 28. 0x0c 28. 0x8c 28. " PEN124 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 27. 0x0c 27. 0x8c 27. " PEN123 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 26. 0x0c 26. 0x8c 26. " PEN122 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 25. 0x0c 25. 0x8c 25. " PEN121 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 24. 0x0c 24. 0x8c 24. " PEN120 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 23. 0x0c 23. 0x8c 23. " PEN119 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 22. 0x0c 22. 0x8c 22. " PEN118 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 21. 0x0c 21. 0x8c 21. " PEN117 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 20. 0x0c 20. 0x8c 20. " PEN116 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 19. 0x0c 19. 0x8c 19. " PEN115 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 18. 0x0c 18. 0x8c 18. " PEN114 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 17. 0x0c 17. 0x8c 17. " PEN113 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 16. 0x0c 16. 0x8c 16. " PEN112 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 15. 0x0c 15. 0x8c 15. " PEN111 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 14. 0x0c 14. 0x8c 14. " PEN110 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 13. 0x0c 13. 0x8c 13. " PEN109 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 12. 0x0c 12. 0x8c 12. " PEN108 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 11. 0x0c 11. 0x8c 11. " PEN107 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 10. 0x0c 10. 0x8c 10. " PEN106 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 9. 0x0c 9. 0x8c 9. " PEN105 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 8. 0x0c 8. 0x8c 8. " PEN104 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 7. 0x0c 7. 0x8c 7. " PEN103 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 6. 0x0c 6. 0x8c 6. " PEN102 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 5. 0x0c 5. 0x8c 5. " PEN101 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 4. 0x0c 4. 0x8c 4. " PEN100 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 3. 0x0c 3. 0x8c 3. " PEN99 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 2. 0x0c 2. 0x8c 2. " PEN98 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x0c 1. 0x0c 1. 0x8c 1. " PEN97 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x0c 0. 0x0c 0. 0x8c 0. " PEN96 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x10 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x10 31. 0x10 31. 0x90 31. " PEN159 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 30. 0x10 30. 0x90 30. " PEN158 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 29. 0x10 29. 0x90 29. " PEN157 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 28. 0x10 28. 0x90 28. " PEN156 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 27. 0x10 27. 0x90 27. " PEN155 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 26. 0x10 26. 0x90 26. " PEN154 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 25. 0x10 25. 0x90 25. " PEN153 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 24. 0x10 24. 0x90 24. " PEN152 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 23. 0x10 23. 0x90 23. " PEN151 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 22. 0x10 22. 0x90 22. " PEN150 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 21. 0x10 21. 0x90 21. " PEN149 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 20. 0x10 20. 0x90 20. " PEN148 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 19. 0x10 19. 0x90 19. " PEN147 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 18. 0x10 18. 0x90 18. " PEN146 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 17. 0x10 17. 0x90 17. " PEN145 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 16. 0x10 16. 0x90 16. " PEN144 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 15. 0x10 15. 0x90 15. " PEN143 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 14. 0x10 14. 0x90 14. " PEN142 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 13. 0x10 13. 0x90 13. " PEN141 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 12. 0x10 12. 0x90 12. " PEN140 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 11. 0x10 11. 0x90 11. " PEN139 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 10. 0x10 10. 0x90 10. " PEN138 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 9. 0x10 9. 0x90 9. " PEN137 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 8. 0x10 8. 0x90 8. " PEN136 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 7. 0x10 7. 0x90 7. " PEN135 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 6. 0x10 6. 0x90 6. " PEN134 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 5. 0x10 5. 0x90 5. " PEN133 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 4. 0x10 4. 0x90 4. " PEN132 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 3. 0x10 3. 0x90 3. " PEN131 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 2. 0x10 2. 0x90 2. " PEN130 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x10 1. 0x10 1. 0x90 1. " PEN129 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x10 0. 0x10 0. 0x90 0. " PEN128 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x14 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x14 31. 0x14 31. 0x94 31. " PEN191 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 30. 0x14 30. 0x94 30. " PEN190 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 29. 0x14 29. 0x94 29. " PEN189 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 28. 0x14 28. 0x94 28. " PEN188 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 27. 0x14 27. 0x94 27. " PEN187 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 26. 0x14 26. 0x94 26. " PEN186 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 25. 0x14 25. 0x94 25. " PEN185 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 24. 0x14 24. 0x94 24. " PEN184 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 23. 0x14 23. 0x94 23. " PEN183 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 22. 0x14 22. 0x94 22. " PEN182 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 21. 0x14 21. 0x94 21. " PEN181 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 20. 0x14 20. 0x94 20. " PEN180 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 19. 0x14 19. 0x94 19. " PEN179 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 18. 0x14 18. 0x94 18. " PEN178 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 17. 0x14 17. 0x94 17. " PEN177 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 16. 0x14 16. 0x94 16. " PEN176 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 15. 0x14 15. 0x94 15. " PEN175 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 14. 0x14 14. 0x94 14. " PEN174 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 13. 0x14 13. 0x94 13. " PEN173 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 12. 0x14 12. 0x94 12. " PEN172 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 11. 0x14 11. 0x94 11. " PEN171 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 10. 0x14 10. 0x94 10. " PEN170 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 9. 0x14 9. 0x94 9. " PEN169 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 8. 0x14 8. 0x94 8. " PEN168 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 7. 0x14 7. 0x94 7. " PEN167 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 6. 0x14 6. 0x94 6. " PEN166 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 5. 0x14 5. 0x94 5. " PEN165 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 4. 0x14 4. 0x94 4. " PEN164 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 3. 0x14 3. 0x94 3. " PEN163 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 2. 0x14 2. 0x94 2. " PEN162 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x14 1. 0x14 1. 0x94 1. " PEN161 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x14 0. 0x14 0. 0x94 0. " PEN160 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x18 "IRQ192_223_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x18 31. 0x18 31. 0x98 31. " PEN223 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 30. 0x18 30. 0x98 30. " PEN222 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 29. 0x18 29. 0x98 29. " PEN221 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 28. 0x18 28. 0x98 28. " PEN220 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 27. 0x18 27. 0x98 27. " PEN219 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 26. 0x18 26. 0x98 26. " PEN218 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 25. 0x18 25. 0x98 25. " PEN217 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 24. 0x18 24. 0x98 24. " PEN216 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 23. 0x18 23. 0x98 23. " PEN215 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 22. 0x18 22. 0x98 22. " PEN214 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 21. 0x18 21. 0x98 21. " PEN213 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 20. 0x18 20. 0x98 20. " PEN212 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 19. 0x18 19. 0x98 19. " PEN211 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 18. 0x18 18. 0x98 18. " PEN210 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 17. 0x18 17. 0x98 17. " PEN209 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 16. 0x18 16. 0x98 16. " PEN208 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 15. 0x18 15. 0x98 15. " PEN207 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 14. 0x18 14. 0x98 14. " PEN206 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 13. 0x18 13. 0x98 13. " PEN205 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 12. 0x18 12. 0x98 12. " PEN204 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 11. 0x18 11. 0x98 11. " PEN203 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 10. 0x18 10. 0x98 10. " PEN202 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 9. 0x18 9. 0x98 9. " PEN201 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 8. 0x18 8. 0x98 8. " PEN200 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 7. 0x18 7. 0x98 7. " PEN199 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 6. 0x18 6. 0x98 6. " PEN198 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 5. 0x18 5. 0x98 5. " PEN197 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 4. 0x18 4. 0x98 4. " PEN196 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 3. 0x18 3. 0x98 3. " PEN195 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 2. 0x18 2. 0x98 2. " PEN194 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x18 1. 0x18 1. 0x98 1. " PEN193 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x18 0. 0x18 0. 0x98 0. " PEN192 ,Interrupt Set/Clear Pending" "Not pending,Pending" line.long 0x1c "IRQ224_239_PEN_SET/CLR,Interrupt Pending Register" setclrfld.long 0x1c 15. 0x1c 15. 0x9c 15. " PEN239 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 14. 0x1c 14. 0x9c 14. " PEN238 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 13. 0x1c 13. 0x9c 13. " PEN237 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 12. 0x1c 12. 0x9c 12. " PEN236 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 11. 0x1c 11. 0x9c 11. " PEN235 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 10. 0x1c 10. 0x9c 10. " PEN234 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x1c 9. 0x1c 9. 0x9c 9. " PEN233 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 8. 0x1c 8. 0x9c 8. " PEN232 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 7. 0x1c 7. 0x9c 7. " PEN231 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 6. 0x1c 6. 0x9c 6. " PEN230 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 5. 0x1c 5. 0x9c 5. " PEN229 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 4. 0x1c 4. 0x9c 4. " PEN228 ,Interrupt Set/Clear Pending" "Not pending,Pending" textline " " setclrfld.long 0x1c 3. 0x1c 3. 0x9c 3. " PEN227 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 2. 0x1c 2. 0x9c 2. " PEN226 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 1. 0x1c 1. 0x9c 1. " PEN225 ,Interrupt Set/Clear Pending" "Not pending,Pending" setclrfld.long 0x1c 0. 0x1c 0. 0x9c 0. " PEN224 ,Interrupt Set/Clear Pending" "Not pending,Pending" else hgroup.long 0x200++0x1F hide.long 0x00 "IRQ0_31_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x04 "IRQ32_63_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x08 "IRQ64_95_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x0c "IRQ96_127_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x10 "IRQ128_159_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x14 "IRQ160_191_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x18 "IRQ192_223_PEN_SET/CLR,Interrupt Pending Register" hide.long 0x1c "IRQ224_239_PEN_SET/CLR,Interrupt Pending Register" endif tree.end tree "Interrupt Active Bit Registers" width 9. if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x00) rgroup.long 0x300++0x03 line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x01) rgroup.long 0x300++0x07 line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x02) rgroup.long 0x300++0x0B line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x03) rgroup.long 0x300++0x0F line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" line.long 0x0c "ACTIVE4,Active Bit Register 4" bitfld.long 0x0c 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x04) rgroup.long 0x300++0x13 line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" line.long 0x0c "ACTIVE4,Active Bit Register 4" bitfld.long 0x0c 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" line.long 0x10 "ACTIVE5,Active Bit Register 5" bitfld.long 0x10 31. " ACTIVE159 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 30. " ACTIVE158 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 29. " ACTIVE157 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 28. " ACTIVE156 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 27. " ACTIVE155 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 26. " ACTIVE154 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 25. " ACTIVE153 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 24. " ACTIVE152 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 23. " ACTIVE151 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 22. " ACTIVE150 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 21. " ACTIVE149 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 20. " ACTIVE148 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 19. " ACTIVE147 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 18. " ACTIVE146 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 17. " ACTIVE145 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 16. " ACTIVE144 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 15. " ACTIVE143 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 14. " ACTIVE142 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 13. " ACTIVE141 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 12. " ACTIVE140 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 11. " ACTIVE139 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 10. " ACTIVE138 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 9. " ACTIVE137 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 8. " ACTIVE136 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 7. " ACTIVE135 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 6. " ACTIVE134 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 5. " ACTIVE133 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 4. " ACTIVE132 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 3. " ACTIVE131 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 2. " ACTIVE130 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 1. " ACTIVE129 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 0. " ACTIVE128 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x05) rgroup.long 0x300++0x17 line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" line.long 0x0c "ACTIVE4,Active Bit Register 4" bitfld.long 0x0c 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" line.long 0x10 "ACTIVE5,Active Bit Register 5" bitfld.long 0x10 31. " ACTIVE159 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 30. " ACTIVE158 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 29. " ACTIVE157 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 28. " ACTIVE156 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 27. " ACTIVE155 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 26. " ACTIVE154 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 25. " ACTIVE153 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 24. " ACTIVE152 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 23. " ACTIVE151 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 22. " ACTIVE150 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 21. " ACTIVE149 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 20. " ACTIVE148 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 19. " ACTIVE147 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 18. " ACTIVE146 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 17. " ACTIVE145 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 16. " ACTIVE144 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 15. " ACTIVE143 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 14. " ACTIVE142 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 13. " ACTIVE141 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 12. " ACTIVE140 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 11. " ACTIVE139 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 10. " ACTIVE138 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 9. " ACTIVE137 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 8. " ACTIVE136 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 7. " ACTIVE135 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 6. " ACTIVE134 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 5. " ACTIVE133 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 4. " ACTIVE132 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 3. " ACTIVE131 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 2. " ACTIVE130 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 1. " ACTIVE129 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 0. " ACTIVE128 ,Interrupt Active Flag" "Not active,Active" line.long 0x14 "ACTIVE6,Active Bit Register 6" bitfld.long 0x14 31. " ACTIVE191 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 30. " ACTIVE190 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 29. " ACTIVE189 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 28. " ACTIVE188 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 27. " ACTIVE187 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 26. " ACTIVE186 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 25. " ACTIVE185 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 24. " ACTIVE184 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 23. " ACTIVE183 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 22. " ACTIVE182 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 21. " ACTIVE181 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 20. " ACTIVE180 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 19. " ACTIVE179 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 18. " ACTIVE178 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 17. " ACTIVE177 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 16. " ACTIVE176 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 15. " ACTIVE175 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 14. " ACTIVE174 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 13. " ACTIVE173 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 12. " ACTIVE172 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 11. " ACTIVE171 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 10. " ACTIVE170 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 9. " ACTIVE169 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 8. " ACTIVE168 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 7. " ACTIVE167 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 6. " ACTIVE166 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 5. " ACTIVE165 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 4. " ACTIVE164 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 3. " ACTIVE163 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 2. " ACTIVE162 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 1. " ACTIVE161 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 0. " ACTIVE160 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x06) rgroup.long 0x300++0x1B line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" line.long 0x0c "ACTIVE4,Active Bit Register 4" bitfld.long 0x0c 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" line.long 0x10 "ACTIVE5,Active Bit Register 5" bitfld.long 0x10 31. " ACTIVE159 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 30. " ACTIVE158 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 29. " ACTIVE157 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 28. " ACTIVE156 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 27. " ACTIVE155 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 26. " ACTIVE154 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 25. " ACTIVE153 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 24. " ACTIVE152 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 23. " ACTIVE151 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 22. " ACTIVE150 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 21. " ACTIVE149 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 20. " ACTIVE148 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 19. " ACTIVE147 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 18. " ACTIVE146 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 17. " ACTIVE145 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 16. " ACTIVE144 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 15. " ACTIVE143 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 14. " ACTIVE142 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 13. " ACTIVE141 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 12. " ACTIVE140 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 11. " ACTIVE139 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 10. " ACTIVE138 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 9. " ACTIVE137 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 8. " ACTIVE136 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 7. " ACTIVE135 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 6. " ACTIVE134 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 5. " ACTIVE133 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 4. " ACTIVE132 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 3. " ACTIVE131 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 2. " ACTIVE130 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 1. " ACTIVE129 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 0. " ACTIVE128 ,Interrupt Active Flag" "Not active,Active" line.long 0x14 "ACTIVE6,Active Bit Register 6" bitfld.long 0x14 31. " ACTIVE191 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 30. " ACTIVE190 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 29. " ACTIVE189 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 28. " ACTIVE188 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 27. " ACTIVE187 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 26. " ACTIVE186 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 25. " ACTIVE185 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 24. " ACTIVE184 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 23. " ACTIVE183 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 22. " ACTIVE182 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 21. " ACTIVE181 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 20. " ACTIVE180 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 19. " ACTIVE179 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 18. " ACTIVE178 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 17. " ACTIVE177 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 16. " ACTIVE176 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 15. " ACTIVE175 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 14. " ACTIVE174 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 13. " ACTIVE173 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 12. " ACTIVE172 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 11. " ACTIVE171 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 10. " ACTIVE170 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 9. " ACTIVE169 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 8. " ACTIVE168 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 7. " ACTIVE167 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 6. " ACTIVE166 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 5. " ACTIVE165 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 4. " ACTIVE164 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 3. " ACTIVE163 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 2. " ACTIVE162 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 1. " ACTIVE161 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 0. " ACTIVE160 ,Interrupt Active Flag" "Not active,Active" line.long 0x18 "ACTIVE7,Active Bit Register 7" bitfld.long 0x18 31. " ACTIVE223 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 30. " ACTIVE222 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 29. " ACTIVE221 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 28. " ACTIVE220 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 27. " ACTIVE219 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 26. " ACTIVE218 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 25. " ACTIVE217 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 24. " ACTIVE216 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 23. " ACTIVE215 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 22. " ACTIVE214 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 21. " ACTIVE213 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 20. " ACTIVE212 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 19. " ACTIVE211 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 18. " ACTIVE210 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 17. " ACTIVE209 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 16. " ACTIVE208 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 15. " ACTIVE207 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 14. " ACTIVE206 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 13. " ACTIVE205 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 12. " ACTIVE204 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 11. " ACTIVE203 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 10. " ACTIVE202 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 9. " ACTIVE201 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 8. " ACTIVE200 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 7. " ACTIVE199 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 6. " ACTIVE198 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 5. " ACTIVE197 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 4. " ACTIVE196 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 3. " ACTIVE195 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 2. " ACTIVE194 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 1. " ACTIVE193 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 0. " ACTIVE192 ,Interrupt Active Flag" "Not active,Active" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x07) rgroup.long 0x300++0x1F line.long 0x00 "ACTIVE1,Active Bit Register 1" bitfld.long 0x00 31. " ACTIVE31 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 30. " ACTIVE30 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 29. " ACTIVE29 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 28. " ACTIVE28 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 27. " ACTIVE27 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 26. " ACTIVE26 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 25. " ACTIVE25 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 24. " ACTIVE24 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 23. " ACTIVE23 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 22. " ACTIVE22 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 21. " ACTIVE21 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 20. " ACTIVE20 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 19. " ACTIVE19 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 18. " ACTIVE18 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 17. " ACTIVE17 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 16. " ACTIVE16 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 15. " ACTIVE15 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 14. " ACTIVE14 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 13. " ACTIVE13 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 12. " ACTIVE12 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 11. " ACTIVE11 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 10. " ACTIVE10 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 9. " ACTIVE9 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 8. " ACTIVE8 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 7. " ACTIVE7 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 6. " ACTIVE6 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 5. " ACTIVE5 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 4. " ACTIVE4 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 3. " ACTIVE3 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 2. " ACTIVE2 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x00 1. " ACTIVE1 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x00 0. " ACTIVE0 ,Interrupt Active Flag" "Not active,Active" line.long 0x04 "ACTIVE2,Active Bit Register 2" bitfld.long 0x04 31. " ACTIVE63 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 30. " ACTIVE62 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 29. " ACTIVE61 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 28. " ACTIVE60 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 27. " ACTIVE59 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 26. " ACTIVE58 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 25. " ACTIVE57 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 24. " ACTIVE56 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 23. " ACTIVE55 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 22. " ACTIVE54 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 21. " ACTIVE53 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 20. " ACTIVE52 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 19. " ACTIVE51 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 18. " ACTIVE50 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 17. " ACTIVE49 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 16. " ACTIVE48 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 15. " ACTIVE47 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 14. " ACTIVE46 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 13. " ACTIVE45 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 12. " ACTIVE44 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 11. " ACTIVE43 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 10. " ACTIVE42 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 9. " ACTIVE41 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 8. " ACTIVE40 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 7. " ACTIVE39 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 6. " ACTIVE38 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 5. " ACTIVE37 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 4. " ACTIVE36 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 3. " ACTIVE35 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 2. " ACTIVE34 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x04 1. " ACTIVE33 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x04 0. " ACTIVE32 ,Interrupt Active Flag" "Not active,Active" line.long 0x08 "ACTIVE3,Active Bit Register 3" bitfld.long 0x08 31. " ACTIVE95 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 30. " ACTIVE94 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 29. " ACTIVE93 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 28. " ACTIVE92 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 27. " ACTIVE91 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 26. " ACTIVE90 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 25. " ACTIVE89 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 24. " ACTIVE88 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 23. " ACTIVE87 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 22. " ACTIVE86 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 21. " ACTIVE85 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 20. " ACTIVE84 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 19. " ACTIVE83 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 18. " ACTIVE82 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 17. " ACTIVE81 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 16. " ACTIVE80 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 15. " ACTIVE79 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 14. " ACTIVE78 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 13. " ACTIVE77 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 12. " ACTIVE76 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 11. " ACTIVE75 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 10. " ACTIVE74 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 9. " ACTIVE73 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 8. " ACTIVE72 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 7. " ACTIVE71 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 6. " ACTIVE70 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 5. " ACTIVE69 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 4. " ACTIVE68 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 3. " ACTIVE67 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 2. " ACTIVE66 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x08 1. " ACTIVE65 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x08 0. " ACTIVE64 ,Interrupt Active Flag" "Not active,Active" line.long 0x0c "ACTIVE4,Active Bit Register 4" bitfld.long 0x0c 31. " ACTIVE127 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 30. " ACTIVE126 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 29. " ACTIVE125 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 28. " ACTIVE124 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 27. " ACTIVE123 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 26. " ACTIVE122 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 25. " ACTIVE121 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 24. " ACTIVE120 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 23. " ACTIVE119 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 22. " ACTIVE118 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 21. " ACTIVE117 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 20. " ACTIVE116 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 19. " ACTIVE115 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 18. " ACTIVE114 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 17. " ACTIVE113 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 16. " ACTIVE112 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 15. " ACTIVE111 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 14. " ACTIVE110 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 13. " ACTIVE109 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 12. " ACTIVE108 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 11. " ACTIVE107 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 10. " ACTIVE106 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 9. " ACTIVE105 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 8. " ACTIVE104 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 7. " ACTIVE103 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 6. " ACTIVE102 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 5. " ACTIVE101 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 4. " ACTIVE100 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 3. " ACTIVE99 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 2. " ACTIVE98 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x0c 1. " ACTIVE97 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x0c 0. " ACTIVE96 ,Interrupt Active Flag" "Not active,Active" line.long 0x10 "ACTIVE5,Active Bit Register 5" bitfld.long 0x10 31. " ACTIVE159 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 30. " ACTIVE158 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 29. " ACTIVE157 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 28. " ACTIVE156 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 27. " ACTIVE155 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 26. " ACTIVE154 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 25. " ACTIVE153 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 24. " ACTIVE152 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 23. " ACTIVE151 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 22. " ACTIVE150 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 21. " ACTIVE149 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 20. " ACTIVE148 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 19. " ACTIVE147 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 18. " ACTIVE146 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 17. " ACTIVE145 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 16. " ACTIVE144 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 15. " ACTIVE143 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 14. " ACTIVE142 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 13. " ACTIVE141 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 12. " ACTIVE140 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 11. " ACTIVE139 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 10. " ACTIVE138 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 9. " ACTIVE137 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 8. " ACTIVE136 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 7. " ACTIVE135 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 6. " ACTIVE134 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 5. " ACTIVE133 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 4. " ACTIVE132 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 3. " ACTIVE131 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 2. " ACTIVE130 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x10 1. " ACTIVE129 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x10 0. " ACTIVE128 ,Interrupt Active Flag" "Not active,Active" line.long 0x14 "ACTIVE6,Active Bit Register 6" bitfld.long 0x14 31. " ACTIVE191 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 30. " ACTIVE190 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 29. " ACTIVE189 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 28. " ACTIVE188 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 27. " ACTIVE187 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 26. " ACTIVE186 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 25. " ACTIVE185 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 24. " ACTIVE184 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 23. " ACTIVE183 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 22. " ACTIVE182 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 21. " ACTIVE181 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 20. " ACTIVE180 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 19. " ACTIVE179 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 18. " ACTIVE178 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 17. " ACTIVE177 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 16. " ACTIVE176 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 15. " ACTIVE175 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 14. " ACTIVE174 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 13. " ACTIVE173 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 12. " ACTIVE172 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 11. " ACTIVE171 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 10. " ACTIVE170 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 9. " ACTIVE169 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 8. " ACTIVE168 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 7. " ACTIVE167 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 6. " ACTIVE166 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 5. " ACTIVE165 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 4. " ACTIVE164 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 3. " ACTIVE163 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 2. " ACTIVE162 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x14 1. " ACTIVE161 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x14 0. " ACTIVE160 ,Interrupt Active Flag" "Not active,Active" line.long 0x18 "ACTIVE7,Active Bit Register 7" bitfld.long 0x18 31. " ACTIVE223 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 30. " ACTIVE222 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 29. " ACTIVE221 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 28. " ACTIVE220 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 27. " ACTIVE219 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 26. " ACTIVE218 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 25. " ACTIVE217 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 24. " ACTIVE216 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 23. " ACTIVE215 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 22. " ACTIVE214 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 21. " ACTIVE213 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 20. " ACTIVE212 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 19. " ACTIVE211 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 18. " ACTIVE210 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 17. " ACTIVE209 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 16. " ACTIVE208 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 15. " ACTIVE207 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 14. " ACTIVE206 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 13. " ACTIVE205 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 12. " ACTIVE204 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 11. " ACTIVE203 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 10. " ACTIVE202 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 9. " ACTIVE201 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 8. " ACTIVE200 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 7. " ACTIVE199 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 6. " ACTIVE198 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 5. " ACTIVE197 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 4. " ACTIVE196 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 3. " ACTIVE195 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 2. " ACTIVE194 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x18 1. " ACTIVE193 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x18 0. " ACTIVE192 ,Interrupt Active Flag" "Not active,Active" line.long 0x1c "ACTIVE8,Active Bit Register 8" bitfld.long 0x1c 15. " ACTIVE239 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 14. " ACTIVE238 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 13. " ACTIVE237 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 12. " ACTIVE236 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 11. " ACTIVE235 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 10. " ACTIVE234 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x1c 9. " ACTIVE233 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 8. " ACTIVE232 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 7. " ACTIVE231 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 6. " ACTIVE230 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 5. " ACTIVE229 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 4. " ACTIVE228 ,Interrupt Active Flag" "Not active,Active" textline " " bitfld.long 0x1c 3. " ACTIVE227 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 2. " ACTIVE226 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 1. " ACTIVE225 ,Interrupt Active Flag" "Not active,Active" bitfld.long 0x1c 0. " ACTIVE224 ,Interrupt Active Flag" "Not active,Active" else hgroup.long 0x300++0x1F hide.long 0x00 "ACTIVE1,Active Bit Register 1" hide.long 0x04 "ACTIVE2,Active Bit Register 2" hide.long 0x08 "ACTIVE3,Active Bit Register 3" hide.long 0x0c "ACTIVE4,Active Bit Register 4" hide.long 0x10 "ACTIVE5,Active Bit Register 5" hide.long 0x14 "ACTIVE6,Active Bit Register 6" hide.long 0x18 "ACTIVE7,Active Bit Register 7" hide.long 0x1c "ACTIVE8,Active Bit Register 8" endif tree.end tree "Interrupt Priority Registers" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x00) group.long 0x400++0x1F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x01) group.long 0x400++0x3F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x02) group.long 0x400++0x5F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x03) group.long 0x400++0x7F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" line.long 0x60 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x60 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x60 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x60 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x60 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x64 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x64 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x64 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x64 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x64 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x68 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x68 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x68 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x68 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x68 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0x6C "IPR27,Interrupt Priority Register" hexmask.long.byte 0x6C 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0x6C 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0x6C 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0x6C 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x70 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x70 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x70 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x70 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x70 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x74 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x74 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x74 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x74 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x74 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x78 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x78 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x78 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x78 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x78 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x7C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x7C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x7C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x7C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x7C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x04) group.long 0x400++0x9F line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" line.long 0x60 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x60 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x60 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x60 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x60 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x64 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x64 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x64 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x64 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x64 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x68 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x68 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x68 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x68 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x68 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0x6C "IPR27,Interrupt Priority Register" hexmask.long.byte 0x6C 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0x6C 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0x6C 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0x6C 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x70 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x70 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x70 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x70 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x70 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x74 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x74 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x74 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x74 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x74 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x78 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x78 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x78 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x78 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x78 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x7C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x7C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x7C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x7C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x7C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" line.long 0x80 "IPR32,Interrupt Priority Register" hexmask.long.byte 0x80 24.--31. 1. " PRI_131 ,Interrupt 131 Priority" hexmask.long.byte 0x80 16.--23. 1. " PRI_130 ,Interrupt 130 Priority" hexmask.long.byte 0x80 8.--15. 1. " PRI_129 ,Interrupt 129 Priority" hexmask.long.byte 0x80 0.--7. 1. " PRI_128 ,Interrupt 128 Priority" line.long 0x84 "IPR33,Interrupt Priority Register" hexmask.long.byte 0x84 24.--31. 1. " PRI_135 ,Interrupt 135 Priority" hexmask.long.byte 0x84 16.--23. 1. " PRI_134 ,Interrupt 134 Priority" hexmask.long.byte 0x84 8.--15. 1. " PRI_133 ,Interrupt 133 Priority" hexmask.long.byte 0x84 0.--7. 1. " PRI_132 ,Interrupt 132 Priority" line.long 0x88 "IPR34,Interrupt Priority Register" hexmask.long.byte 0x88 24.--31. 1. " PRI_139 ,Interrupt 139 Priority" hexmask.long.byte 0x88 16.--23. 1. " PRI_138 ,Interrupt 138 Priority" hexmask.long.byte 0x88 8.--15. 1. " PRI_137 ,Interrupt 137 Priority" hexmask.long.byte 0x88 0.--7. 1. " PRI_136 ,Interrupt 136 Priority" line.long 0x8C "IPR35,Interrupt Priority Register" hexmask.long.byte 0x8C 24.--31. 1. " PRI_143 ,Interrupt 143 Priority" hexmask.long.byte 0x8C 16.--23. 1. " PRI_142 ,Interrupt 142 Priority" hexmask.long.byte 0x8C 8.--15. 1. " PRI_141 ,Interrupt 141 Priority" hexmask.long.byte 0x8C 0.--7. 1. " PRI_140 ,Interrupt 140 Priority" line.long 0x90 "IPR36,Interrupt Priority Register" hexmask.long.byte 0x90 24.--31. 1. " PRI_147 ,Interrupt 147 Priority" hexmask.long.byte 0x90 16.--23. 1. " PRI_146 ,Interrupt 146 Priority" hexmask.long.byte 0x90 8.--15. 1. " PRI_145 ,Interrupt 145 Priority" hexmask.long.byte 0x90 0.--7. 1. " PRI_144 ,Interrupt 144 Priority" line.long 0x94 "IPR37,Interrupt Priority Register" hexmask.long.byte 0x94 24.--31. 1. " PRI_151 ,Interrupt 151 Priority" hexmask.long.byte 0x94 16.--23. 1. " PRI_150 ,Interrupt 150 Priority" hexmask.long.byte 0x94 8.--15. 1. " PRI_149 ,Interrupt 149 Priority" hexmask.long.byte 0x94 0.--7. 1. " PRI_148 ,Interrupt 148 Priority" line.long 0x98 "IPR38,Interrupt Priority Register" hexmask.long.byte 0x98 24.--31. 1. " PRI_155 ,Interrupt 155 Priority" hexmask.long.byte 0x98 16.--23. 1. " PRI_154 ,Interrupt 154 Priority" hexmask.long.byte 0x98 8.--15. 1. " PRI_153 ,Interrupt 153 Priority" hexmask.long.byte 0x98 0.--7. 1. " PRI_152 ,Interrupt 152 Priority" line.long 0x9C "IPR39,Interrupt Priority Register" hexmask.long.byte 0x9C 24.--31. 1. " PRI_159 ,Interrupt 159 Priority" hexmask.long.byte 0x9C 16.--23. 1. " PRI_158 ,Interrupt 158 Priority" hexmask.long.byte 0x9C 8.--15. 1. " PRI_157 ,Interrupt 157 Priority" hexmask.long.byte 0x9C 0.--7. 1. " PRI_156 ,Interrupt 156 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x05) group.long 0x400++0xBF line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" line.long 0x60 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x60 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x60 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x60 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x60 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x64 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x64 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x64 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x64 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x64 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x68 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x68 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x68 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x68 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x68 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0x6C "IPR27,Interrupt Priority Register" hexmask.long.byte 0x6C 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0x6C 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0x6C 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0x6C 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x70 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x70 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x70 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x70 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x70 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x74 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x74 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x74 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x74 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x74 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x78 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x78 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x78 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x78 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x78 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x7C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x7C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x7C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x7C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x7C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" line.long 0x80 "IPR32,Interrupt Priority Register" hexmask.long.byte 0x80 24.--31. 1. " PRI_131 ,Interrupt 131 Priority" hexmask.long.byte 0x80 16.--23. 1. " PRI_130 ,Interrupt 130 Priority" hexmask.long.byte 0x80 8.--15. 1. " PRI_129 ,Interrupt 129 Priority" hexmask.long.byte 0x80 0.--7. 1. " PRI_128 ,Interrupt 128 Priority" line.long 0x84 "IPR33,Interrupt Priority Register" hexmask.long.byte 0x84 24.--31. 1. " PRI_135 ,Interrupt 135 Priority" hexmask.long.byte 0x84 16.--23. 1. " PRI_134 ,Interrupt 134 Priority" hexmask.long.byte 0x84 8.--15. 1. " PRI_133 ,Interrupt 133 Priority" hexmask.long.byte 0x84 0.--7. 1. " PRI_132 ,Interrupt 132 Priority" line.long 0x88 "IPR34,Interrupt Priority Register" hexmask.long.byte 0x88 24.--31. 1. " PRI_139 ,Interrupt 139 Priority" hexmask.long.byte 0x88 16.--23. 1. " PRI_138 ,Interrupt 138 Priority" hexmask.long.byte 0x88 8.--15. 1. " PRI_137 ,Interrupt 137 Priority" hexmask.long.byte 0x88 0.--7. 1. " PRI_136 ,Interrupt 136 Priority" line.long 0x8C "IPR35,Interrupt Priority Register" hexmask.long.byte 0x8C 24.--31. 1. " PRI_143 ,Interrupt 143 Priority" hexmask.long.byte 0x8C 16.--23. 1. " PRI_142 ,Interrupt 142 Priority" hexmask.long.byte 0x8C 8.--15. 1. " PRI_141 ,Interrupt 141 Priority" hexmask.long.byte 0x8C 0.--7. 1. " PRI_140 ,Interrupt 140 Priority" line.long 0x90 "IPR36,Interrupt Priority Register" hexmask.long.byte 0x90 24.--31. 1. " PRI_147 ,Interrupt 147 Priority" hexmask.long.byte 0x90 16.--23. 1. " PRI_146 ,Interrupt 146 Priority" hexmask.long.byte 0x90 8.--15. 1. " PRI_145 ,Interrupt 145 Priority" hexmask.long.byte 0x90 0.--7. 1. " PRI_144 ,Interrupt 144 Priority" line.long 0x94 "IPR37,Interrupt Priority Register" hexmask.long.byte 0x94 24.--31. 1. " PRI_151 ,Interrupt 151 Priority" hexmask.long.byte 0x94 16.--23. 1. " PRI_150 ,Interrupt 150 Priority" hexmask.long.byte 0x94 8.--15. 1. " PRI_149 ,Interrupt 149 Priority" hexmask.long.byte 0x94 0.--7. 1. " PRI_148 ,Interrupt 148 Priority" line.long 0x98 "IPR38,Interrupt Priority Register" hexmask.long.byte 0x98 24.--31. 1. " PRI_155 ,Interrupt 155 Priority" hexmask.long.byte 0x98 16.--23. 1. " PRI_154 ,Interrupt 154 Priority" hexmask.long.byte 0x98 8.--15. 1. " PRI_153 ,Interrupt 153 Priority" hexmask.long.byte 0x98 0.--7. 1. " PRI_152 ,Interrupt 152 Priority" line.long 0x9C "IPR39,Interrupt Priority Register" hexmask.long.byte 0x9C 24.--31. 1. " PRI_159 ,Interrupt 159 Priority" hexmask.long.byte 0x9C 16.--23. 1. " PRI_158 ,Interrupt 158 Priority" hexmask.long.byte 0x9C 8.--15. 1. " PRI_157 ,Interrupt 157 Priority" hexmask.long.byte 0x9C 0.--7. 1. " PRI_156 ,Interrupt 156 Priority" line.long 0xA0 "IPR40,Interrupt Priority Register" hexmask.long.byte 0xA0 24.--31. 1. " PRI_163 ,Interrupt 163 Priority" hexmask.long.byte 0xA0 16.--23. 1. " PRI_162 ,Interrupt 162 Priority" hexmask.long.byte 0xA0 8.--15. 1. " PRI_161 ,Interrupt 161 Priority" hexmask.long.byte 0xA0 0.--7. 1. " PRI_160 ,Interrupt 160 Priority" line.long 0xA4 "IPR41,Interrupt Priority Register" hexmask.long.byte 0xA4 24.--31. 1. " PRI_167 ,Interrupt 167 Priority" hexmask.long.byte 0xA4 16.--23. 1. " PRI_166 ,Interrupt 166 Priority" hexmask.long.byte 0xA4 8.--15. 1. " PRI_165 ,Interrupt 165 Priority" hexmask.long.byte 0xA4 0.--7. 1. " PRI_164 ,Interrupt 164 Priority" line.long 0xA8 "IPR42,Interrupt Priority Register" hexmask.long.byte 0xA8 24.--31. 1. " PRI_171 ,Interrupt 171 Priority" hexmask.long.byte 0xA8 16.--23. 1. " PRI_170 ,Interrupt 170 Priority" hexmask.long.byte 0xA8 8.--15. 1. " PRI_169 ,Interrupt 169 Priority" hexmask.long.byte 0xA8 0.--7. 1. " PRI_168 ,Interrupt 168 Priority" line.long 0xAC "IPR43,Interrupt Priority Register" hexmask.long.byte 0xAC 24.--31. 1. " PRI_175 ,Interrupt 175 Priority" hexmask.long.byte 0xAC 16.--23. 1. " PRI_174 ,Interrupt 174 Priority" hexmask.long.byte 0xAC 8.--15. 1. " PRI_173 ,Interrupt 173 Priority" hexmask.long.byte 0xAC 0.--7. 1. " PRI_172 ,Interrupt 172 Priority" line.long 0xB0 "IPR44,Interrupt Priority Register" hexmask.long.byte 0xB0 24.--31. 1. " PRI_179 ,Interrupt 179 Priority" hexmask.long.byte 0xB0 16.--23. 1. " PRI_178 ,Interrupt 178 Priority" hexmask.long.byte 0xB0 8.--15. 1. " PRI_177 ,Interrupt 177 Priority" hexmask.long.byte 0xB0 0.--7. 1. " PRI_176 ,Interrupt 176 Priority" line.long 0xB4 "IPR45,Interrupt Priority Register" hexmask.long.byte 0xB4 24.--31. 1. " PRI_183 ,Interrupt 183 Priority" hexmask.long.byte 0xB4 16.--23. 1. " PRI_182 ,Interrupt 182 Priority" hexmask.long.byte 0xB4 8.--15. 1. " PRI_181 ,Interrupt 181 Priority" hexmask.long.byte 0xB4 0.--7. 1. " PRI_180 ,Interrupt 180 Priority" line.long 0xB8 "IPR46,Interrupt Priority Register" hexmask.long.byte 0xB8 24.--31. 1. " PRI_187 ,Interrupt 187 Priority" hexmask.long.byte 0xB8 16.--23. 1. " PRI_186 ,Interrupt 186 Priority" hexmask.long.byte 0xB8 8.--15. 1. " PRI_185 ,Interrupt 185 Priority" hexmask.long.byte 0xB8 0.--7. 1. " PRI_184 ,Interrupt 184 Priority" line.long 0xBC "IPR47,Interrupt Priority Register" hexmask.long.byte 0xBC 24.--31. 1. " PRI_191 ,Interrupt 191 Priority" hexmask.long.byte 0xBC 16.--23. 1. " PRI_190 ,Interrupt 190 Priority" hexmask.long.byte 0xBC 8.--15. 1. " PRI_189 ,Interrupt 189 Priority" hexmask.long.byte 0xBC 0.--7. 1. " PRI_188 ,Interrupt 188 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x06) group.long 0x400++0xDF line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" line.long 0x60 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x60 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x60 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x60 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x60 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x64 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x64 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x64 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x64 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x64 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x68 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x68 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x68 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x68 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x68 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0x6C "IPR27,Interrupt Priority Register" hexmask.long.byte 0x6C 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0x6C 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0x6C 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0x6C 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x70 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x70 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x70 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x70 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x70 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x74 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x74 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x74 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x74 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x74 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x78 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x78 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x78 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x78 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x78 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x7C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x7C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x7C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x7C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x7C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" line.long 0x80 "IPR32,Interrupt Priority Register" hexmask.long.byte 0x80 24.--31. 1. " PRI_131 ,Interrupt 131 Priority" hexmask.long.byte 0x80 16.--23. 1. " PRI_130 ,Interrupt 130 Priority" hexmask.long.byte 0x80 8.--15. 1. " PRI_129 ,Interrupt 129 Priority" hexmask.long.byte 0x80 0.--7. 1. " PRI_128 ,Interrupt 128 Priority" line.long 0x84 "IPR33,Interrupt Priority Register" hexmask.long.byte 0x84 24.--31. 1. " PRI_135 ,Interrupt 135 Priority" hexmask.long.byte 0x84 16.--23. 1. " PRI_134 ,Interrupt 134 Priority" hexmask.long.byte 0x84 8.--15. 1. " PRI_133 ,Interrupt 133 Priority" hexmask.long.byte 0x84 0.--7. 1. " PRI_132 ,Interrupt 132 Priority" line.long 0x88 "IPR34,Interrupt Priority Register" hexmask.long.byte 0x88 24.--31. 1. " PRI_139 ,Interrupt 139 Priority" hexmask.long.byte 0x88 16.--23. 1. " PRI_138 ,Interrupt 138 Priority" hexmask.long.byte 0x88 8.--15. 1. " PRI_137 ,Interrupt 137 Priority" hexmask.long.byte 0x88 0.--7. 1. " PRI_136 ,Interrupt 136 Priority" line.long 0x8C "IPR35,Interrupt Priority Register" hexmask.long.byte 0x8C 24.--31. 1. " PRI_143 ,Interrupt 143 Priority" hexmask.long.byte 0x8C 16.--23. 1. " PRI_142 ,Interrupt 142 Priority" hexmask.long.byte 0x8C 8.--15. 1. " PRI_141 ,Interrupt 141 Priority" hexmask.long.byte 0x8C 0.--7. 1. " PRI_140 ,Interrupt 140 Priority" line.long 0x90 "IPR36,Interrupt Priority Register" hexmask.long.byte 0x90 24.--31. 1. " PRI_147 ,Interrupt 147 Priority" hexmask.long.byte 0x90 16.--23. 1. " PRI_146 ,Interrupt 146 Priority" hexmask.long.byte 0x90 8.--15. 1. " PRI_145 ,Interrupt 145 Priority" hexmask.long.byte 0x90 0.--7. 1. " PRI_144 ,Interrupt 144 Priority" line.long 0x94 "IPR37,Interrupt Priority Register" hexmask.long.byte 0x94 24.--31. 1. " PRI_151 ,Interrupt 151 Priority" hexmask.long.byte 0x94 16.--23. 1. " PRI_150 ,Interrupt 150 Priority" hexmask.long.byte 0x94 8.--15. 1. " PRI_149 ,Interrupt 149 Priority" hexmask.long.byte 0x94 0.--7. 1. " PRI_148 ,Interrupt 148 Priority" line.long 0x98 "IPR38,Interrupt Priority Register" hexmask.long.byte 0x98 24.--31. 1. " PRI_155 ,Interrupt 155 Priority" hexmask.long.byte 0x98 16.--23. 1. " PRI_154 ,Interrupt 154 Priority" hexmask.long.byte 0x98 8.--15. 1. " PRI_153 ,Interrupt 153 Priority" hexmask.long.byte 0x98 0.--7. 1. " PRI_152 ,Interrupt 152 Priority" line.long 0x9C "IPR39,Interrupt Priority Register" hexmask.long.byte 0x9C 24.--31. 1. " PRI_159 ,Interrupt 159 Priority" hexmask.long.byte 0x9C 16.--23. 1. " PRI_158 ,Interrupt 158 Priority" hexmask.long.byte 0x9C 8.--15. 1. " PRI_157 ,Interrupt 157 Priority" hexmask.long.byte 0x9C 0.--7. 1. " PRI_156 ,Interrupt 156 Priority" line.long 0xA0 "IPR40,Interrupt Priority Register" hexmask.long.byte 0xA0 24.--31. 1. " PRI_163 ,Interrupt 163 Priority" hexmask.long.byte 0xA0 16.--23. 1. " PRI_162 ,Interrupt 162 Priority" hexmask.long.byte 0xA0 8.--15. 1. " PRI_161 ,Interrupt 161 Priority" hexmask.long.byte 0xA0 0.--7. 1. " PRI_160 ,Interrupt 160 Priority" line.long 0xA4 "IPR41,Interrupt Priority Register" hexmask.long.byte 0xA4 24.--31. 1. " PRI_167 ,Interrupt 167 Priority" hexmask.long.byte 0xA4 16.--23. 1. " PRI_166 ,Interrupt 166 Priority" hexmask.long.byte 0xA4 8.--15. 1. " PRI_165 ,Interrupt 165 Priority" hexmask.long.byte 0xA4 0.--7. 1. " PRI_164 ,Interrupt 164 Priority" line.long 0xA8 "IPR42,Interrupt Priority Register" hexmask.long.byte 0xA8 24.--31. 1. " PRI_171 ,Interrupt 171 Priority" hexmask.long.byte 0xA8 16.--23. 1. " PRI_170 ,Interrupt 170 Priority" hexmask.long.byte 0xA8 8.--15. 1. " PRI_169 ,Interrupt 169 Priority" hexmask.long.byte 0xA8 0.--7. 1. " PRI_168 ,Interrupt 168 Priority" line.long 0xAC "IPR43,Interrupt Priority Register" hexmask.long.byte 0xAC 24.--31. 1. " PRI_175 ,Interrupt 175 Priority" hexmask.long.byte 0xAC 16.--23. 1. " PRI_174 ,Interrupt 174 Priority" hexmask.long.byte 0xAC 8.--15. 1. " PRI_173 ,Interrupt 173 Priority" hexmask.long.byte 0xAC 0.--7. 1. " PRI_172 ,Interrupt 172 Priority" line.long 0xB0 "IPR44,Interrupt Priority Register" hexmask.long.byte 0xB0 24.--31. 1. " PRI_179 ,Interrupt 179 Priority" hexmask.long.byte 0xB0 16.--23. 1. " PRI_178 ,Interrupt 178 Priority" hexmask.long.byte 0xB0 8.--15. 1. " PRI_177 ,Interrupt 177 Priority" hexmask.long.byte 0xB0 0.--7. 1. " PRI_176 ,Interrupt 176 Priority" line.long 0xB4 "IPR45,Interrupt Priority Register" hexmask.long.byte 0xB4 24.--31. 1. " PRI_183 ,Interrupt 183 Priority" hexmask.long.byte 0xB4 16.--23. 1. " PRI_182 ,Interrupt 182 Priority" hexmask.long.byte 0xB4 8.--15. 1. " PRI_181 ,Interrupt 181 Priority" hexmask.long.byte 0xB4 0.--7. 1. " PRI_180 ,Interrupt 180 Priority" line.long 0xB8 "IPR46,Interrupt Priority Register" hexmask.long.byte 0xB8 24.--31. 1. " PRI_187 ,Interrupt 187 Priority" hexmask.long.byte 0xB8 16.--23. 1. " PRI_186 ,Interrupt 186 Priority" hexmask.long.byte 0xB8 8.--15. 1. " PRI_185 ,Interrupt 185 Priority" hexmask.long.byte 0xB8 0.--7. 1. " PRI_184 ,Interrupt 184 Priority" line.long 0xBC "IPR47,Interrupt Priority Register" hexmask.long.byte 0xBC 24.--31. 1. " PRI_191 ,Interrupt 191 Priority" hexmask.long.byte 0xBC 16.--23. 1. " PRI_190 ,Interrupt 190 Priority" hexmask.long.byte 0xBC 8.--15. 1. " PRI_189 ,Interrupt 189 Priority" hexmask.long.byte 0xBC 0.--7. 1. " PRI_188 ,Interrupt 188 Priority" line.long 0xC0 "IPR48,Interrupt Priority Register" hexmask.long.byte 0xC0 24.--31. 1. " PRI_195 ,Interrupt 195 Priority" hexmask.long.byte 0xC0 16.--23. 1. " PRI_194 ,Interrupt 194 Priority" hexmask.long.byte 0xC0 8.--15. 1. " PRI_193 ,Interrupt 193 Priority" hexmask.long.byte 0xC0 0.--7. 1. " PRI_192 ,Interrupt 192 Priority" line.long 0xC4 "IPR49,Interrupt Priority Register" hexmask.long.byte 0xC4 24.--31. 1. " PRI_199 ,Interrupt 199 Priority" hexmask.long.byte 0xC4 16.--23. 1. " PRI_198 ,Interrupt 198 Priority" hexmask.long.byte 0xC4 8.--15. 1. " PRI_197 ,Interrupt 197 Priority" hexmask.long.byte 0xC4 0.--7. 1. " PRI_196 ,Interrupt 196 Priority" line.long 0xC8 "IPR50,Interrupt Priority Register" hexmask.long.byte 0xC8 24.--31. 1. " PRI_203 ,Interrupt 203 Priority" hexmask.long.byte 0xC8 16.--23. 1. " PRI_202 ,Interrupt 202 Priority" hexmask.long.byte 0xC8 8.--15. 1. " PRI_201 ,Interrupt 201 Priority" hexmask.long.byte 0xC8 0.--7. 1. " PRI_200 ,Interrupt 200 Priority" line.long 0xCC "IPR51,Interrupt Priority Register" hexmask.long.byte 0xCC 24.--31. 1. " PRI_207 ,Interrupt 207 Priority" hexmask.long.byte 0xCC 16.--23. 1. " PRI_206 ,Interrupt 206 Priority" hexmask.long.byte 0xCC 8.--15. 1. " PRI_205 ,Interrupt 205 Priority" hexmask.long.byte 0xCC 0.--7. 1. " PRI_204 ,Interrupt 204 Priority" line.long 0xD0 "IPR52,Interrupt Priority Register" hexmask.long.byte 0xD0 24.--31. 1. " PRI_211 ,Interrupt 211 Priority" hexmask.long.byte 0xD0 16.--23. 1. " PRI_210 ,Interrupt 210 Priority" hexmask.long.byte 0xD0 8.--15. 1. " PRI_209 ,Interrupt 209 Priority" hexmask.long.byte 0xD0 0.--7. 1. " PRI_208 ,Interrupt 208 Priority" line.long 0xD4 "IPR53,Interrupt Priority Register" hexmask.long.byte 0xD4 24.--31. 1. " PRI_215 ,Interrupt 215 Priority" hexmask.long.byte 0xD4 16.--23. 1. " PRI_214 ,Interrupt 214 Priority" hexmask.long.byte 0xD4 8.--15. 1. " PRI_213 ,Interrupt 213 Priority" hexmask.long.byte 0xD4 0.--7. 1. " PRI_212 ,Interrupt 212 Priority" line.long 0xD8 "IPR54,Interrupt Priority Register" hexmask.long.byte 0xD8 24.--31. 1. " PRI_219 ,Interrupt 219 Priority" hexmask.long.byte 0xD8 16.--23. 1. " PRI_218 ,Interrupt 218 Priority" hexmask.long.byte 0xD8 8.--15. 1. " PRI_217 ,Interrupt 217 Priority" hexmask.long.byte 0xD8 0.--7. 1. " PRI_216 ,Interrupt 216 Priority" line.long 0xDC "IPR55,Interrupt Priority Register" hexmask.long.byte 0xDC 24.--31. 1. " PRI_223 ,Interrupt 223 Priority" hexmask.long.byte 0xDC 16.--23. 1. " PRI_222 ,Interrupt 222 Priority" hexmask.long.byte 0xDC 8.--15. 1. " PRI_221 ,Interrupt 221 Priority" hexmask.long.byte 0xDC 0.--7. 1. " PRI_220 ,Interrupt 220 Priority" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0x04))&0x0F)==0x07) group.long 0x400++0xEF line.long 0x0 "IPR0,Interrupt Priority Register" hexmask.long.byte 0x0 24.--31. 1. " PRI_3 ,Interrupt 3 Priority" hexmask.long.byte 0x0 16.--23. 1. " PRI_2 ,Interrupt 2 Priority" hexmask.long.byte 0x0 8.--15. 1. " PRI_1 ,Interrupt 1 Priority" hexmask.long.byte 0x0 0.--7. 1. " PRI_0 ,Interrupt 0 Priority" line.long 0x4 "IPR1,Interrupt Priority Register" hexmask.long.byte 0x4 24.--31. 1. " PRI_7 ,Interrupt 7 Priority" hexmask.long.byte 0x4 16.--23. 1. " PRI_6 ,Interrupt 6 Priority" hexmask.long.byte 0x4 8.--15. 1. " PRI_5 ,Interrupt 5 Priority" hexmask.long.byte 0x4 0.--7. 1. " PRI_4 ,Interrupt 4 Priority" line.long 0x8 "IPR2,Interrupt Priority Register" hexmask.long.byte 0x8 24.--31. 1. " PRI_11 ,Interrupt 11 Priority" hexmask.long.byte 0x8 16.--23. 1. " PRI_10 ,Interrupt 10 Priority" hexmask.long.byte 0x8 8.--15. 1. " PRI_9 ,Interrupt 9 Priority" hexmask.long.byte 0x8 0.--7. 1. " PRI_8 ,Interrupt 8 Priority" line.long 0xC "IPR3,Interrupt Priority Register" hexmask.long.byte 0xC 24.--31. 1. " PRI_15 ,Interrupt 15 Priority" hexmask.long.byte 0xC 16.--23. 1. " PRI_14 ,Interrupt 14 Priority" hexmask.long.byte 0xC 8.--15. 1. " PRI_13 ,Interrupt 13 Priority" hexmask.long.byte 0xC 0.--7. 1. " PRI_12 ,Interrupt 12 Priority" line.long 0x10 "IPR4,Interrupt Priority Register" hexmask.long.byte 0x10 24.--31. 1. " PRI_19 ,Interrupt 19 Priority" hexmask.long.byte 0x10 16.--23. 1. " PRI_18 ,Interrupt 18 Priority" hexmask.long.byte 0x10 8.--15. 1. " PRI_17 ,Interrupt 17 Priority" hexmask.long.byte 0x10 0.--7. 1. " PRI_16 ,Interrupt 16 Priority" line.long 0x14 "IPR5,Interrupt Priority Register" hexmask.long.byte 0x14 24.--31. 1. " PRI_23 ,Interrupt 23 Priority" hexmask.long.byte 0x14 16.--23. 1. " PRI_22 ,Interrupt 22 Priority" hexmask.long.byte 0x14 8.--15. 1. " PRI_21 ,Interrupt 21 Priority" hexmask.long.byte 0x14 0.--7. 1. " PRI_20 ,Interrupt 20 Priority" line.long 0x18 "IPR6,Interrupt Priority Register" hexmask.long.byte 0x18 24.--31. 1. " PRI_27 ,Interrupt 27 Priority" hexmask.long.byte 0x18 16.--23. 1. " PRI_26 ,Interrupt 26 Priority" hexmask.long.byte 0x18 8.--15. 1. " PRI_25 ,Interrupt 25 Priority" hexmask.long.byte 0x18 0.--7. 1. " PRI_24 ,Interrupt 24 Priority" line.long 0x1C "IPR7,Interrupt Priority Register" hexmask.long.byte 0x1C 24.--31. 1. " PRI_31 ,Interrupt 31 Priority" hexmask.long.byte 0x1C 16.--23. 1. " PRI_30 ,Interrupt 30 Priority" hexmask.long.byte 0x1C 8.--15. 1. " PRI_29 ,Interrupt 29 Priority" hexmask.long.byte 0x1C 0.--7. 1. " PRI_28 ,Interrupt 28 Priority" line.long 0x20 "IPR8,Interrupt Priority Register" hexmask.long.byte 0x20 24.--31. 1. " PRI_35 ,Interrupt 35 Priority" hexmask.long.byte 0x20 16.--23. 1. " PRI_34 ,Interrupt 34 Priority" hexmask.long.byte 0x20 8.--15. 1. " PRI_33 ,Interrupt 33 Priority" hexmask.long.byte 0x20 0.--7. 1. " PRI_32 ,Interrupt 32 Priority" line.long 0x24 "IPR9,Interrupt Priority Register" hexmask.long.byte 0x24 24.--31. 1. " PRI_39 ,Interrupt 39 Priority" hexmask.long.byte 0x24 16.--23. 1. " PRI_38 ,Interrupt 38 Priority" hexmask.long.byte 0x24 8.--15. 1. " PRI_37 ,Interrupt 37 Priority" hexmask.long.byte 0x24 0.--7. 1. " PRI_36 ,Interrupt 36 Priority" line.long 0x28 "IPR10,Interrupt Priority Register" hexmask.long.byte 0x28 24.--31. 1. " PRI_43 ,Interrupt 43 Priority" hexmask.long.byte 0x28 16.--23. 1. " PRI_42 ,Interrupt 42 Priority" hexmask.long.byte 0x28 8.--15. 1. " PRI_41 ,Interrupt 41 Priority" hexmask.long.byte 0x28 0.--7. 1. " PRI_40 ,Interrupt 40 Priority" line.long 0x2C "IPR11,Interrupt Priority Register" hexmask.long.byte 0x2C 24.--31. 1. " PRI_47 ,Interrupt 47 Priority" hexmask.long.byte 0x2C 16.--23. 1. " PRI_46 ,Interrupt 46 Priority" hexmask.long.byte 0x2C 8.--15. 1. " PRI_45 ,Interrupt 45 Priority" hexmask.long.byte 0x2C 0.--7. 1. " PRI_44 ,Interrupt 44 Priority" line.long 0x30 "IPR12,Interrupt Priority Register" hexmask.long.byte 0x30 24.--31. 1. " PRI_51 ,Interrupt 51 Priority" hexmask.long.byte 0x30 16.--23. 1. " PRI_50 ,Interrupt 50 Priority" hexmask.long.byte 0x30 8.--15. 1. " PRI_49 ,Interrupt 49 Priority" hexmask.long.byte 0x30 0.--7. 1. " PRI_48 ,Interrupt 48 Priority" line.long 0x34 "IPR13,Interrupt Priority Register" hexmask.long.byte 0x34 24.--31. 1. " PRI_55 ,Interrupt 55 Priority" hexmask.long.byte 0x34 16.--23. 1. " PRI_54 ,Interrupt 54 Priority" hexmask.long.byte 0x34 8.--15. 1. " PRI_53 ,Interrupt 53 Priority" hexmask.long.byte 0x34 0.--7. 1. " PRI_52 ,Interrupt 52 Priority" line.long 0x38 "IPR14,Interrupt Priority Register" hexmask.long.byte 0x38 24.--31. 1. " PRI_59 ,Interrupt 59 Priority" hexmask.long.byte 0x38 16.--23. 1. " PRI_58 ,Interrupt 58 Priority" hexmask.long.byte 0x38 8.--15. 1. " PRI_57 ,Interrupt 57 Priority" hexmask.long.byte 0x38 0.--7. 1. " PRI_56 ,Interrupt 56 Priority" line.long 0x3C "IPR15,Interrupt Priority Register" hexmask.long.byte 0x3C 24.--31. 1. " PRI_63 ,Interrupt 63 Priority" hexmask.long.byte 0x3C 16.--23. 1. " PRI_62 ,Interrupt 62 Priority" hexmask.long.byte 0x3C 8.--15. 1. " PRI_61 ,Interrupt 61 Priority" hexmask.long.byte 0x3C 0.--7. 1. " PRI_60 ,Interrupt 60 Priority" line.long 0x40 "IPR16,Interrupt Priority Register" hexmask.long.byte 0x40 24.--31. 1. " PRI_67 ,Interrupt 67 Priority" hexmask.long.byte 0x40 16.--23. 1. " PRI_66 ,Interrupt 66 Priority" hexmask.long.byte 0x40 8.--15. 1. " PRI_65 ,Interrupt 65 Priority" hexmask.long.byte 0x40 0.--7. 1. " PRI_64 ,Interrupt 64 Priority" line.long 0x44 "IPR17,Interrupt Priority Register" hexmask.long.byte 0x44 24.--31. 1. " PRI_71 ,Interrupt 71 Priority" hexmask.long.byte 0x44 16.--23. 1. " PRI_70 ,Interrupt 70 Priority" hexmask.long.byte 0x44 8.--15. 1. " PRI_69 ,Interrupt 69 Priority" hexmask.long.byte 0x44 0.--7. 1. " PRI_68 ,Interrupt 68 Priority" line.long 0x48 "IPR18,Interrupt Priority Register" hexmask.long.byte 0x48 24.--31. 1. " PRI_75 ,Interrupt 75 Priority" hexmask.long.byte 0x48 16.--23. 1. " PRI_74 ,Interrupt 74 Priority" hexmask.long.byte 0x48 8.--15. 1. " PRI_73 ,Interrupt 73 Priority" hexmask.long.byte 0x48 0.--7. 1. " PRI_72 ,Interrupt 72 Priority" line.long 0x4C "IPR19,Interrupt Priority Register" hexmask.long.byte 0x4C 24.--31. 1. " PRI_79 ,Interrupt 79 Priority" hexmask.long.byte 0x4C 16.--23. 1. " PRI_78 ,Interrupt 78 Priority" hexmask.long.byte 0x4C 8.--15. 1. " PRI_77 ,Interrupt 77 Priority" hexmask.long.byte 0x4C 0.--7. 1. " PRI_76 ,Interrupt 76 Priority" line.long 0x50 "IPR20,Interrupt Priority Register" hexmask.long.byte 0x50 24.--31. 1. " PRI_83 ,Interrupt 83 Priority" hexmask.long.byte 0x50 16.--23. 1. " PRI_82 ,Interrupt 82 Priority" hexmask.long.byte 0x50 8.--15. 1. " PRI_81 ,Interrupt 81 Priority" hexmask.long.byte 0x50 0.--7. 1. " PRI_80 ,Interrupt 80 Priority" line.long 0x54 "IPR21,Interrupt Priority Register" hexmask.long.byte 0x54 24.--31. 1. " PRI_87 ,Interrupt 87 Priority" hexmask.long.byte 0x54 16.--23. 1. " PRI_86 ,Interrupt 86 Priority" hexmask.long.byte 0x54 8.--15. 1. " PRI_85 ,Interrupt 85 Priority" hexmask.long.byte 0x54 0.--7. 1. " PRI_84 ,Interrupt 84 Priority" line.long 0x58 "IPR22,Interrupt Priority Register" hexmask.long.byte 0x58 24.--31. 1. " PRI_91 ,Interrupt 91 Priority" hexmask.long.byte 0x58 16.--23. 1. " PRI_90 ,Interrupt 90 Priority" hexmask.long.byte 0x58 8.--15. 1. " PRI_89 ,Interrupt 89 Priority" hexmask.long.byte 0x58 0.--7. 1. " PRI_88 ,Interrupt 88 Priority" line.long 0x5C "IPR23,Interrupt Priority Register" hexmask.long.byte 0x5C 24.--31. 1. " PRI_95 ,Interrupt 95 Priority" hexmask.long.byte 0x5C 16.--23. 1. " PRI_94 ,Interrupt 94 Priority" hexmask.long.byte 0x5C 8.--15. 1. " PRI_93 ,Interrupt 93 Priority" hexmask.long.byte 0x5C 0.--7. 1. " PRI_92 ,Interrupt 92 Priority" line.long 0x60 "IPR24,Interrupt Priority Register" hexmask.long.byte 0x60 24.--31. 1. " PRI_99 ,Interrupt 99 Priority" hexmask.long.byte 0x60 16.--23. 1. " PRI_98 ,Interrupt 98 Priority" hexmask.long.byte 0x60 8.--15. 1. " PRI_97 ,Interrupt 97 Priority" hexmask.long.byte 0x60 0.--7. 1. " PRI_96 ,Interrupt 96 Priority" line.long 0x64 "IPR25,Interrupt Priority Register" hexmask.long.byte 0x64 24.--31. 1. " PRI_103 ,Interrupt 103 Priority" hexmask.long.byte 0x64 16.--23. 1. " PRI_102 ,Interrupt 102 Priority" hexmask.long.byte 0x64 8.--15. 1. " PRI_101 ,Interrupt 101 Priority" hexmask.long.byte 0x64 0.--7. 1. " PRI_100 ,Interrupt 100 Priority" line.long 0x68 "IPR26,Interrupt Priority Register" hexmask.long.byte 0x68 24.--31. 1. " PRI_107 ,Interrupt 107 Priority" hexmask.long.byte 0x68 16.--23. 1. " PRI_106 ,Interrupt 106 Priority" hexmask.long.byte 0x68 8.--15. 1. " PRI_105 ,Interrupt 105 Priority" hexmask.long.byte 0x68 0.--7. 1. " PRI_104 ,Interrupt 104 Priority" line.long 0x6C "IPR27,Interrupt Priority Register" hexmask.long.byte 0x6C 24.--31. 1. " PRI_111 ,Interrupt 111 Priority" hexmask.long.byte 0x6C 16.--23. 1. " PRI_110 ,Interrupt 110 Priority" hexmask.long.byte 0x6C 8.--15. 1. " PRI_109 ,Interrupt 109 Priority" hexmask.long.byte 0x6C 0.--7. 1. " PRI_108 ,Interrupt 108 Priority" line.long 0x70 "IPR28,Interrupt Priority Register" hexmask.long.byte 0x70 24.--31. 1. " PRI_115 ,Interrupt 115 Priority" hexmask.long.byte 0x70 16.--23. 1. " PRI_114 ,Interrupt 114 Priority" hexmask.long.byte 0x70 8.--15. 1. " PRI_113 ,Interrupt 113 Priority" hexmask.long.byte 0x70 0.--7. 1. " PRI_112 ,Interrupt 112 Priority" line.long 0x74 "IPR29,Interrupt Priority Register" hexmask.long.byte 0x74 24.--31. 1. " PRI_119 ,Interrupt 119 Priority" hexmask.long.byte 0x74 16.--23. 1. " PRI_118 ,Interrupt 118 Priority" hexmask.long.byte 0x74 8.--15. 1. " PRI_117 ,Interrupt 117 Priority" hexmask.long.byte 0x74 0.--7. 1. " PRI_116 ,Interrupt 116 Priority" line.long 0x78 "IPR30,Interrupt Priority Register" hexmask.long.byte 0x78 24.--31. 1. " PRI_123 ,Interrupt 123 Priority" hexmask.long.byte 0x78 16.--23. 1. " PRI_122 ,Interrupt 122 Priority" hexmask.long.byte 0x78 8.--15. 1. " PRI_121 ,Interrupt 121 Priority" hexmask.long.byte 0x78 0.--7. 1. " PRI_120 ,Interrupt 120 Priority" line.long 0x7C "IPR31,Interrupt Priority Register" hexmask.long.byte 0x7C 24.--31. 1. " PRI_127 ,Interrupt 127 Priority" hexmask.long.byte 0x7C 16.--23. 1. " PRI_126 ,Interrupt 126 Priority" hexmask.long.byte 0x7C 8.--15. 1. " PRI_125 ,Interrupt 125 Priority" hexmask.long.byte 0x7C 0.--7. 1. " PRI_124 ,Interrupt 124 Priority" line.long 0x80 "IPR32,Interrupt Priority Register" hexmask.long.byte 0x80 24.--31. 1. " PRI_131 ,Interrupt 131 Priority" hexmask.long.byte 0x80 16.--23. 1. " PRI_130 ,Interrupt 130 Priority" hexmask.long.byte 0x80 8.--15. 1. " PRI_129 ,Interrupt 129 Priority" hexmask.long.byte 0x80 0.--7. 1. " PRI_128 ,Interrupt 128 Priority" line.long 0x84 "IPR33,Interrupt Priority Register" hexmask.long.byte 0x84 24.--31. 1. " PRI_135 ,Interrupt 135 Priority" hexmask.long.byte 0x84 16.--23. 1. " PRI_134 ,Interrupt 134 Priority" hexmask.long.byte 0x84 8.--15. 1. " PRI_133 ,Interrupt 133 Priority" hexmask.long.byte 0x84 0.--7. 1. " PRI_132 ,Interrupt 132 Priority" line.long 0x88 "IPR34,Interrupt Priority Register" hexmask.long.byte 0x88 24.--31. 1. " PRI_139 ,Interrupt 139 Priority" hexmask.long.byte 0x88 16.--23. 1. " PRI_138 ,Interrupt 138 Priority" hexmask.long.byte 0x88 8.--15. 1. " PRI_137 ,Interrupt 137 Priority" hexmask.long.byte 0x88 0.--7. 1. " PRI_136 ,Interrupt 136 Priority" line.long 0x8C "IPR35,Interrupt Priority Register" hexmask.long.byte 0x8C 24.--31. 1. " PRI_143 ,Interrupt 143 Priority" hexmask.long.byte 0x8C 16.--23. 1. " PRI_142 ,Interrupt 142 Priority" hexmask.long.byte 0x8C 8.--15. 1. " PRI_141 ,Interrupt 141 Priority" hexmask.long.byte 0x8C 0.--7. 1. " PRI_140 ,Interrupt 140 Priority" line.long 0x90 "IPR36,Interrupt Priority Register" hexmask.long.byte 0x90 24.--31. 1. " PRI_147 ,Interrupt 147 Priority" hexmask.long.byte 0x90 16.--23. 1. " PRI_146 ,Interrupt 146 Priority" hexmask.long.byte 0x90 8.--15. 1. " PRI_145 ,Interrupt 145 Priority" hexmask.long.byte 0x90 0.--7. 1. " PRI_144 ,Interrupt 144 Priority" line.long 0x94 "IPR37,Interrupt Priority Register" hexmask.long.byte 0x94 24.--31. 1. " PRI_151 ,Interrupt 151 Priority" hexmask.long.byte 0x94 16.--23. 1. " PRI_150 ,Interrupt 150 Priority" hexmask.long.byte 0x94 8.--15. 1. " PRI_149 ,Interrupt 149 Priority" hexmask.long.byte 0x94 0.--7. 1. " PRI_148 ,Interrupt 148 Priority" line.long 0x98 "IPR38,Interrupt Priority Register" hexmask.long.byte 0x98 24.--31. 1. " PRI_155 ,Interrupt 155 Priority" hexmask.long.byte 0x98 16.--23. 1. " PRI_154 ,Interrupt 154 Priority" hexmask.long.byte 0x98 8.--15. 1. " PRI_153 ,Interrupt 153 Priority" hexmask.long.byte 0x98 0.--7. 1. " PRI_152 ,Interrupt 152 Priority" line.long 0x9C "IPR39,Interrupt Priority Register" hexmask.long.byte 0x9C 24.--31. 1. " PRI_159 ,Interrupt 159 Priority" hexmask.long.byte 0x9C 16.--23. 1. " PRI_158 ,Interrupt 158 Priority" hexmask.long.byte 0x9C 8.--15. 1. " PRI_157 ,Interrupt 157 Priority" hexmask.long.byte 0x9C 0.--7. 1. " PRI_156 ,Interrupt 156 Priority" line.long 0xA0 "IPR40,Interrupt Priority Register" hexmask.long.byte 0xA0 24.--31. 1. " PRI_163 ,Interrupt 163 Priority" hexmask.long.byte 0xA0 16.--23. 1. " PRI_162 ,Interrupt 162 Priority" hexmask.long.byte 0xA0 8.--15. 1. " PRI_161 ,Interrupt 161 Priority" hexmask.long.byte 0xA0 0.--7. 1. " PRI_160 ,Interrupt 160 Priority" line.long 0xA4 "IPR41,Interrupt Priority Register" hexmask.long.byte 0xA4 24.--31. 1. " PRI_167 ,Interrupt 167 Priority" hexmask.long.byte 0xA4 16.--23. 1. " PRI_166 ,Interrupt 166 Priority" hexmask.long.byte 0xA4 8.--15. 1. " PRI_165 ,Interrupt 165 Priority" hexmask.long.byte 0xA4 0.--7. 1. " PRI_164 ,Interrupt 164 Priority" line.long 0xA8 "IPR42,Interrupt Priority Register" hexmask.long.byte 0xA8 24.--31. 1. " PRI_171 ,Interrupt 171 Priority" hexmask.long.byte 0xA8 16.--23. 1. " PRI_170 ,Interrupt 170 Priority" hexmask.long.byte 0xA8 8.--15. 1. " PRI_169 ,Interrupt 169 Priority" hexmask.long.byte 0xA8 0.--7. 1. " PRI_168 ,Interrupt 168 Priority" line.long 0xAC "IPR43,Interrupt Priority Register" hexmask.long.byte 0xAC 24.--31. 1. " PRI_175 ,Interrupt 175 Priority" hexmask.long.byte 0xAC 16.--23. 1. " PRI_174 ,Interrupt 174 Priority" hexmask.long.byte 0xAC 8.--15. 1. " PRI_173 ,Interrupt 173 Priority" hexmask.long.byte 0xAC 0.--7. 1. " PRI_172 ,Interrupt 172 Priority" line.long 0xB0 "IPR44,Interrupt Priority Register" hexmask.long.byte 0xB0 24.--31. 1. " PRI_179 ,Interrupt 179 Priority" hexmask.long.byte 0xB0 16.--23. 1. " PRI_178 ,Interrupt 178 Priority" hexmask.long.byte 0xB0 8.--15. 1. " PRI_177 ,Interrupt 177 Priority" hexmask.long.byte 0xB0 0.--7. 1. " PRI_176 ,Interrupt 176 Priority" line.long 0xB4 "IPR45,Interrupt Priority Register" hexmask.long.byte 0xB4 24.--31. 1. " PRI_183 ,Interrupt 183 Priority" hexmask.long.byte 0xB4 16.--23. 1. " PRI_182 ,Interrupt 182 Priority" hexmask.long.byte 0xB4 8.--15. 1. " PRI_181 ,Interrupt 181 Priority" hexmask.long.byte 0xB4 0.--7. 1. " PRI_180 ,Interrupt 180 Priority" line.long 0xB8 "IPR46,Interrupt Priority Register" hexmask.long.byte 0xB8 24.--31. 1. " PRI_187 ,Interrupt 187 Priority" hexmask.long.byte 0xB8 16.--23. 1. " PRI_186 ,Interrupt 186 Priority" hexmask.long.byte 0xB8 8.--15. 1. " PRI_185 ,Interrupt 185 Priority" hexmask.long.byte 0xB8 0.--7. 1. " PRI_184 ,Interrupt 184 Priority" line.long 0xBC "IPR47,Interrupt Priority Register" hexmask.long.byte 0xBC 24.--31. 1. " PRI_191 ,Interrupt 191 Priority" hexmask.long.byte 0xBC 16.--23. 1. " PRI_190 ,Interrupt 190 Priority" hexmask.long.byte 0xBC 8.--15. 1. " PRI_189 ,Interrupt 189 Priority" hexmask.long.byte 0xBC 0.--7. 1. " PRI_188 ,Interrupt 188 Priority" line.long 0xC0 "IPR48,Interrupt Priority Register" hexmask.long.byte 0xC0 24.--31. 1. " PRI_195 ,Interrupt 195 Priority" hexmask.long.byte 0xC0 16.--23. 1. " PRI_194 ,Interrupt 194 Priority" hexmask.long.byte 0xC0 8.--15. 1. " PRI_193 ,Interrupt 193 Priority" hexmask.long.byte 0xC0 0.--7. 1. " PRI_192 ,Interrupt 192 Priority" line.long 0xC4 "IPR49,Interrupt Priority Register" hexmask.long.byte 0xC4 24.--31. 1. " PRI_199 ,Interrupt 199 Priority" hexmask.long.byte 0xC4 16.--23. 1. " PRI_198 ,Interrupt 198 Priority" hexmask.long.byte 0xC4 8.--15. 1. " PRI_197 ,Interrupt 197 Priority" hexmask.long.byte 0xC4 0.--7. 1. " PRI_196 ,Interrupt 196 Priority" line.long 0xC8 "IPR50,Interrupt Priority Register" hexmask.long.byte 0xC8 24.--31. 1. " PRI_203 ,Interrupt 203 Priority" hexmask.long.byte 0xC8 16.--23. 1. " PRI_202 ,Interrupt 202 Priority" hexmask.long.byte 0xC8 8.--15. 1. " PRI_201 ,Interrupt 201 Priority" hexmask.long.byte 0xC8 0.--7. 1. " PRI_200 ,Interrupt 200 Priority" line.long 0xCC "IPR51,Interrupt Priority Register" hexmask.long.byte 0xCC 24.--31. 1. " PRI_207 ,Interrupt 207 Priority" hexmask.long.byte 0xCC 16.--23. 1. " PRI_206 ,Interrupt 206 Priority" hexmask.long.byte 0xCC 8.--15. 1. " PRI_205 ,Interrupt 205 Priority" hexmask.long.byte 0xCC 0.--7. 1. " PRI_204 ,Interrupt 204 Priority" line.long 0xD0 "IPR52,Interrupt Priority Register" hexmask.long.byte 0xD0 24.--31. 1. " PRI_211 ,Interrupt 211 Priority" hexmask.long.byte 0xD0 16.--23. 1. " PRI_210 ,Interrupt 210 Priority" hexmask.long.byte 0xD0 8.--15. 1. " PRI_209 ,Interrupt 209 Priority" hexmask.long.byte 0xD0 0.--7. 1. " PRI_208 ,Interrupt 208 Priority" line.long 0xD4 "IPR53,Interrupt Priority Register" hexmask.long.byte 0xD4 24.--31. 1. " PRI_215 ,Interrupt 215 Priority" hexmask.long.byte 0xD4 16.--23. 1. " PRI_214 ,Interrupt 214 Priority" hexmask.long.byte 0xD4 8.--15. 1. " PRI_213 ,Interrupt 213 Priority" hexmask.long.byte 0xD4 0.--7. 1. " PRI_212 ,Interrupt 212 Priority" line.long 0xD8 "IPR54,Interrupt Priority Register" hexmask.long.byte 0xD8 24.--31. 1. " PRI_219 ,Interrupt 219 Priority" hexmask.long.byte 0xD8 16.--23. 1. " PRI_218 ,Interrupt 218 Priority" hexmask.long.byte 0xD8 8.--15. 1. " PRI_217 ,Interrupt 217 Priority" hexmask.long.byte 0xD8 0.--7. 1. " PRI_216 ,Interrupt 216 Priority" line.long 0xDC "IPR55,Interrupt Priority Register" hexmask.long.byte 0xDC 24.--31. 1. " PRI_223 ,Interrupt 223 Priority" hexmask.long.byte 0xDC 16.--23. 1. " PRI_222 ,Interrupt 222 Priority" hexmask.long.byte 0xDC 8.--15. 1. " PRI_221 ,Interrupt 221 Priority" hexmask.long.byte 0xDC 0.--7. 1. " PRI_220 ,Interrupt 220 Priority" line.long 0xE0 "IPR56,Interrupt Priority Register" hexmask.long.byte 0xE0 24.--31. 1. " PRI_227 ,Interrupt 227 Priority" hexmask.long.byte 0xE0 16.--23. 1. " PRI_226 ,Interrupt 226 Priority" hexmask.long.byte 0xE0 8.--15. 1. " PRI_225 ,Interrupt 225 Priority" hexmask.long.byte 0xE0 0.--7. 1. " PRI_224 ,Interrupt 224 Priority" line.long 0xE4 "IPR57,Interrupt Priority Register" hexmask.long.byte 0xE4 24.--31. 1. " PRI_231 ,Interrupt 231 Priority" hexmask.long.byte 0xE4 16.--23. 1. " PRI_230 ,Interrupt 230 Priority" hexmask.long.byte 0xE4 8.--15. 1. " PRI_229 ,Interrupt 229 Priority" hexmask.long.byte 0xE4 0.--7. 1. " PRI_228 ,Interrupt 228 Priority" line.long 0xE8 "IPR58,Interrupt Priority Register" hexmask.long.byte 0xE8 24.--31. 1. " PRI_235 ,Interrupt 235 Priority" hexmask.long.byte 0xE8 16.--23. 1. " PRI_234 ,Interrupt 234 Priority" hexmask.long.byte 0xE8 8.--15. 1. " PRI_233 ,Interrupt 233 Priority" hexmask.long.byte 0xE8 0.--7. 1. " PRI_232 ,Interrupt 232 Priority" line.long 0xEC "IPR59,Interrupt Priority Register" hexmask.long.byte 0xEC 24.--31. 1. " PRI_239 ,Interrupt 239 Priority" hexmask.long.byte 0xEC 16.--23. 1. " PRI_238 ,Interrupt 238 Priority" hexmask.long.byte 0xEC 8.--15. 1. " PRI_237 ,Interrupt 237 Priority" hexmask.long.byte 0xEC 0.--7. 1. " PRI_236 ,Interrupt 236 Priority" else hgroup.long 0x400++0xEF hide.long 0x0 "IPR0,Interrupt Priority Register" hide.long 0x4 "IPR1,Interrupt Priority Register" hide.long 0x8 "IPR2,Interrupt Priority Register" hide.long 0xC "IPR3,Interrupt Priority Register" hide.long 0x10 "IPR4,Interrupt Priority Register" hide.long 0x14 "IPR5,Interrupt Priority Register" hide.long 0x18 "IPR6,Interrupt Priority Register" hide.long 0x1C "IPR7,Interrupt Priority Register" hide.long 0x20 "IPR8,Interrupt Priority Register" hide.long 0x24 "IPR9,Interrupt Priority Register" hide.long 0x28 "IPR10,Interrupt Priority Register" hide.long 0x2C "IPR11,Interrupt Priority Register" hide.long 0x30 "IPR12,Interrupt Priority Register" hide.long 0x34 "IPR13,Interrupt Priority Register" hide.long 0x38 "IPR14,Interrupt Priority Register" hide.long 0x3C "IPR15,Interrupt Priority Register" hide.long 0x40 "IPR16,Interrupt Priority Register" hide.long 0x44 "IPR17,Interrupt Priority Register" hide.long 0x48 "IPR18,Interrupt Priority Register" hide.long 0x4C "IPR19,Interrupt Priority Register" hide.long 0x50 "IPR20,Interrupt Priority Register" hide.long 0x54 "IPR21,Interrupt Priority Register" hide.long 0x58 "IPR22,Interrupt Priority Register" hide.long 0x5C "IPR23,Interrupt Priority Register" hide.long 0x60 "IPR24,Interrupt Priority Register" hide.long 0x64 "IPR25,Interrupt Priority Register" hide.long 0x68 "IPR26,Interrupt Priority Register" hide.long 0x6C "IPR27,Interrupt Priority Register" hide.long 0x70 "IPR28,Interrupt Priority Register" hide.long 0x74 "IPR29,Interrupt Priority Register" hide.long 0x78 "IPR30,Interrupt Priority Register" hide.long 0x7C "IPR31,Interrupt Priority Register" hide.long 0x80 "IPR32,Interrupt Priority Register" hide.long 0x84 "IPR33,Interrupt Priority Register" hide.long 0x88 "IPR34,Interrupt Priority Register" hide.long 0x8C "IPR35,Interrupt Priority Register" hide.long 0x90 "IPR36,Interrupt Priority Register" hide.long 0x94 "IPR37,Interrupt Priority Register" hide.long 0x98 "IPR38,Interrupt Priority Register" hide.long 0x9C "IPR39,Interrupt Priority Register" hide.long 0xA0 "IPR40,Interrupt Priority Register" hide.long 0xA4 "IPR41,Interrupt Priority Register" hide.long 0xA8 "IPR42,Interrupt Priority Register" hide.long 0xAC "IPR43,Interrupt Priority Register" hide.long 0xB0 "IPR44,Interrupt Priority Register" hide.long 0xB4 "IPR45,Interrupt Priority Register" hide.long 0xB8 "IPR46,Interrupt Priority Register" hide.long 0xBC "IPR47,Interrupt Priority Register" hide.long 0xC0 "IPR48,Interrupt Priority Register" hide.long 0xC4 "IPR49,Interrupt Priority Register" hide.long 0xC8 "IPR50,Interrupt Priority Register" hide.long 0xCC "IPR51,Interrupt Priority Register" hide.long 0xD0 "IPR52,Interrupt Priority Register" hide.long 0xD4 "IPR53,Interrupt Priority Register" hide.long 0xD8 "IPR54,Interrupt Priority Register" hide.long 0xDC "IPR55,Interrupt Priority Register" hide.long 0xE0 "IPR56,Interrupt Priority Register" hide.long 0xE4 "IPR57,Interrupt Priority Register" hide.long 0xE8 "IPR58,Interrupt Priority Register" hide.long 0xEC "IPR59,Interrupt Priority Register" endif tree.end width 0x0b else newline textline "COREDEBUG component base address not specified" newline endif tree.end sif CORENAME()=="CORTEXM4F" tree "Floating-point Unit (FPU)" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 8. group.long 0xF34++0x0B line.long 0x00 "FPCCR,Floating-Point Context Control Register" bitfld.long 0x00 31. " ASPEN ,Execution of a floating-point instruction sets the CONTROL.FPCA bit to 1" "Disabled,Enabled" bitfld.long 0x00 30. " LSPEN ,Enables lazy context save of FP state" "Disabled,Enabled" bitfld.long 0x00 8. " MONRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the DebugMonitor exception to pending" "Not able,Able" textline " " bitfld.long 0x00 6. " BFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the BusFault exception to pending" "Not able,Able" bitfld.long 0x00 5. " MMRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the MemManage exception to pending" "Not able,Able" bitfld.long 0x00 4. " HFRDY ,Indicates whether the software executing when the processor allocated the FP stack frame was able to set the HardFault exception to pending" "Not able,Able" textline " " bitfld.long 0x00 3. " THREAD ,Indicates the processor mode when it allocated the FP stack frame" "Handler,Thread" bitfld.long 0x00 1. " USER ,Indicates the privilege level of the software executing" "Privileged,Unprivileged" bitfld.long 0x00 0. " LSPACT ,Indicates whether Lazy preservation of the FP state is active" "Not active,Active" line.long 0x04 "FPCAR,Floating-Point Context Address Register" hexmask.long 0x04 3.--31. 0x8 " ADDRESS ,The location of the unpopulated floating-point register space allocated on an exception stack frame" line.long 0x08 "FPDSCR,Floating-Point Default Status Control Register" bitfld.long 0x08 26. " AHP ,Default value for FPSCR.AHP" "IEEE 754-2008,Alternative" bitfld.long 0x08 25. " DN ,Default value for FPSCR.DN" "NaN operands,Any operation" bitfld.long 0x08 24. " FZ ,Default value for FPSCR.FZ" "No Flush mode,Flush mode" textline " " bitfld.long 0x08 22.--23. " RMODE ,Default value for FPSCR.RMode" "Round to Nearest,Round towards Plus Infinity,Round towards Minus Infinity,Round towards Zero" rgroup.long 0xF40++0x07 line.long 0x00 "MVFR0,Media and FP Feature Register 0" bitfld.long 0x00 28.--31. " FPRNDMOD ,Indicates the rounding modes supported by the FP floating-point hardware" ",All supported,?..." bitfld.long 0x00 24.--27. " SRTERR ,Indicates the hardware support for FP short vectors" "Not supported,?..." bitfld.long 0x00 20.--23. " SQRROOT ,Indicates the hardware support for FP square root operations" ",Supported,?..." textline " " bitfld.long 0x00 16.--19. " DIV ,Indicates the hardware support for FP divide operations" ",Supported,?..." bitfld.long 0x00 12.--15. " FPEXTRP ,Indicates whether the FP hardware implementation supports exception trapping" "Not supported,?..." bitfld.long 0x00 8.--11. " DBLPREC ,Indicates the hardware support for FP double_precision operations" "Not supported,,Supported,?..." textline " " bitfld.long 0x00 4.--7. " SNGLPREC ,Indicates the hardware support for FP single-precision operations" ",,Supported,?..." bitfld.long 0x00 0.--3. " A_SIMD ,Indicates the size of the FP register bank" ",Supported-16x64-bit,?..." line.long 0x04 "MVFR1,Media and FP Feature Register 1" bitfld.long 0x04 28.--31. " FP_FUSED_MAC ,Indicates whether the FP supports fused multiply accumulate operations" ",Supported,?..." bitfld.long 0x04 24.--27. " FP_HPFP ,Indicates whether the FP supports half-precision and double-precision floating-point conversion instructions" ",Half-single,Half-single and half-double,?..." textline " " bitfld.long 0x04 4.--7. " D_NAN ,Indicates whether the FP hardware implementation supports only the Default NaN mode" ",NaN propagation,?..." bitfld.long 0x04 0.--3. " FTZ_MODE ,Indicates whether the FP hardware implementation supports only the Flush-to-Zero mode of operation" ",Full denorm. num. arit.,?..." width 0xB else newline textline "COREDEBUG component base address not specified" newline endif tree.end endif tree "Debug" tree "Core Debug" sif COMPonent.AVAILABLE("COREDEBUG") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1)) width 7. group.long 0xD30++0x03 line.long 0x00 "DFSR,Debug Fault Status Register" eventfld.long 0x00 4. " EXTERNAL ,Indicates a debug event generated because of the assertion of an external debug request" "Not generated,Generated" eventfld.long 0x00 3. " VCATCH ,Indicates triggering of a Vector catch" "Not triggered,Triggered" eventfld.long 0x00 2. " DWTTRAP ,Indicates a debug event generated by the DWT" "Not generated,Generated" newline eventfld.long 0x00 1. " BKPT ,Indicates a debug event generated by BKPT instruction execution or a breakpoint match in FPB" "Not generated,Generated" eventfld.long 0x00 0. " HALTED ,Indicates a debug event generated by either a C_HALT or C_STEP request, triggered by a write to the DHCSR or a step request triggered by setting DEMCR.MON_STEP to 1" "Not generated,Generated" newline hgroup.long 0xDF0++0x03 hide.long 0x00 "DHCSR,Debug Halting Control and Status Register" in newline wgroup.long 0xDF4++0x03 line.long 0x00 "DCRSR,Debug Core Register Selector Register" bitfld.long 0x00 16. " REGWNR ,Register Read/Write" "Read,Write" hexmask.long.byte 0x00 0.--6. 1. " REGSEL ,Specifies the ARM core register or special-purpose register or Floating-point extension register" group.long 0xDF8++0x03 line.long 0x00 "DCRDR,Debug Core Register Data Register" if (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("COREDEBUG",-1))+0xDFC))&0x10000)==0x10000) group.long 0xDFC++0x03 line.long 0x00 "DEMCR,Debug Exception and Monitor Control Register" bitfld.long 0x00 24. " TRCENA ,Global enable for all DWT and ITM features" "Disabled,Enabled" bitfld.long 0x00 19. " MON_REQ ,DebugMonitor semaphore bit" "0,1" bitfld.long 0x00 18. " MON_STEP ,Setting this bit to 1 makes the step request pending" "No step,Step" newline bitfld.long 0x00 17. " MON_PEND ,Sets or clears the pending state of the DebugMonitor exception" "Not pending,Pending" bitfld.long 0x00 16. " MON_EN ,Enable the DebugMonitor exception" "Disabled,Enabled" bitfld.long 0x00 10. " VC_HARDERR ,Enable halting debug trap on a HardFault exception" "Disabled,Enabled" newline bitfld.long 0x00 9. " VC_INTERR ,Enable halting debug trap" "Disabled,Enabled" bitfld.long 0x00 8. " VC_BUSERR ,Enable halting debug trap on a BusFault exception" "Disabled,Enabled" bitfld.long 0x00 7. " VC_STATERR ,Enable halting debug trap on a UsageFault exception" "Disabled,Enabled" newline bitfld.long 0x00 6. " VC_CHKERR ,Enable halting debug trap on a UsageFault exception" "Disabled,Enabled" bitfld.long 0x00 5. " VC_NOCPERR ,Enable halting debug trap on a UsageFault caused by an access to a Coprocessor" "Disabled,Enabled" bitfld.long 0x00 4. " VC_MMERR ,Enable halting debug trap on a MemManage exception" "Disabled,Enabled" newline bitfld.long 0x00 0. " VC_CORERESET ,Enable Reset Vector Catch" "Disabled,Enabled" else group.long 0xDFC++0x03 line.long 0x00 "DEMCR,Debug Exception and Monitor Control Register" bitfld.long 0x00 24. " TRCENA ,Global enable for all DWT and ITM features" "Disabled,Enabled" bitfld.long 0x00 19. " MON_REQ ,DebugMonitor semaphore bit" "0,1" newline bitfld.long 0x00 17. " MON_PEND ,Sets or clears the pending state of the DebugMonitor exception" "Not pending,Pending" bitfld.long 0x00 16. " MON_EN ,Enable the DebugMonitor exception" "Disabled,Enabled" bitfld.long 0x00 10. " VC_HARDERR ,Enable halting debug trap on a HardFault exception" "Disabled,Enabled" newline bitfld.long 0x00 9. " VC_INTERR ,Enable halting debug trap" "Disabled,Enabled" bitfld.long 0x00 8. " VC_BUSERR ,Enable halting debug trap on a BusFault exception" "Disabled,Enabled" bitfld.long 0x00 7. " VC_STATERR ,Enable halting debug trap on a UsageFault exception" "Disabled,Enabled" newline bitfld.long 0x00 6. " VC_CHKERR ,Enable halting debug trap on a UsageFault exception" "Disabled,Enabled" bitfld.long 0x00 5. " VC_NOCPERR ,Enable halting debug trap on a UsageFault caused by an access to a Coprocessor" "Disabled,Enabled" bitfld.long 0x00 4. " VC_MMERR ,Enable halting debug trap on a MemManage exception" "Disabled,Enabled" newline bitfld.long 0x00 0. " VC_CORERESET ,Enable Reset Vector Catch" "Disabled,Enabled" endif width 0x0B else newline textline "COREDEBUG component base address not specified" newline endif tree.end tree "Flash Patch and Breakpoint Unit (FPB)" sif COMPonent.AVAILABLE("FPB") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1)) width 10. group.long 0x00++0x07 line.long 0x00 "FP_CTRL,Flash Patch Control Register" bitfld.long 0x00 28.--31. " REV ,Flash Patch Breakpoint architecture revision" "Version 1,Version 2,?..." rbitfld.long 0x00 4.--7. 12.--14. " NUM_CODE ,The number of instruction address comparators" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64,65,66,67,68,69,70,71,72,73,74,75,76,77,78,79,80,81,82,83,84,85,86,87,88,89,90,91,92,93,94,95,96,97,98,99,100,101,102,103,104,105,106,107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,122,123,124,125,126,127" bitfld.long 0x00 1. " KEY ,Key Field" "Low,High" bitfld.long 0x00 0. " ENABLE ,Flash Patch Unit Enable" "Disabled,Enabled" textline "" line.long 0x04 "FP_REMAP,Flash Patch Remap Register" bitfld.long 0x04 29. " RMPSPT ,Indicates whether the FPB unit supports flash patch remap" "Not supported,SRAM region" hexmask.long.tbyte 0x04 5.--28. 0x20 " REMAP ,Remap Base Address Field" if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x8++0x03 line.long 0x00 "FP_COMP0,Flash Patch Comparator Register 0" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x8))&0x01)==0x00) group.long 0x8++0x03 line.long 0x00 "FP_COMP0,Flash Patch Comparator Register 0" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x8++0x03 line.long 0x00 "FP_COMP0,Flash Patch Comparator Register 0" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0xC++0x03 line.long 0x00 "FP_COMP1,Flash Patch Comparator Register 1" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0xC))&0x01)==0x00) group.long 0xC++0x03 line.long 0x00 "FP_COMP1,Flash Patch Comparator Register 1" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0xC++0x03 line.long 0x00 "FP_COMP1,Flash Patch Comparator Register 1" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x10++0x03 line.long 0x00 "FP_COMP2,Flash Patch Comparator Register 2" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x10))&0x01)==0x00) group.long 0x10++0x03 line.long 0x00 "FP_COMP2,Flash Patch Comparator Register 2" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x10++0x03 line.long 0x00 "FP_COMP2,Flash Patch Comparator Register 2" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x14++0x03 line.long 0x00 "FP_COMP3,Flash Patch Comparator Register 3" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x14))&0x01)==0x00) group.long 0x14++0x03 line.long 0x00 "FP_COMP3,Flash Patch Comparator Register 3" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x14++0x03 line.long 0x00 "FP_COMP3,Flash Patch Comparator Register 3" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x18++0x03 line.long 0x00 "FP_COMP4,Flash Patch Comparator Register 4" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x18))&0x01)==0x00) group.long 0x18++0x03 line.long 0x00 "FP_COMP4,Flash Patch Comparator Register 4" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x18++0x03 line.long 0x00 "FP_COMP4,Flash Patch Comparator Register 4" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x1C++0x03 line.long 0x00 "FP_COMP5,Flash Patch Comparator Register 5" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x1C))&0x01)==0x00) group.long 0x1C++0x03 line.long 0x00 "FP_COMP5,Flash Patch Comparator Register 5" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x1C++0x03 line.long 0x00 "FP_COMP5,Flash Patch Comparator Register 5" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x20++0x03 line.long 0x00 "FP_COMP6,Flash Patch Comparator Register 6" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x20))&0x01)==0x00) group.long 0x20++0x03 line.long 0x00 "FP_COMP6,Flash Patch Comparator Register 6" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x20++0x03 line.long 0x00 "FP_COMP6,Flash Patch Comparator Register 6" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x00) group.long 0x24++0x03 line.long 0x00 "FP_COMP7,Flash Patch Comparator Register 7" bitfld.long 0x00 30.--31. " REPLACE ,Defines the behaviour when the COMP address is matched" ",Set BKPT on lower halfword,Set BKPT on upper halfword,Set BKPT on both" hexmask.long 0x00 2.--28. 0x04 " COMP ,Comparison Address" bitfld.long 0x00 0. " ENABLE ,Compare and Remap Enable" "Disabled,Enabled" elif (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))))&0x10000000)==0x10000000) if (((per.l(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("FPB",-1))+0x24))&0x01)==0x00) group.long 0x24++0x03 line.long 0x00 "FP_COMP7,Flash Patch Comparator Register 7" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" else group.long 0x24++0x03 line.long 0x00 "FP_COMP7,Flash Patch Comparator Register 7" hexmask.long 0x00 1.--31. 0x02 " BPADDR ,Breakpoint address" bitfld.long 0x00 0. " BE ,Enable bit for Breakpoint" "Disabled,Enabled" endif endif width 6. tree "CoreSight Identification Registers" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "PID1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "PID2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0c "PID3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "PID4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "CID0,Component ID0 (Preamble)" line.long 0x04 "CID1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " Preamble ,Preamble" line.long 0x08 "CID2,Component ID2" line.long 0x0c "CID3,Component ID3" tree.end width 0xB else newline textline "FPB component base address not specified" newline endif tree.end tree "Data Watchpoint and Trace Unit (DWT)" sif COMPonent.AVAILABLE("DWT") base CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1)) width 15. group.long 0x00++0x1B line.long 0x00 "DWT_CTRL,Control Register" rbitfld.long 0x00 28.--31. " NUMCOMP ,Number of comparators implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. " NOTRCPKT ,Shows whether the implementation supports trace sampling and exception tracing" "Supported,Not supported" rbitfld.long 0x00 26. " NOEXTTRIG ,Shows whether the implementation includes external match signals" "Supported,Not supported" textline " " rbitfld.long 0x00 25. " NOCYCCNT ,Shows whether the implementation supports a cycle counter" "Supported,Not supported" rbitfld.long 0x00 24. " NOPRFCNT ,Shows whether the implementation supports the profiling counters" "Supported,Not supported" bitfld.long 0x00 22. " CYCEVTENA ,Enables POSTCNT underflow Event counter packets generation" "Disabled,Enabled" textline " " bitfld.long 0x00 21. " FOLDEVTENA ,Enables generation of the Folded-instruction counter overflow event" "Disabled,Enabled" bitfld.long 0x00 20. " LSUEVTENA ,Enables generation of the LSU counter overflow event" "Disabled,Enabled" bitfld.long 0x00 19. " SLEEPEVTENA ,Enables generation of the Sleep counter overflow event" "Disabled,Enabled" textline " " bitfld.long 0x00 18. " EXCEVTENA ,Enables generation of the Exception overhead counter overflow event" "Disabled,Enabled" bitfld.long 0x00 17. " CPIEVTENA ,Enables generation of the CPI counter overflow event" "Disabled,Enabled" bitfld.long 0x00 16. " EXCTRCENA ,Enables generation of exception trace" "Disabled,Enabled" textline " " bitfld.long 0x00 12. " PCSAMPLEENA ,Enables use of POSTCNT counter as a timer for Periodic PC sample packet generation" "Disabled,Enabled" bitfld.long 0x00 10.--11. " SYNCTAP ,Selects the position of the synchronization packet counter tap on the CYCCNT counter" "Disabled,CYCCNT[24],CYCCNT[26],CYCCNT[28]" bitfld.long 0x00 9. " CYCTAP ,Selects the position of the POSTCNT tap on the CYCCNT counter" "CYCCNT[6],CYCCNT[10]" textline " " bitfld.long 0x00 5.--8. " POSTINIT ,Initial value for the POSTCNT counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--4. " POSTPRESET ,Reload value for the POSTCNT counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0. " CYCCNTENA ,Enables CYCCNT" "Disabled,Enabled" line.long 0x04 "DWT_CYCCNT,Cycle Count Register" line.long 0x08 "DWT_CPICNT,CPI Count Register" hexmask.long.byte 0x08 0.--7. 1. " CPICNT ,The base CPI counter" line.long 0x0c "DWT_EXCCNT,Exception Overhead Count Register" hexmask.long.byte 0x0c 0.--7. 1. " EXCCNT ,The exception overhead counter" line.long 0x10 "DWT_SLEEPCNT,Sleep Count Register" hexmask.long.byte 0x10 0.--7. 1. " SLEEPCNT ,Sleep Counter" line.long 0x14 "DWT_LSUCNT,LSU Count Register" hexmask.long.byte 0x14 0.--7. 1. " LSUCNT ,Load-store counter" line.long 0x18 "DWT_FOLDCNT,Folded-instruction Count Register" hexmask.long.byte 0x18 0.--7. 1. " FOLDCNT ,Folded-instruction counter" rgroup.long 0x1C++0x03 line.long 0x00 "DWT_PCSR,Program Counter Sample register" textline " " group.long 0x20++0x07 line.long 0x00 "DWT_COMP0,DWT Comparator Register 0" line.long 0x04 "DWT_MASK0,DWT Mask Registers 0" bitfld.long 0x04 0.--4. " MASK ,The size of the ignore mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x28))&0x1A0)==0x20) group.long 0x28++0x03 line.long 0x00 "DWT_FUNCTION0,DWT Function Registers 0" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " CYCMATCH ,Enable cycle count comparison for comparator 0" "Disabled,Enabled" bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send address trace packet on READ/WRITE,Send data value + address packet on READ/WRITE,Send data value + address packet on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data address trace packet on READ,Send data address trace packet on WRITE,Send data address + PC value on READ,Send data address + PC value on WRITE" elif (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x28))&0x1A0)==0x00) group.long 0x28++0x03 line.long 0x00 "DWT_FUNCTION0,DWT Function Registers 0" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " CYCMATCH ,Enable cycle count comparison for comparator 0" "Disabled,Enabled" bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send PC value trace packet on READ/WRITE,Send data value trace packet on READ/WRITE,Send data value + PC value on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data value trace packet on READ,Send data value trace packet on WRITE,Send data value + PC value on READ,Send data value + PC value on WRITE" elif (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x28))&0x180)==0x80) group.long 0x28++0x03 line.long 0x00 "DWT_FUNCTION0,DWT Function Registers 0" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " CYCMATCH ,Enable cycle count comparison for comparator 0" "Disabled,Enabled" bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send PC value trace packet,UNPREDICTABLE,UNPREDICTABLE,Generate watchpoint debug event,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,CMPMATCH[N] event,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE" else group.long 0x28++0x03 line.long 0x00 "DWT_FUNCTION0,DWT Function Registers 0" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 7. " CYCMATCH ,Enable cycle count comparison for comparator 0" "Disabled,Enabled" bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,UNPREDICTABLE,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE" endif group.long (0x30)++0x07 line.long 0x00 "DWT_COMP1,DWT Comparator Register 1" line.long 0x04 "DWT_MASK1,DWT Mask Registers 1" bitfld.long 0x04 0.--4. " MASK ,The size of the ignore mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08))&0x120)==0x20) group.long (0x30+0x08)++0x03 line.long 0x00 "DWT_FUNCTION1,DWT Function Registers 1" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send address trace packet on READ/WRITE,Send data value + address packet on READ/WRITE,Send data value + address packet on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data address trace packet on READ,Send data address trace packet on WRITE,Send data address + PC value on READ,Send data address + PC value on WRITE" elif (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x30+0x08))&0x120)==0x00) group.long (0x30+0x08)++0x03 line.long 0x00 "DWT_FUNCTION1,DWT Function Registers 1" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send PC value trace packet on READ/WRITE,Send data value trace packet on READ/WRITE,Send data value + PC value on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data value trace packet on READ,Send data value trace packet on WRITE,Send data value + PC value on READ,Send data value + PC value on WRITE" else group.long (0x30+0x08)++0x03 line.long 0x00 "DWT_FUNCTION1,DWT Function Registers 1" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,Enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,UNPREDICTABLE,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE" endif group.long (0x40)++0x07 line.long 0x00 "DWT_COMP2,DWT Comparator Register 2" line.long 0x04 "DWT_MASK2,DWT Mask Registers 2" bitfld.long 0x04 0.--4. " MASK ,The size of the ignore mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08))&0x120)==0x20) group.long (0x40+0x08)++0x03 line.long 0x00 "DWT_FUNCTION2,DWT Function Registers 2" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send address trace packet on READ/WRITE,Send data value + address packet on READ/WRITE,Send data value + address packet on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data address trace packet on READ,Send data address trace packet on WRITE,Send data address + PC value on READ,Send data address + PC value on WRITE" elif (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x40+0x08))&0x120)==0x00) group.long (0x40+0x08)++0x03 line.long 0x00 "DWT_FUNCTION2,DWT Function Registers 2" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send PC value trace packet on READ/WRITE,Send data value trace packet on READ/WRITE,Send data value + PC value on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data value trace packet on READ,Send data value trace packet on WRITE,Send data value + PC value on READ,Send data value + PC value on WRITE" else group.long (0x40+0x08)++0x03 line.long 0x00 "DWT_FUNCTION2,DWT Function Registers 2" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,Enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,UNPREDICTABLE,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE" endif group.long (0x50)++0x07 line.long 0x00 "DWT_COMP3,DWT Comparator Register 3" line.long 0x04 "DWT_MASK3,DWT Mask Registers 3" bitfld.long 0x04 0.--4. " MASK ,The size of the ignore mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" if (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08))&0x120)==0x20) group.long (0x50+0x08)++0x03 line.long 0x00 "DWT_FUNCTION3,DWT Function Registers 3" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send address trace packet on READ/WRITE,Send data value + address packet on READ/WRITE,Send data value + address packet on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data address trace packet on READ,Send data address trace packet on WRITE,Send data address + PC value on READ,Send data address + PC value on WRITE" elif (((per.long(CONvert.ADDRESSTODUALPORT(COMPonent.BASE("DWT",-1))+0x50+0x08))&0x120)==0x00) group.long (0x50+0x08)++0x03 line.long 0x00 "DWT_FUNCTION3,DWT Function Registers 3" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,Send PC value trace packet on READ/WRITE,Send data value trace packet on READ/WRITE,Send data value + PC value on READ/WRITE,Watchpoint debug event on PC match,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,CMPMATCH[N] event on PC match,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ/WRITE,Send data value trace packet on READ,Send data value trace packet on WRITE,Send data value + PC value on READ,Send data value + PC value on WRITE" else group.long (0x50+0x08)++0x03 line.long 0x00 "DWT_FUNCTION3,DWT Function Registers 3" bitfld.long 0x00 24. " MATCHED ,Comparator match" "No matched,Matched" bitfld.long 0x00 16.--19. " DATAVADDR1 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. " DATAVADDR0 ,Data Value Comparator ID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" textline " " bitfld.long 0x00 10.--11. " DATAVSIZE ,Data Value Size" "8-bit,16-bit,32-bit,Reserved" bitfld.long 0x00 9. " LNK1ENA ,Supports use of a second linked comparator" "Not Supported,Supported" bitfld.long 0x00 8. " DATAVMATCH ,Data value compare" "Disabled,Enabled" textline " " bitfld.long 0x00 5. " EMITRANGE ,Enables generation of Data trace address offset packets" "Disabled,Enabled" bitfld.long 0x00 0.--3. " FUNCTION ,Selects action taken on comparator match" "Disabled,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,Watchpoint debug event on READ,Watchpoint debug event on WRITE,Watchpoint debug event on READ/WRITE,UNPREDICTABLE,CMPMATCH[N] event on READ,CMPMATCH[N] event on WRITE,CMPMATCH[N] event on READ,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE,UNPREDICTABLE" endif width 6. tree "CoreSight Identification Registers" rgroup.long 0xFE0++0x0F line.long 0x00 "PID0,Peripheral ID0" hexmask.long.byte 0x00 0.--7. 1. " Part_Num[7:0] ,Part Number bits[7:0]" line.long 0x04 "PID1,Peripheral ID1" hexmask.long.byte 0x04 4.--7. 1. " JEP106ID[3:0] ,JEP106 ID code bits[3:0]" hexmask.long.byte 0x04 0.--3. 1. " Part_Num[11:8] ,Part Number bits[11:8]" line.long 0x08 "PID2,Peripheral ID2" hexmask.long.byte 0x08 4.--7. 1. " Revision ,Revision" bitfld.long 0x08 3. " JEDEC ,JEDEC assigned ID fields" "0,JEDEC" hexmask.long.byte 0x08 0.--2. 1. " JEP106ID[6:4] ,JEP106 ID code bits[6:4]" line.long 0x0c "PID3,Peripheral ID3" hexmask.long.byte 0x0C 4.--7. 1. " RevAnd ,Minor revision field" hexmask.long.byte 0x0C 0.--3. 1. " CMB ,Customer-modified block" rgroup.long 0xFD0++0x03 line.long 0x00 "PID4,Peripheral Identification Register 4" hexmask.long.byte 0x00 4.--7. 1. " Count ,4KB count" hexmask.long.byte 0x00 0.--3. 1. " JEP106_CC ,JEP106 continuation code" rgroup.long 0xFF0++0x0F line.long 0x00 "CID0,Component ID0 (Preamble)" line.long 0x04 "CID1,Component ID1" hexmask.long.byte 0x04 4.--7. 1. " CC ,Component Class" hexmask.long.byte 0x04 0.--3. 1. " Preamble ,Preamble" line.long 0x08 "CID2,Component ID2" line.long 0x0c "CID3,Component ID3" tree.end width 0x0B else newline textline "DWT component base address not specified" newline endif tree.end tree.end AUTOINDENT.POP tree.end elif cpuis("AM572XDSP?") AUTOINDENT.PUSH AUTOINDENT.OFF tree "Core Registers (c66x)" config 16. 8. width 0x0b tree.open "Cache" tree "L1P Cache" base d:0x01840000 width 9. group.long 0x20++0x7 "L1P Cache Control Registers" line.long 0x00 "L1PCFG,L1P Configuration Register" bitfld.long 0x00 0.--2. " L1PMODE ,Size of the L1P cache" "Disabled,4K,8K,16K,32K,Maximal,Maximal,Maximal" line.long 0x04 "L1PCC,L1P Cache Control Register" bitfld.long 0x04 16. " POPER ,Holds the previous value of the OPER field" "0,1" bitfld.long 0x04 0. " OPER ,Controls the L1P freeze mode" "Disabled,Enabled" wgroup.long 0x4020++0x3 line.long 0x00 "L1PIBAR,L1P Invalidate Base Address Register" hexmask.long 0x00 0.--31. 1. " L1PIBAR ,32-bit base address for block invalidation" group.long 0x4024++0x3 line.long 0x00 "L1PIWC,L1P Invalidate Word Count" hexmask.long.word 0x00 0.--15. 1. " L1PIWC ,Word count for block invalidation" group.long 0x5028++0x3 line.long 0x00 "L1PINV,L1P Invalidate Register" bitfld.long 0x00 0. " I ,Controls the global invalidation of L1P cache" "Normal,Invalidate" //width 13. //wgroup.long 0xD00++0x13 "Memory Protection Lock Registers" // line.long 0x00 "L1PMPLK0,Memory Protection Lock Register 0" // hexmask.long 0x00 0.--31. 1. " LB ,Lock Bits 31:0" // line.long 0x04 "L1PMPLK1,Memory Protection Lock Register 1" // hexmask.long 0x04 0.--31. 1. " LB ,Lock Bits 63:32" // line.long 0x08 "L1PMPLK2,Memory Protection Lock Register 2" // hexmask.long 0x08 0.--31. 1. " LB ,Lock Bits 95:64" // line.long 0x0c "L1PMPLK3,Memory Protection Lock Register 3" // hexmask.long 0x0c 0.--31. 1. " LB ,Lock Bits 127:96" // line.long 0x10 "L1PMPLKCMD,Memory Protection Lock Command Register" // bitfld.long 0x10 2. " KEYR ,Reset status" "No effect,Reset" // bitfld.long 0x10 1. " LOCK ,Interface to complete a lock sequence" "No effect,Locked" // bitfld.long 0x10 0. " UNLOCK ,Interface to complete an unlock sequence" "No effect,Unlocked" //rgroup.long 0xD14++0x3 // line.long 0x00 "L1PMPLKSTAT,Memory Protection Lock Status Register" // bitfld.long 0x00 0. " LK ,Indicates the lock's current status" "Disengaged,Engaged" base d:0x0184a000 width 12. tree "Memory Page Protection Attribute Registers" group.long 0x640++0x3f line.long 0x0 "L1PMPPA16,Level 1 Memory Page Protection Attribute Register 16" bitfld.long 0x0 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x0 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x0 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x0 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x0 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x0 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x0 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x0 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x0 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x0 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x0 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x0 2. " UR ,User read access type" "Normal,User" bitfld.long 0x0 1. " UW ,User write access type" "Normal,User" bitfld.long 0x0 0. " UX ,User execute access type" "Normal,User" line.long 0x4 "L1PMPPA17,Level 1 Memory Page Protection Attribute Register 17" bitfld.long 0x4 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x4 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x4 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x4 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x4 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x4 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x4 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x4 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x4 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x4 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x4 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x4 2. " UR ,User read access type" "Normal,User" bitfld.long 0x4 1. " UW ,User write access type" "Normal,User" bitfld.long 0x4 0. " UX ,User execute access type" "Normal,User" line.long 0x8 "L1PMPPA18,Level 1 Memory Page Protection Attribute Register 18" bitfld.long 0x8 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x8 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x8 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x8 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x8 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x8 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x8 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x8 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x8 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x8 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x8 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x8 2. " UR ,User read access type" "Normal,User" bitfld.long 0x8 1. " UW ,User write access type" "Normal,User" bitfld.long 0x8 0. " UX ,User execute access type" "Normal,User" line.long 0xC "L1PMPPA19,Level 1 Memory Page Protection Attribute Register 19" bitfld.long 0xC 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0xC 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0xC 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0xC 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0xC 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0xC 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0xC 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0xC 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0xC 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0xC 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0xC 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0xC 2. " UR ,User read access type" "Normal,User" bitfld.long 0xC 1. " UW ,User write access type" "Normal,User" bitfld.long 0xC 0. " UX ,User execute access type" "Normal,User" line.long 0x10 "L1PMPPA20,Level 1 Memory Page Protection Attribute Register 20" bitfld.long 0x10 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x10 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x10 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x10 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x10 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x10 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x10 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x10 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x10 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x10 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x10 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x10 2. " UR ,User read access type" "Normal,User" bitfld.long 0x10 1. " UW ,User write access type" "Normal,User" bitfld.long 0x10 0. " UX ,User execute access type" "Normal,User" line.long 0x14 "L1PMPPA21,Level 1 Memory Page Protection Attribute Register 21" bitfld.long 0x14 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x14 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x14 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x14 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x14 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x14 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x14 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x14 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x14 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x14 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x14 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x14 2. " UR ,User read access type" "Normal,User" bitfld.long 0x14 1. " UW ,User write access type" "Normal,User" bitfld.long 0x14 0. " UX ,User execute access type" "Normal,User" line.long 0x18 "L1PMPPA22,Level 1 Memory Page Protection Attribute Register 22" bitfld.long 0x18 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x18 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x18 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x18 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x18 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x18 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x18 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x18 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x18 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x18 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x18 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x18 2. " UR ,User read access type" "Normal,User" bitfld.long 0x18 1. " UW ,User write access type" "Normal,User" bitfld.long 0x18 0. " UX ,User execute access type" "Normal,User" line.long 0x1C "L1PMPPA23,Level 1 Memory Page Protection Attribute Register 23" bitfld.long 0x1C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x1C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x1C 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x1C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x1C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x1C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x1C 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x1C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x1C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x1C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x1C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x1C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x1C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x1C 0. " UX ,User execute access type" "Normal,User" line.long 0x20 "L1PMPPA24,Level 1 Memory Page Protection Attribute Register 24" bitfld.long 0x20 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x20 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x20 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x20 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x20 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x20 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x20 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x20 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x20 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x20 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x20 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x20 2. " UR ,User read access type" "Normal,User" bitfld.long 0x20 1. " UW ,User write access type" "Normal,User" bitfld.long 0x20 0. " UX ,User execute access type" "Normal,User" line.long 0x24 "L1PMPPA25,Level 1 Memory Page Protection Attribute Register 25" bitfld.long 0x24 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x24 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x24 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x24 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x24 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x24 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x24 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x24 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x24 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x24 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x24 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x24 2. " UR ,User read access type" "Normal,User" bitfld.long 0x24 1. " UW ,User write access type" "Normal,User" bitfld.long 0x24 0. " UX ,User execute access type" "Normal,User" line.long 0x28 "L1PMPPA26,Level 1 Memory Page Protection Attribute Register 26" bitfld.long 0x28 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x28 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x28 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x28 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x28 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x28 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x28 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x28 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x28 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x28 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x28 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x28 2. " UR ,User read access type" "Normal,User" bitfld.long 0x28 1. " UW ,User write access type" "Normal,User" bitfld.long 0x28 0. " UX ,User execute access type" "Normal,User" line.long 0x2C "L1PMPPA27,Level 1 Memory Page Protection Attribute Register 27" bitfld.long 0x2C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x2C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x2C 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x2C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x2C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x2C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x2C 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x2C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x2C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x2C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x2C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x2C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x2C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x2C 0. " UX ,User execute access type" "Normal,User" line.long 0x30 "L1PMPPA28,Level 1 Memory Page Protection Attribute Register 28" bitfld.long 0x30 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x30 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x30 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x30 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x30 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x30 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x30 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x30 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x30 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x30 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x30 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x30 2. " UR ,User read access type" "Normal,User" bitfld.long 0x30 1. " UW ,User write access type" "Normal,User" bitfld.long 0x30 0. " UX ,User execute access type" "Normal,User" line.long 0x34 "L1PMPPA29,Level 1 Memory Page Protection Attribute Register 29" bitfld.long 0x34 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x34 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x34 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x34 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x34 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x34 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x34 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x34 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x34 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x34 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x34 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x34 2. " UR ,User read access type" "Normal,User" bitfld.long 0x34 1. " UW ,User write access type" "Normal,User" bitfld.long 0x34 0. " UX ,User execute access type" "Normal,User" line.long 0x38 "L1PMPPA30,Level 1 Memory Page Protection Attribute Register 30" bitfld.long 0x38 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x38 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x38 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x38 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x38 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x38 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x38 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x38 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x38 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x38 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x38 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x38 2. " UR ,User read access type" "Normal,User" bitfld.long 0x38 1. " UW ,User write access type" "Normal,User" bitfld.long 0x38 0. " UX ,User execute access type" "Normal,User" line.long 0x3C "L1PMPPA31,Level 1 Memory Page Protection Attribute Register 31" bitfld.long 0x3C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x3C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x3C 13. " AID3 ,Controls access from ID = 3" "Denied,Granted" textline " " bitfld.long 0x3C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x3C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x3C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x3C 9. " AIDX ,Controls access from ID>=6" "Denied,Granted" bitfld.long 0x3C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x3C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x3C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x3C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x3C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x3C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x3C 0. " UX ,User execute access type" "Normal,User" tree.end width 11. rgroup.long 0x400++0x7 "Memory Protection Fault Registers" line.long 0x00 "L1PMPFAR,L1P Memory Protection Fault Address" hexmask.long 0x00 0.--31. 1. " FA ,Fault Address" line.long 0x04 "L1PMPFSR,L1P Memory Protection Fault Set Register" hexmask.long.byte 0x04 9.--15. 1. " FID ,Bit 6:0 of faulting requestor" bitfld.long 0x04 8. " LOCAL ,Local access" "Normal,Local" bitfld.long 0x04 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x04 4. " SW ,Supervisor write access type" "Normal,Supervisor" textline " " bitfld.long 0x04 2. " UR ,User read access type" "Normal,User" bitfld.long 0x04 1. " UW ,User write access type" "Normal,User" group.long 0x408++0x3 line.long 0x00 "L1PMPFCLR,L1P Memory Protection Fault Clear" bitfld.long 0x00 0. " MPFCLR ,Command to clear the L1DMPFAR and L1DMPFCR" "No effect,Clear" AUTOINDENT.ON right tree rgroup.long 0x6404++0x3 "Error Detection Registers" line.long 0x0 "L1PEDSTAT,L1P Error Detection Status Register" bitfld.long 0x0 6. "DMAERR,DMA/IDMA access to L1P memory resulted in parity check error" "False,True" bitfld.long 0x0 5. "PERR,Program fetch resulted in parity check error" "False,True" bitfld.long 0x0 3. "SUSP,Error detection logic is suspended" "False,True" bitfld.long 0x0 2. "DIS,Error detection logic is disabled" "False,True" bitfld.long 0x0 0. "EN,Error detection logic is enabled" "False,True" group.long 0x6408++0x3 line.long 0x0 "L1PEDCMD, L1P Error Detection Command Register" bitfld.long 0x0 6. "DMACLR,Clears the DMA/IDMA read parity error status" "No effect,Clear" bitfld.long 0x0 5. "PCLR,Clears the program fetch parity error status" "No effect,Clear" bitfld.long 0x0 3. "SUSP,Suspends the error detection logic" "No effect,Suspend" bitfld.long 0x0 2. "DIS,Disables the error detection logic" "No effect,Disable" bitfld.long 0x0 0. "EN,Enables the error detection logic" "No effect,Enable" rgroup.long 0x640C++0x3 line.long 0x0 "L1PEDADDR, L1P Error Detection Address Register" hexmask.long.long 0x0 5.--31. 32. "ADDR,Contains the upper 27 bit of error location" bitfld.long 0x0 0. "RAM,Location where error was detected" "L1P cache,L1P RAM" AUTOINDENT.OFF width 0xb tree.end tree "L1D Cache" base d:0x01840000 width 10. group.long 0x40++0x7 "L1D Cache Control Registers" line.long 0x00 "L1DCFG,L1D Cache Configuration" bitfld.long 0x00 0.--2. " L1DMODE ,Size of the L1D cache" "Disabled,4K,8K,16K,32K,Maximal,Maximal,Maximal" line.long 0x04 "L1DCC,L1D Cache Control Register" bitfld.long 0x04 16. " POPER ,Holds the previous value of the OPER field" "0,1" bitfld.long 0x04 0. " OPER ,Controls the L1D freeze mode" "Disabled,Enabled" wgroup.long 0x4030++0x3 line.long 0x00 "L1DWIBAR,L1D Writeback-Invalidated Base Address" hexmask.long 0x00 0.--31. 1. " L1DWIBAR ,L1D Writeback-Invalidated Base Address" group.long 0x4034++0x3 line.long 0x00 "L1DWIWC,L1D Writeback-Invalidated Word Count" hexmask.long.word 0x00 0.--15. 1. " L1DWIWC ,L1D Writeback-Invalidated Word Count" wgroup.long 0x4040++0x3 line.long 0x00 "L1DWBAR,L1D Writeback Base Address" hexmask.long 0x00 0.--31. 1. " L1DWBAR ,L1D Writeback Base Address" group.long 0x4044++0x3 line.long 0x00 "L1DWWC,L1D Writeback Word Count" hexmask.long.word 0x00 0.--15. 1. " L1DWWC ,L1D Writeback Word Count" wgroup.long 0x4048++0x3 line.long 0x00 "L1DIBAR,L1D Invalidate Base Address" hexmask.long 0x00 0.--31. 1. " L1DIBAR ,L1D Invalidate Base Address" group.long 0x404c++0x3 line.long 0x00 "L1DIWC,L1D Invalidate Word Count" hexmask.long.word 0x00 0.--15. 1. " L1DIWC ,L1D Invalidate Word Count" group.long 0x5048++0x3 line.long 0x00 "L1DINV,L1D Invalidate Register" bitfld.long 0x00 0. " I ,Controls the global invalidation of L1D cache" "Normal,Invalidate" group.long 0x5040++0x3 line.long 0x00 "L1DWB,L1P Writeback Register" bitfld.long 0x00 0. " C ,Controls the global writeback operation of L1D cache" "Normal,Write back" group.long 0x5044++0x3 line.long 0x00 "L1DWBINV,L1D Writeback-Invalidate Register" bitfld.long 0x00 0. " C ,Controls the global writeback-invalidate operation of L1D cache" "Normal,Invalidate" width 11. base d:0x0184a000 tree "Memory Protection Attribute Registers" group.long 0xe40++0x3f line.long 0x0 "MPPA16,Memory Protection Attribute Register" bitfld.long 0x0 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x0 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x0 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x0 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x0 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x0 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x0 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x0 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x0 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x0 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x0 2. " UR ,User read access type" "Normal,User" bitfld.long 0x0 1. " UW ,User write access type" "Normal,User" line.long 0x4 "MPPA17,Memory Protection Attribute Register" bitfld.long 0x4 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x4 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x4 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x4 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x4 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x4 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x4 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x4 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x4 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x4 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x4 2. " UR ,User read access type" "Normal,User" bitfld.long 0x4 1. " UW ,User write access type" "Normal,User" line.long 0x8 "MPPA18,Memory Protection Attribute Register" bitfld.long 0x8 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x8 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x8 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x8 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x8 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x8 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x8 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x8 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x8 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x8 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x8 2. " UR ,User read access type" "Normal,User" bitfld.long 0x8 1. " UW ,User write access type" "Normal,User" line.long 0xC "MPPA19,Memory Protection Attribute Register" bitfld.long 0xC 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0xC 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0xC 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0xC 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0xC 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0xC 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0xC 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0xC 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0xC 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0xC 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0xC 2. " UR ,User read access type" "Normal,User" bitfld.long 0xC 1. " UW ,User write access type" "Normal,User" line.long 0x10 "MPPA20,Memory Protection Attribute Register" bitfld.long 0x10 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x10 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x10 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x10 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x10 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x10 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x10 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x10 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x10 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x10 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x10 2. " UR ,User read access type" "Normal,User" bitfld.long 0x10 1. " UW ,User write access type" "Normal,User" line.long 0x14 "MPPA21,Memory Protection Attribute Register" bitfld.long 0x14 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x14 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x14 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x14 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x14 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x14 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x14 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x14 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x14 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x14 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x14 2. " UR ,User read access type" "Normal,User" bitfld.long 0x14 1. " UW ,User write access type" "Normal,User" line.long 0x18 "MPPA22,Memory Protection Attribute Register" bitfld.long 0x18 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x18 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x18 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x18 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x18 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x18 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x18 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x18 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x18 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x18 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x18 2. " UR ,User read access type" "Normal,User" bitfld.long 0x18 1. " UW ,User write access type" "Normal,User" line.long 0x1C "MPPA23,Memory Protection Attribute Register" bitfld.long 0x1C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x1C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x1C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x1C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x1C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x1C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x1C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x1C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x1C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x1C 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x1C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x1C 1. " UW ,User write access type" "Normal,User" line.long 0x20 "MPPA24,Memory Protection Attribute Register" bitfld.long 0x20 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x20 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x20 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x20 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x20 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x20 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x20 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x20 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x20 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x20 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x20 2. " UR ,User read access type" "Normal,User" bitfld.long 0x20 1. " UW ,User write access type" "Normal,User" line.long 0x24 "MPPA25,Memory Protection Attribute Register" bitfld.long 0x24 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x24 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x24 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x24 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x24 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x24 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x24 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x24 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x24 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x24 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x24 2. " UR ,User read access type" "Normal,User" bitfld.long 0x24 1. " UW ,User write access type" "Normal,User" line.long 0x28 "MPPA26,Memory Protection Attribute Register" bitfld.long 0x28 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x28 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x28 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x28 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x28 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x28 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x28 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x28 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x28 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x28 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x28 2. " UR ,User read access type" "Normal,User" bitfld.long 0x28 1. " UW ,User write access type" "Normal,User" line.long 0x2C "MPPA27,Memory Protection Attribute Register" bitfld.long 0x2C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x2C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x2C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x2C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x2C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x2C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x2C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x2C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x2C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x2C 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x2C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x2C 1. " UW ,User write access type" "Normal,User" line.long 0x30 "MPPA28,Memory Protection Attribute Register" bitfld.long 0x30 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x30 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x30 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x30 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x30 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x30 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x30 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x30 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x30 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x30 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x30 2. " UR ,User read access type" "Normal,User" bitfld.long 0x30 1. " UW ,User write access type" "Normal,User" line.long 0x34 "MPPA29,Memory Protection Attribute Register" bitfld.long 0x34 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x34 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x34 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x34 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x34 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x34 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x34 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x34 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x34 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x34 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x34 2. " UR ,User read access type" "Normal,User" bitfld.long 0x34 1. " UW ,User write access type" "Normal,User" line.long 0x38 "MPPA30,Memory Protection Attribute Register" bitfld.long 0x38 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x38 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x38 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x38 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x38 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x38 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x38 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x38 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x38 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x38 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x38 2. " UR ,User read access type" "Normal,User" bitfld.long 0x38 1. " UW ,User write access type" "Normal,User" line.long 0x3C "MPPA31,Memory Protection Attribute Register" bitfld.long 0x3C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x3C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x3C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x3C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x3C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x3C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x3C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x3C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x3C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x3C 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x3C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x3C 1. " UW ,User write access type" "Normal,User" tree.end base d:0x0184a000 width 10. rgroup.long 0xc00++0x7 "Memory Protection Fault Registers" line.long 0x00 "L1DMPFAR,Memory Protection Fault Address Register" hexmask.long 0x00 0.--31. 1. " FA ,Fault Address" line.long 0x04 "L1DMPFSR,Memory Protection Fault Set Register" hexmask.long.byte 0x04 9.--15. 1. " FID ,Bit 6:0 of ID of faulting requestor" bitfld.long 0x04 8. " LOCAL ,Local access" "Normal,Supervisor" bitfld.long 0x04 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x04 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x04 2. " UR ,User read access type" "Normal,User" bitfld.long 0x04 1. " UW ,User write access type" "Normal,User" group.long 0xc08++0x3 line.long 0x00 "L1DMPFCR,Memory Protection Fault Clear Register" eventfld.long 0x00 0. " MPFCLR ,Clear L1DMPFAR and L1DMPFCR" "No effect,Cleared" width 13. wgroup.long 0xd00++0xf "Memory Protection Lock Registers" line.long 0x00 "L1DMPLK0,Level 1 Data Memory Protection Lock Register 0" hexmask.long 0x00 0.--31. 1. " LB ,Lock Bits 31:0" line.long 0x04 "L1DMPLK1,Level 1 Data Memory Protection Lock Register 1" hexmask.long 0x04 0.--31. 1. " LB ,Lock Bits 63:32" line.long 0x08 "L1DMPLK2,Level 1 Data Memory Protection Lock Register 2" line.long 0x0c "L1DMPLK3,Level 1 Data Memory Protection Lock Register 3" wgroup.long 0xd10++0x3 line.long 0x00 "L1DMPLKCMD,Level 1 Data Memory Protection Lock Command Register" bitfld.long 0x00 2. " KEYR ,Reset status" "No effect,Reset" bitfld.long 0x00 1. " LOCK ,Interface to complete a lock sequence" "No effect,Locked" bitfld.long 0x00 0. " UNLOCK ,Interface to complete an unlock sequence" "No effect,Unlocked" rgroup.long 0xd14++0x3 line.long 0x00 "L1DMPLKSTAT,Level 1 Data Memory Protection Lock Status Register" bitfld.long 0x00 0. " LK ,Indicates the lock's current status" "Disengaged,Engaged" width 0xb tree.end tree "L2 Cache" base d:0x01840000 width 9. group.long 0x00++0x3 "L2 Cache Control Registers" line.long 0x00 "L2CFG,L2 Configuration Register" hexmask.long.byte 0x00 24.--27. 1. " NUM_MM ,Number of megamodules minus one" hexmask.long.byte 0x00 16.--19. 1. " MMID ,Contains the Megamodule ID number" bitfld.long 0x00 9. " IP ,L1P global invalidate bit" "Normal,Invalidate" textline " " bitfld.long 0x00 8. " ID ,L1D global invalidate bit" "Normal,Invalidate" bitfld.long 0x00 3. " L2CC ,Freeze mode" "Normal,Frozen" bitfld.long 0x00 0.--2. " L2MODE ,Size of L2 cache" "Disabled,32K,64K,128K,256K,512K,1024K,Maximum" wgroup.long 0x4000++0x3 line.long 0x00 "L2WBAR,L2 Writeback Base Address Register" hexmask.long 0x00 0.--31. 1. " L2WBAR ,L2 Writeback Base Address" group.long 0x4004++0x3 line.long 0x00 "L2WWC,L2 Writeback Word Count Register" hexmask.long.word 0x00 0.--15. 1. " L2WWC ,L2 Writeback Word Count" wgroup.long 0x4010++0x3 line.long 0x00 "L2WIBAR,L2 Writeback-Invalidate Base Address" hexmask.long 0x00 0.--31. 1. " L2WIBAR ,L2 Writeback Invalidate Base Address" group.long 0x4014++0x3 line.long 0x00 "L2WIWC,L2 Writeback Invalidate Word Count Register" hexmask.long.word 0x00 0.--15. 1. " L2WIWC ,L2 Writeback Invalidate Word Count" wgroup.long 0x4018++0x3 line.long 0x00 "L2IBAR,L2 Invalidate Base Address Register" hexmask.long 0x00 0.--31. 1. " L2IBAR ,L2 Invalidate Base Address" group.long 0x401c++0x3 line.long 0x00 "L2IWC,L2 Invalidate Word Count Register" hexmask.long.word 0x00 0.--15. 1. " L2IWC ,L2 Invalidate Word Count" group.long 0x5000++0xb line.long 0x00 "L2WB,L2 Writeback Register" bitfld.long 0x00 0. " C ,Controls the global writeback operation of L2 cache" "Normal,Writeback" line.long 0x04 "L2WBINV,L2 Writeback-Invalidate Register" bitfld.long 0x04 0. " C ,Controls the global writeback-invalidate operation of L2 cache" "Normal,Writeback" line.long 0x08 "L2INV,L2 Invalidate Register" bitfld.long 0x08 0. " I ,Controls the global invalidation of L2 cache" "Normal,Invalidate" tree "Memory Attribute Registers" width 8. base d:0x01848000 rgroup.long 0x00++0x2f line.long 0x0 "MAR0,Memory Attribute Register 0" bitfld.long 0x0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x4 "MAR1,Memory Attribute Register 1" bitfld.long 0x4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x8 "MAR2,Memory Attribute Register 2" bitfld.long 0x8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xC "MAR3,Memory Attribute Register 3" bitfld.long 0xC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x10 "MAR4,Memory Attribute Register 4" bitfld.long 0x10 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x10 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x14 "MAR5,Memory Attribute Register 5" bitfld.long 0x14 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x14 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x18 "MAR6,Memory Attribute Register 6" bitfld.long 0x18 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x18 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1C "MAR7,Memory Attribute Register 7" bitfld.long 0x1C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x20 "MAR8,Memory Attribute Register 8" bitfld.long 0x20 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x20 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x24 "MAR9,Memory Attribute Register 9" bitfld.long 0x24 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x24 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x28 "MAR10,Memory Attribute Register 10" bitfld.long 0x28 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x28 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2C "MAR11,Memory Attribute Register 11" bitfld.long 0x2C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" group.long 0x30++0x3cf line.long 0x0 "MAR12,Memory Attribute Register 12" bitfld.long 0x0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x4 "MAR13,Memory Attribute Register 13" bitfld.long 0x4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x8 "MAR14,Memory Attribute Register 14" bitfld.long 0x8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xC "MAR15,Memory Attribute Register 15" bitfld.long 0xC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x10 "MAR16,Memory Attribute Register 16" bitfld.long 0x10 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x10 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x14 "MAR17,Memory Attribute Register 17" bitfld.long 0x14 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x14 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x18 "MAR18,Memory Attribute Register 18" bitfld.long 0x18 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x18 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1C "MAR19,Memory Attribute Register 19" bitfld.long 0x1C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x20 "MAR20,Memory Attribute Register 20" bitfld.long 0x20 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x20 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x24 "MAR21,Memory Attribute Register 21" bitfld.long 0x24 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x24 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x28 "MAR22,Memory Attribute Register 22" bitfld.long 0x28 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x28 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2C "MAR23,Memory Attribute Register 23" bitfld.long 0x2C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x30 "MAR24,Memory Attribute Register 24" bitfld.long 0x30 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x30 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x34 "MAR25,Memory Attribute Register 25" bitfld.long 0x34 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x34 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x38 "MAR26,Memory Attribute Register 26" bitfld.long 0x38 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x38 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3C "MAR27,Memory Attribute Register 27" bitfld.long 0x3C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x40 "MAR28,Memory Attribute Register 28" bitfld.long 0x40 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x40 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x44 "MAR29,Memory Attribute Register 29" bitfld.long 0x44 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x44 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x48 "MAR30,Memory Attribute Register 30" bitfld.long 0x48 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x48 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x4C "MAR31,Memory Attribute Register 31" bitfld.long 0x4C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x4C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x50 "MAR32,Memory Attribute Register 32" bitfld.long 0x50 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x50 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x54 "MAR33,Memory Attribute Register 33" bitfld.long 0x54 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x54 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x58 "MAR34,Memory Attribute Register 34" bitfld.long 0x58 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x58 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x5C "MAR35,Memory Attribute Register 35" bitfld.long 0x5C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x5C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x60 "MAR36,Memory Attribute Register 36" bitfld.long 0x60 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x60 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x64 "MAR37,Memory Attribute Register 37" bitfld.long 0x64 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x64 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x68 "MAR38,Memory Attribute Register 38" bitfld.long 0x68 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x68 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x6C "MAR39,Memory Attribute Register 39" bitfld.long 0x6C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x6C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x70 "MAR40,Memory Attribute Register 40" bitfld.long 0x70 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x70 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x74 "MAR41,Memory Attribute Register 41" bitfld.long 0x74 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x74 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x78 "MAR42,Memory Attribute Register 42" bitfld.long 0x78 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x78 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x7C "MAR43,Memory Attribute Register 43" bitfld.long 0x7C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x7C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x80 "MAR44,Memory Attribute Register 44" bitfld.long 0x80 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x80 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x84 "MAR45,Memory Attribute Register 45" bitfld.long 0x84 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x84 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x88 "MAR46,Memory Attribute Register 46" bitfld.long 0x88 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x88 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x8C "MAR47,Memory Attribute Register 47" bitfld.long 0x8C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x8C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x90 "MAR48,Memory Attribute Register 48" bitfld.long 0x90 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x90 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x94 "MAR49,Memory Attribute Register 49" bitfld.long 0x94 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x94 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x98 "MAR50,Memory Attribute Register 50" bitfld.long 0x98 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x98 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x9C "MAR51,Memory Attribute Register 51" bitfld.long 0x9C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x9C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xA0 "MAR52,Memory Attribute Register 52" bitfld.long 0xA0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xA0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xA4 "MAR53,Memory Attribute Register 53" bitfld.long 0xA4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xA4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xA8 "MAR54,Memory Attribute Register 54" bitfld.long 0xA8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xA8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xAC "MAR55,Memory Attribute Register 55" bitfld.long 0xAC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xAC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xB0 "MAR56,Memory Attribute Register 56" bitfld.long 0xB0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xB0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xB4 "MAR57,Memory Attribute Register 57" bitfld.long 0xB4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xB4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xB8 "MAR58,Memory Attribute Register 58" bitfld.long 0xB8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xB8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xBC "MAR59,Memory Attribute Register 59" bitfld.long 0xBC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xBC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xC0 "MAR60,Memory Attribute Register 60" bitfld.long 0xC0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xC0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xC4 "MAR61,Memory Attribute Register 61" bitfld.long 0xC4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xC4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xC8 "MAR62,Memory Attribute Register 62" bitfld.long 0xC8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xC8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xCC "MAR63,Memory Attribute Register 63" bitfld.long 0xCC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xCC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xD0 "MAR64,Memory Attribute Register 64" bitfld.long 0xD0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xD0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xD4 "MAR65,Memory Attribute Register 65" bitfld.long 0xD4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xD4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xD8 "MAR66,Memory Attribute Register 66" bitfld.long 0xD8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xD8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xDC "MAR67,Memory Attribute Register 67" bitfld.long 0xDC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xDC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xE0 "MAR68,Memory Attribute Register 68" bitfld.long 0xE0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xE0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xE4 "MAR69,Memory Attribute Register 69" bitfld.long 0xE4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xE4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xE8 "MAR70,Memory Attribute Register 70" bitfld.long 0xE8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xE8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xEC "MAR71,Memory Attribute Register 71" bitfld.long 0xEC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xEC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xF0 "MAR72,Memory Attribute Register 72" bitfld.long 0xF0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xF0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xF4 "MAR73,Memory Attribute Register 73" bitfld.long 0xF4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xF4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xF8 "MAR74,Memory Attribute Register 74" bitfld.long 0xF8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xF8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0xFC "MAR75,Memory Attribute Register 75" bitfld.long 0xFC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0xFC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x100 "MAR76,Memory Attribute Register 76" bitfld.long 0x100 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x100 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x104 "MAR77,Memory Attribute Register 77" bitfld.long 0x104 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x104 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x108 "MAR78,Memory Attribute Register 78" bitfld.long 0x108 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x108 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x10C "MAR79,Memory Attribute Register 79" bitfld.long 0x10C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x10C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x110 "MAR80,Memory Attribute Register 80" bitfld.long 0x110 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x110 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x114 "MAR81,Memory Attribute Register 81" bitfld.long 0x114 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x114 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x118 "MAR82,Memory Attribute Register 82" bitfld.long 0x118 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x118 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x11C "MAR83,Memory Attribute Register 83" bitfld.long 0x11C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x11C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x120 "MAR84,Memory Attribute Register 84" bitfld.long 0x120 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x120 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x124 "MAR85,Memory Attribute Register 85" bitfld.long 0x124 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x124 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x128 "MAR86,Memory Attribute Register 86" bitfld.long 0x128 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x128 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x12C "MAR87,Memory Attribute Register 87" bitfld.long 0x12C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x12C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x130 "MAR88,Memory Attribute Register 88" bitfld.long 0x130 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x130 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x134 "MAR89,Memory Attribute Register 89" bitfld.long 0x134 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x134 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x138 "MAR90,Memory Attribute Register 90" bitfld.long 0x138 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x138 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x13C "MAR91,Memory Attribute Register 91" bitfld.long 0x13C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x13C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x140 "MAR92,Memory Attribute Register 92" bitfld.long 0x140 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x140 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x144 "MAR93,Memory Attribute Register 93" bitfld.long 0x144 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x144 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x148 "MAR94,Memory Attribute Register 94" bitfld.long 0x148 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x148 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x14C "MAR95,Memory Attribute Register 95" bitfld.long 0x14C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x14C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x150 "MAR96,Memory Attribute Register 96" bitfld.long 0x150 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x150 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x154 "MAR97,Memory Attribute Register 97" bitfld.long 0x154 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x154 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x158 "MAR98,Memory Attribute Register 98" bitfld.long 0x158 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x158 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x15C "MAR99,Memory Attribute Register 99" bitfld.long 0x15C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x15C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x160 "MAR100,Memory Attribute Register 100" bitfld.long 0x160 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x160 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x164 "MAR101,Memory Attribute Register 101" bitfld.long 0x164 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x164 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x168 "MAR102,Memory Attribute Register 102" bitfld.long 0x168 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x168 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x16C "MAR103,Memory Attribute Register 103" bitfld.long 0x16C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x16C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x170 "MAR104,Memory Attribute Register 104" bitfld.long 0x170 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x170 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x174 "MAR105,Memory Attribute Register 105" bitfld.long 0x174 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x174 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x178 "MAR106,Memory Attribute Register 106" bitfld.long 0x178 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x178 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x17C "MAR107,Memory Attribute Register 107" bitfld.long 0x17C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x17C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x180 "MAR108,Memory Attribute Register 108" bitfld.long 0x180 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x180 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x184 "MAR109,Memory Attribute Register 109" bitfld.long 0x184 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x184 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x188 "MAR110,Memory Attribute Register 110" bitfld.long 0x188 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x188 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x18C "MAR111,Memory Attribute Register 111" bitfld.long 0x18C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x18C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x190 "MAR112,Memory Attribute Register 112" bitfld.long 0x190 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x190 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x194 "MAR113,Memory Attribute Register 113" bitfld.long 0x194 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x194 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x198 "MAR114,Memory Attribute Register 114" bitfld.long 0x198 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x198 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x19C "MAR115,Memory Attribute Register 115" bitfld.long 0x19C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x19C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1A0 "MAR116,Memory Attribute Register 116" bitfld.long 0x1A0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1A0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1A4 "MAR117,Memory Attribute Register 117" bitfld.long 0x1A4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1A4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1A8 "MAR118,Memory Attribute Register 118" bitfld.long 0x1A8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1A8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1AC "MAR119,Memory Attribute Register 119" bitfld.long 0x1AC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1AC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1B0 "MAR120,Memory Attribute Register 120" bitfld.long 0x1B0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1B0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1B4 "MAR121,Memory Attribute Register 121" bitfld.long 0x1B4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1B4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1B8 "MAR122,Memory Attribute Register 122" bitfld.long 0x1B8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1B8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1BC "MAR123,Memory Attribute Register 123" bitfld.long 0x1BC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1BC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1C0 "MAR124,Memory Attribute Register 124" bitfld.long 0x1C0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1C0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1C4 "MAR125,Memory Attribute Register 125" bitfld.long 0x1C4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1C4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1C8 "MAR126,Memory Attribute Register 126" bitfld.long 0x1C8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1C8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1CC "MAR127,Memory Attribute Register 127" bitfld.long 0x1CC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1CC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1D0 "MAR128,Memory Attribute Register 128" bitfld.long 0x1D0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1D0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1D4 "MAR129,Memory Attribute Register 129" bitfld.long 0x1D4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1D4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1D8 "MAR130,Memory Attribute Register 130" bitfld.long 0x1D8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1D8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1DC "MAR131,Memory Attribute Register 131" bitfld.long 0x1DC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1DC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1E0 "MAR132,Memory Attribute Register 132" bitfld.long 0x1E0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1E0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1E4 "MAR133,Memory Attribute Register 133" bitfld.long 0x1E4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1E4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1E8 "MAR134,Memory Attribute Register 134" bitfld.long 0x1E8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1E8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1EC "MAR135,Memory Attribute Register 135" bitfld.long 0x1EC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1EC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1F0 "MAR136,Memory Attribute Register 136" bitfld.long 0x1F0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1F0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1F4 "MAR137,Memory Attribute Register 137" bitfld.long 0x1F4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1F4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1F8 "MAR138,Memory Attribute Register 138" bitfld.long 0x1F8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1F8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x1FC "MAR139,Memory Attribute Register 139" bitfld.long 0x1FC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x1FC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x200 "MAR140,Memory Attribute Register 140" bitfld.long 0x200 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x200 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x204 "MAR141,Memory Attribute Register 141" bitfld.long 0x204 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x204 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x208 "MAR142,Memory Attribute Register 142" bitfld.long 0x208 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x208 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x20C "MAR143,Memory Attribute Register 143" bitfld.long 0x20C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x20C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x210 "MAR144,Memory Attribute Register 144" bitfld.long 0x210 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x210 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x214 "MAR145,Memory Attribute Register 145" bitfld.long 0x214 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x214 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x218 "MAR146,Memory Attribute Register 146" bitfld.long 0x218 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x218 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x21C "MAR147,Memory Attribute Register 147" bitfld.long 0x21C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x21C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x220 "MAR148,Memory Attribute Register 148" bitfld.long 0x220 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x220 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x224 "MAR149,Memory Attribute Register 149" bitfld.long 0x224 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x224 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x228 "MAR150,Memory Attribute Register 150" bitfld.long 0x228 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x228 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x22C "MAR151,Memory Attribute Register 151" bitfld.long 0x22C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x22C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x230 "MAR152,Memory Attribute Register 152" bitfld.long 0x230 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x230 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x234 "MAR153,Memory Attribute Register 153" bitfld.long 0x234 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x234 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x238 "MAR154,Memory Attribute Register 154" bitfld.long 0x238 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x238 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x23C "MAR155,Memory Attribute Register 155" bitfld.long 0x23C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x23C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x240 "MAR156,Memory Attribute Register 156" bitfld.long 0x240 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x240 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x244 "MAR157,Memory Attribute Register 157" bitfld.long 0x244 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x244 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x248 "MAR158,Memory Attribute Register 158" bitfld.long 0x248 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x248 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x24C "MAR159,Memory Attribute Register 159" bitfld.long 0x24C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x24C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x250 "MAR160,Memory Attribute Register 160" bitfld.long 0x250 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x250 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x254 "MAR161,Memory Attribute Register 161" bitfld.long 0x254 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x254 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x258 "MAR162,Memory Attribute Register 162" bitfld.long 0x258 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x258 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x25C "MAR163,Memory Attribute Register 163" bitfld.long 0x25C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x25C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x260 "MAR164,Memory Attribute Register 164" bitfld.long 0x260 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x260 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x264 "MAR165,Memory Attribute Register 165" bitfld.long 0x264 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x264 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x268 "MAR166,Memory Attribute Register 166" bitfld.long 0x268 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x268 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x26C "MAR167,Memory Attribute Register 167" bitfld.long 0x26C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x26C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x270 "MAR168,Memory Attribute Register 168" bitfld.long 0x270 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x270 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x274 "MAR169,Memory Attribute Register 169" bitfld.long 0x274 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x274 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x278 "MAR170,Memory Attribute Register 170" bitfld.long 0x278 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x278 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x27C "MAR171,Memory Attribute Register 171" bitfld.long 0x27C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x27C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x280 "MAR172,Memory Attribute Register 172" bitfld.long 0x280 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x280 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x284 "MAR173,Memory Attribute Register 173" bitfld.long 0x284 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x284 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x288 "MAR174,Memory Attribute Register 174" bitfld.long 0x288 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x288 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x28C "MAR175,Memory Attribute Register 175" bitfld.long 0x28C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x28C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x290 "MAR176,Memory Attribute Register 176" bitfld.long 0x290 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x290 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x294 "MAR177,Memory Attribute Register 177" bitfld.long 0x294 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x294 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x298 "MAR178,Memory Attribute Register 178" bitfld.long 0x298 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x298 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x29C "MAR179,Memory Attribute Register 179" bitfld.long 0x29C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x29C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2A0 "MAR180,Memory Attribute Register 180" bitfld.long 0x2A0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2A0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2A4 "MAR181,Memory Attribute Register 181" bitfld.long 0x2A4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2A4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2A8 "MAR182,Memory Attribute Register 182" bitfld.long 0x2A8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2A8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2AC "MAR183,Memory Attribute Register 183" bitfld.long 0x2AC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2AC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2B0 "MAR184,Memory Attribute Register 184" bitfld.long 0x2B0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2B0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2B4 "MAR185,Memory Attribute Register 185" bitfld.long 0x2B4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2B4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2B8 "MAR186,Memory Attribute Register 186" bitfld.long 0x2B8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2B8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2BC "MAR187,Memory Attribute Register 187" bitfld.long 0x2BC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2BC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2C0 "MAR188,Memory Attribute Register 188" bitfld.long 0x2C0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2C0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2C4 "MAR189,Memory Attribute Register 189" bitfld.long 0x2C4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2C4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2C8 "MAR190,Memory Attribute Register 190" bitfld.long 0x2C8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2C8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2CC "MAR191,Memory Attribute Register 191" bitfld.long 0x2CC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2CC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2D0 "MAR192,Memory Attribute Register 192" bitfld.long 0x2D0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2D0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2D4 "MAR193,Memory Attribute Register 193" bitfld.long 0x2D4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2D4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2D8 "MAR194,Memory Attribute Register 194" bitfld.long 0x2D8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2D8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2DC "MAR195,Memory Attribute Register 195" bitfld.long 0x2DC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2DC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2E0 "MAR196,Memory Attribute Register 196" bitfld.long 0x2E0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2E0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2E4 "MAR197,Memory Attribute Register 197" bitfld.long 0x2E4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2E4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2E8 "MAR198,Memory Attribute Register 198" bitfld.long 0x2E8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2E8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2EC "MAR199,Memory Attribute Register 199" bitfld.long 0x2EC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2EC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2F0 "MAR200,Memory Attribute Register 200" bitfld.long 0x2F0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2F0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2F4 "MAR201,Memory Attribute Register 201" bitfld.long 0x2F4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2F4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2F8 "MAR202,Memory Attribute Register 202" bitfld.long 0x2F8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2F8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x2FC "MAR203,Memory Attribute Register 203" bitfld.long 0x2FC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x2FC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x300 "MAR204,Memory Attribute Register 204" bitfld.long 0x300 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x300 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x304 "MAR205,Memory Attribute Register 205" bitfld.long 0x304 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x304 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x308 "MAR206,Memory Attribute Register 206" bitfld.long 0x308 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x308 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x30C "MAR207,Memory Attribute Register 207" bitfld.long 0x30C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x30C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x310 "MAR208,Memory Attribute Register 208" bitfld.long 0x310 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x310 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x314 "MAR209,Memory Attribute Register 209" bitfld.long 0x314 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x314 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x318 "MAR210,Memory Attribute Register 210" bitfld.long 0x318 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x318 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x31C "MAR211,Memory Attribute Register 211" bitfld.long 0x31C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x31C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x320 "MAR212,Memory Attribute Register 212" bitfld.long 0x320 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x320 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x324 "MAR213,Memory Attribute Register 213" bitfld.long 0x324 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x324 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x328 "MAR214,Memory Attribute Register 214" bitfld.long 0x328 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x328 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x32C "MAR215,Memory Attribute Register 215" bitfld.long 0x32C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x32C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x330 "MAR216,Memory Attribute Register 216" bitfld.long 0x330 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x330 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x334 "MAR217,Memory Attribute Register 217" bitfld.long 0x334 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x334 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x338 "MAR218,Memory Attribute Register 218" bitfld.long 0x338 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x338 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x33C "MAR219,Memory Attribute Register 219" bitfld.long 0x33C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x33C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x340 "MAR220,Memory Attribute Register 220" bitfld.long 0x340 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x340 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x344 "MAR221,Memory Attribute Register 221" bitfld.long 0x344 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x344 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x348 "MAR222,Memory Attribute Register 222" bitfld.long 0x348 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x348 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x34C "MAR223,Memory Attribute Register 223" bitfld.long 0x34C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x34C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x350 "MAR224,Memory Attribute Register 224" bitfld.long 0x350 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x350 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x354 "MAR225,Memory Attribute Register 225" bitfld.long 0x354 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x354 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x358 "MAR226,Memory Attribute Register 226" bitfld.long 0x358 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x358 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x35C "MAR227,Memory Attribute Register 227" bitfld.long 0x35C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x35C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x360 "MAR228,Memory Attribute Register 228" bitfld.long 0x360 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x360 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x364 "MAR229,Memory Attribute Register 229" bitfld.long 0x364 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x364 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x368 "MAR230,Memory Attribute Register 230" bitfld.long 0x368 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x368 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x36C "MAR231,Memory Attribute Register 231" bitfld.long 0x36C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x36C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x370 "MAR232,Memory Attribute Register 232" bitfld.long 0x370 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x370 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x374 "MAR233,Memory Attribute Register 233" bitfld.long 0x374 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x374 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x378 "MAR234,Memory Attribute Register 234" bitfld.long 0x378 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x378 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x37C "MAR235,Memory Attribute Register 235" bitfld.long 0x37C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x37C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x380 "MAR236,Memory Attribute Register 236" bitfld.long 0x380 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x380 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x384 "MAR237,Memory Attribute Register 237" bitfld.long 0x384 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x384 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x388 "MAR238,Memory Attribute Register 238" bitfld.long 0x388 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x388 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x38C "MAR239,Memory Attribute Register 239" bitfld.long 0x38C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x38C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x390 "MAR240,Memory Attribute Register 240" bitfld.long 0x390 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x390 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x394 "MAR241,Memory Attribute Register 241" bitfld.long 0x394 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x394 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x398 "MAR242,Memory Attribute Register 242" bitfld.long 0x398 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x398 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x39C "MAR243,Memory Attribute Register 243" bitfld.long 0x39C 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x39C 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3A0 "MAR244,Memory Attribute Register 244" bitfld.long 0x3A0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3A0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3A4 "MAR245,Memory Attribute Register 245" bitfld.long 0x3A4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3A4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3A8 "MAR246,Memory Attribute Register 246" bitfld.long 0x3A8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3A8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3AC "MAR247,Memory Attribute Register 247" bitfld.long 0x3AC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3AC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3B0 "MAR248,Memory Attribute Register 248" bitfld.long 0x3B0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3B0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3B4 "MAR249,Memory Attribute Register 249" bitfld.long 0x3B4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3B4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3B8 "MAR250,Memory Attribute Register 250" bitfld.long 0x3B8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3B8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3BC "MAR251,Memory Attribute Register 251" bitfld.long 0x3BC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3BC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3C0 "MAR252,Memory Attribute Register 252" bitfld.long 0x3C0 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3C0 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3C4 "MAR253,Memory Attribute Register 253" bitfld.long 0x3C4 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3C4 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3C8 "MAR254,Memory Attribute Register 254" bitfld.long 0x3C8 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3C8 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" line.long 0x3CC "MAR255,Memory Attribute Register 255" bitfld.long 0x3CC 3. " PFS ,Enables/disables the prefetchability of the affected address range" "Not prefetchable,Prefetchable" bitfld.long 0x3CC 0. " PC ,Permit Copies field enables/disables the cacheability of the affected address range" "Not cacheable,Cacheable" tree.end width 10. base d:0x0184a000 tree "Memory Protection Page Attribute Registers" group.long 0x200++0x7f line.long 0x0 "L2MPPA0,Level 2 Memory Protection Page Attribute Register 0" bitfld.long 0x0 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x0 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x0 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x0 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x0 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x0 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x0 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x0 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x0 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x0 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x0 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x0 2. " UR ,User read access type" "Normal,User" bitfld.long 0x0 1. " UW ,User write access type" "Normal,User" bitfld.long 0x0 0. " UX ,User execute access type" "Normal,User" line.long 0x4 "L2MPPA1,Level 2 Memory Protection Page Attribute Register 1" bitfld.long 0x4 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x4 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x4 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x4 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x4 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x4 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x4 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x4 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x4 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x4 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x4 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x4 2. " UR ,User read access type" "Normal,User" bitfld.long 0x4 1. " UW ,User write access type" "Normal,User" bitfld.long 0x4 0. " UX ,User execute access type" "Normal,User" line.long 0x8 "L2MPPA2,Level 2 Memory Protection Page Attribute Register 2" bitfld.long 0x8 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x8 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x8 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x8 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x8 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x8 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x8 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x8 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x8 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x8 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x8 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x8 2. " UR ,User read access type" "Normal,User" bitfld.long 0x8 1. " UW ,User write access type" "Normal,User" bitfld.long 0x8 0. " UX ,User execute access type" "Normal,User" line.long 0xC "L2MPPA3,Level 2 Memory Protection Page Attribute Register 3" bitfld.long 0xC 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0xC 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0xC 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0xC 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0xC 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0xC 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0xC 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0xC 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0xC 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0xC 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0xC 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0xC 2. " UR ,User read access type" "Normal,User" bitfld.long 0xC 1. " UW ,User write access type" "Normal,User" bitfld.long 0xC 0. " UX ,User execute access type" "Normal,User" line.long 0x10 "L2MPPA4,Level 2 Memory Protection Page Attribute Register 4" bitfld.long 0x10 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x10 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x10 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x10 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x10 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x10 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x10 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x10 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x10 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x10 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x10 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x10 2. " UR ,User read access type" "Normal,User" bitfld.long 0x10 1. " UW ,User write access type" "Normal,User" bitfld.long 0x10 0. " UX ,User execute access type" "Normal,User" line.long 0x14 "L2MPPA5,Level 2 Memory Protection Page Attribute Register 5" bitfld.long 0x14 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x14 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x14 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x14 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x14 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x14 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x14 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x14 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x14 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x14 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x14 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x14 2. " UR ,User read access type" "Normal,User" bitfld.long 0x14 1. " UW ,User write access type" "Normal,User" bitfld.long 0x14 0. " UX ,User execute access type" "Normal,User" line.long 0x18 "L2MPPA6,Level 2 Memory Protection Page Attribute Register 6" bitfld.long 0x18 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x18 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x18 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x18 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x18 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x18 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x18 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x18 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x18 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x18 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x18 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x18 2. " UR ,User read access type" "Normal,User" bitfld.long 0x18 1. " UW ,User write access type" "Normal,User" bitfld.long 0x18 0. " UX ,User execute access type" "Normal,User" line.long 0x1C "L2MPPA7,Level 2 Memory Protection Page Attribute Register 7" bitfld.long 0x1C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x1C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x1C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x1C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x1C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x1C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x1C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x1C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x1C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x1C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x1C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x1C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x1C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x1C 0. " UX ,User execute access type" "Normal,User" line.long 0x20 "L2MPPA8,Level 2 Memory Protection Page Attribute Register 8" bitfld.long 0x20 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x20 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x20 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x20 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x20 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x20 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x20 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x20 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x20 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x20 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x20 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x20 2. " UR ,User read access type" "Normal,User" bitfld.long 0x20 1. " UW ,User write access type" "Normal,User" bitfld.long 0x20 0. " UX ,User execute access type" "Normal,User" line.long 0x24 "L2MPPA9,Level 2 Memory Protection Page Attribute Register 9" bitfld.long 0x24 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x24 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x24 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x24 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x24 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x24 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x24 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x24 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x24 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x24 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x24 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x24 2. " UR ,User read access type" "Normal,User" bitfld.long 0x24 1. " UW ,User write access type" "Normal,User" bitfld.long 0x24 0. " UX ,User execute access type" "Normal,User" line.long 0x28 "L2MPPA10,Level 2 Memory Protection Page Attribute Register 10" bitfld.long 0x28 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x28 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x28 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x28 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x28 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x28 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x28 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x28 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x28 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x28 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x28 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x28 2. " UR ,User read access type" "Normal,User" bitfld.long 0x28 1. " UW ,User write access type" "Normal,User" bitfld.long 0x28 0. " UX ,User execute access type" "Normal,User" line.long 0x2C "L2MPPA11,Level 2 Memory Protection Page Attribute Register 11" bitfld.long 0x2C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x2C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x2C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x2C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x2C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x2C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x2C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x2C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x2C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x2C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x2C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x2C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x2C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x2C 0. " UX ,User execute access type" "Normal,User" line.long 0x30 "L2MPPA12,Level 2 Memory Protection Page Attribute Register 12" bitfld.long 0x30 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x30 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x30 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x30 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x30 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x30 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x30 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x30 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x30 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x30 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x30 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x30 2. " UR ,User read access type" "Normal,User" bitfld.long 0x30 1. " UW ,User write access type" "Normal,User" bitfld.long 0x30 0. " UX ,User execute access type" "Normal,User" line.long 0x34 "L2MPPA13,Level 2 Memory Protection Page Attribute Register 13" bitfld.long 0x34 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x34 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x34 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x34 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x34 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x34 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x34 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x34 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x34 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x34 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x34 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x34 2. " UR ,User read access type" "Normal,User" bitfld.long 0x34 1. " UW ,User write access type" "Normal,User" bitfld.long 0x34 0. " UX ,User execute access type" "Normal,User" line.long 0x38 "L2MPPA14,Level 2 Memory Protection Page Attribute Register 14" bitfld.long 0x38 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x38 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x38 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x38 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x38 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x38 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x38 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x38 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x38 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x38 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x38 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x38 2. " UR ,User read access type" "Normal,User" bitfld.long 0x38 1. " UW ,User write access type" "Normal,User" bitfld.long 0x38 0. " UX ,User execute access type" "Normal,User" line.long 0x3C "L2MPPA15,Level 2 Memory Protection Page Attribute Register 15" bitfld.long 0x3C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x3C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x3C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x3C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x3C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x3C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x3C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x3C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x3C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x3C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x3C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x3C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x3C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x3C 0. " UX ,User execute access type" "Normal,User" line.long 0x40 "L2MPPA16,Level 2 Memory Protection Page Attribute Register 16" bitfld.long 0x40 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x40 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x40 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x40 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x40 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x40 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x40 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x40 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x40 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x40 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x40 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x40 2. " UR ,User read access type" "Normal,User" bitfld.long 0x40 1. " UW ,User write access type" "Normal,User" bitfld.long 0x40 0. " UX ,User execute access type" "Normal,User" line.long 0x44 "L2MPPA17,Level 2 Memory Protection Page Attribute Register 17" bitfld.long 0x44 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x44 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x44 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x44 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x44 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x44 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x44 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x44 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x44 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x44 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x44 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x44 2. " UR ,User read access type" "Normal,User" bitfld.long 0x44 1. " UW ,User write access type" "Normal,User" bitfld.long 0x44 0. " UX ,User execute access type" "Normal,User" line.long 0x48 "L2MPPA18,Level 2 Memory Protection Page Attribute Register 18" bitfld.long 0x48 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x48 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x48 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x48 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x48 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x48 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x48 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x48 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x48 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x48 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x48 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x48 2. " UR ,User read access type" "Normal,User" bitfld.long 0x48 1. " UW ,User write access type" "Normal,User" bitfld.long 0x48 0. " UX ,User execute access type" "Normal,User" line.long 0x4C "L2MPPA19,Level 2 Memory Protection Page Attribute Register 19" bitfld.long 0x4C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x4C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x4C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x4C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x4C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x4C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x4C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x4C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x4C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x4C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x4C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x4C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x4C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x4C 0. " UX ,User execute access type" "Normal,User" line.long 0x50 "L2MPPA20,Level 2 Memory Protection Page Attribute Register 20" bitfld.long 0x50 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x50 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x50 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x50 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x50 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x50 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x50 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x50 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x50 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x50 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x50 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x50 2. " UR ,User read access type" "Normal,User" bitfld.long 0x50 1. " UW ,User write access type" "Normal,User" bitfld.long 0x50 0. " UX ,User execute access type" "Normal,User" line.long 0x54 "L2MPPA21,Level 2 Memory Protection Page Attribute Register 21" bitfld.long 0x54 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x54 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x54 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x54 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x54 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x54 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x54 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x54 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x54 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x54 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x54 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x54 2. " UR ,User read access type" "Normal,User" bitfld.long 0x54 1. " UW ,User write access type" "Normal,User" bitfld.long 0x54 0. " UX ,User execute access type" "Normal,User" line.long 0x58 "L2MPPA22,Level 2 Memory Protection Page Attribute Register 22" bitfld.long 0x58 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x58 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x58 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x58 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x58 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x58 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x58 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x58 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x58 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x58 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x58 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x58 2. " UR ,User read access type" "Normal,User" bitfld.long 0x58 1. " UW ,User write access type" "Normal,User" bitfld.long 0x58 0. " UX ,User execute access type" "Normal,User" line.long 0x5C "L2MPPA23,Level 2 Memory Protection Page Attribute Register 23" bitfld.long 0x5C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x5C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x5C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x5C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x5C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x5C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x5C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x5C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x5C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x5C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x5C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x5C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x5C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x5C 0. " UX ,User execute access type" "Normal,User" line.long 0x60 "L2MPPA24,Level 2 Memory Protection Page Attribute Register 24" bitfld.long 0x60 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x60 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x60 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x60 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x60 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x60 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x60 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x60 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x60 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x60 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x60 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x60 2. " UR ,User read access type" "Normal,User" bitfld.long 0x60 1. " UW ,User write access type" "Normal,User" bitfld.long 0x60 0. " UX ,User execute access type" "Normal,User" line.long 0x64 "L2MPPA25,Level 2 Memory Protection Page Attribute Register 25" bitfld.long 0x64 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x64 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x64 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x64 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x64 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x64 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x64 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x64 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x64 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x64 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x64 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x64 2. " UR ,User read access type" "Normal,User" bitfld.long 0x64 1. " UW ,User write access type" "Normal,User" bitfld.long 0x64 0. " UX ,User execute access type" "Normal,User" line.long 0x68 "L2MPPA26,Level 2 Memory Protection Page Attribute Register 26" bitfld.long 0x68 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x68 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x68 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x68 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x68 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x68 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x68 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x68 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x68 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x68 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x68 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x68 2. " UR ,User read access type" "Normal,User" bitfld.long 0x68 1. " UW ,User write access type" "Normal,User" bitfld.long 0x68 0. " UX ,User execute access type" "Normal,User" line.long 0x6C "L2MPPA27,Level 2 Memory Protection Page Attribute Register 27" bitfld.long 0x6C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x6C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x6C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x6C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x6C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x6C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x6C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x6C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x6C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x6C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x6C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x6C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x6C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x6C 0. " UX ,User execute access type" "Normal,User" line.long 0x70 "L2MPPA28,Level 2 Memory Protection Page Attribute Register 28" bitfld.long 0x70 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x70 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x70 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x70 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x70 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x70 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x70 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x70 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x70 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x70 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x70 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x70 2. " UR ,User read access type" "Normal,User" bitfld.long 0x70 1. " UW ,User write access type" "Normal,User" bitfld.long 0x70 0. " UX ,User execute access type" "Normal,User" line.long 0x74 "L2MPPA29,Level 2 Memory Protection Page Attribute Register 29" bitfld.long 0x74 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x74 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x74 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x74 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x74 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x74 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x74 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x74 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x74 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x74 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x74 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x74 2. " UR ,User read access type" "Normal,User" bitfld.long 0x74 1. " UW ,User write access type" "Normal,User" bitfld.long 0x74 0. " UX ,User execute access type" "Normal,User" line.long 0x78 "L2MPPA30,Level 2 Memory Protection Page Attribute Register 30" bitfld.long 0x78 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x78 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x78 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x78 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x78 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x78 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x78 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x78 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x78 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x78 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x78 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x78 2. " UR ,User read access type" "Normal,User" bitfld.long 0x78 1. " UW ,User write access type" "Normal,User" bitfld.long 0x78 0. " UX ,User execute access type" "Normal,User" line.long 0x7C "L2MPPA31,Level 2 Memory Protection Page Attribute Register 31" bitfld.long 0x7C 15. " AID5 ,Controls access from ID = 5" "Denied,Granted" bitfld.long 0x7C 14. " AID4 ,Controls access from ID = 4" "Denied,Granted" bitfld.long 0x7C 13. " AID3 ,Controls access from ID = 3 " "Denied,Granted" textline " " bitfld.long 0x7C 12. " AID2 ,Controls access from ID = 2" "Denied,Granted" bitfld.long 0x7C 11. " AID1 ,Controls access from ID = 1" "Denied,Granted" bitfld.long 0x7C 10. " AID0 ,Controls access from ID = 0" "Denied,Granted" textline " " bitfld.long 0x7C 9. " AIDX ,Controls ID >=6" "Denied,Granted" bitfld.long 0x7C 8. " LOCAL ,Controls access from CPU to local memories" "Denied,Granted" textline " " bitfld.long 0x7C 5. " SR ,Supervisor read access type" "Normal,Supervisor" bitfld.long 0x7C 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x7C 3. " SX ,Supervisor execute access type" "Normal,Supervisor" textline " " bitfld.long 0x7C 2. " UR ,User read access type" "Normal,User" bitfld.long 0x7C 1. " UW ,User write access type" "Normal,User" bitfld.long 0x7C 0. " UX ,User execute access type" "Normal,User" tree.end width 9. rgroup.long 0x000++0x7 "Memory Protection Fault Registers" line.long 0x00 "L2MPFAR,Level 2 Memory Protection Fault Address Register" hexmask.long 0x00 0.--31. 1. " FA ,Fault Address" line.long 0x04 "L2MPFSR,Level 2 Memory Protection Fault Set Register" hexmask.long.byte 0x04 9.--15. 1. " FID ,Bit 6:0 of ID of faulting requestor" bitfld.long 0x04 8. " LOCAL ,Local access" "Normal,Supervisor" bitfld.long 0x04 5. " SR ,Supervisor read access type" "Normal,Supervisor" textline " " bitfld.long 0x04 4. " SW ,Supervisor write access type" "Normal,Supervisor" bitfld.long 0x04 2. " UR ,User read access type" "Normal,User" bitfld.long 0x04 1. " UW ,User write access type" "Normal,User" group.long 0x008++0x3 line.long 0x00 "L2MPFCR,Level 2 Memory Protection Fault Clear Register" eventfld.long 0x00 0. " MPFCLR ,Clear L1DMPFAR and L1DMPFCR" "No effect,Clear" width 12. wgroup.long 0x100++0xf "Memory Protection Lock Registers" line.long 0x00 "L2MPLK0,Level 2 Memory Protection Lock 0" hexmask.long 0x00 0.--31. 1. " LB ,Lock Bits 31:0" line.long 0x04 "L2MPLK1,Level 2 Memory Protection Lock 1" hexmask.long 0x04 0.--31. 1. " LB ,Lock Bits 63:32" line.long 0x08 "L2MPLK2,Level 2 Memory Protection Lock 2" hexmask.long 0x08 0.--31. 1. " LB ,Lock Bits 95:64" line.long 0x0c "L2MPLK3,Level 2 Memory Protection Lock 3" hexmask.long 0x0c 0.--31. 1. " LB ,Lock Bits 127:96" wgroup.long 0x110++0x3 line.long 0x00 "L2MPLKCMD,Level 2 Memory Protection Lock Command Register" bitfld.long 0x00 2. " KEYR ,Reset status" "No effect,Reset" bitfld.long 0x00 1. " LOCK ,Interface to complete a lock sequence" "No effect,Locked" bitfld.long 0x00 0. " UNLOCK ,Interface to complete an unlock sequence" "No effect,Unlocked" rgroup.long 0x114++0x3 line.long 0x00 "L2MPLKSTAT,Level 2 Memory Protection Lock Status Register" bitfld.long 0x00 0. " LK ,Indicates the lock's current status" "Disengaged,Engaged" AUTOINDENT.ON right tree base d:0x01846000 rgroup.long 0x4++0x3 "Error Detection Registers" line.long 0x0 "L2EDSTAT,L2 Error Detection Status Register" decmask.long.byte 0x0 16.--23. "BITPOS,Single Bit error position" bitfld.long 0x0 8.--9. "NERR" "Single Bit error,Double Bit error,,Error in parity value" newline bitfld.long 0x0 7. "VERR,Error occurred on L2 victims" "False,True" bitfld.long 0x0 6. "DMAERR,DMA/IDMA access to L1P memory resulted in parity check error" "False,True" bitfld.long 0x0 5. "PERR,Program fetch resulted in parity check error" "False,True" newline bitfld.long 0x0 3. "SUSP,Error detection logic is suspended" "False,True" bitfld.long 0x0 2. "DIS,Error detection logic is disabled" "False,True" bitfld.long 0x0 0. "EN,Error detection logic is enabled" "False,True" group.long 0x8++0x3 line.long 0x0 "L2EDCMD, L2 Error Detection Command Register" bitfld.long 0x0 7. "VCLR,Clears the victim parity error status" "No effect,Clear" bitfld.long 0x0 6. "DMACLR,Clears the DMA/IDMA read parity error status" "No effect,Clear" bitfld.long 0x0 5. "PCLR,Clears the program fetch parity error status" "No effect,Clear" bitfld.long 0x0 4. "DCLR,Clears the data fetch parity error status" "No effect,Clear" newline bitfld.long 0x0 3. "SUSP,Suspends the error detection logic" "No effect,Suspend" bitfld.long 0x0 2. "DIS,Disables the error detection logic" "No effect,Disable" bitfld.long 0x0 0. "EN,Enables the error detection logic" "No effect,Enable" rgroup.long 0xC++0x3 line.long 0x0 "L2EDADDR,L2 Error Detection Address Register" hexmask.long.long 0x0 5.--31. 32. "ADDR,Address of parity error (5 LSBs assumed to be 00000b)" bitfld.long 0x0 8.--9. "L2WAY,Error detected in Way" "Way 0,Way 1,Way 2,Way 3" bitfld.long 0x0 0. "RAM,Location where error was detected" "L2,RAM" rgroup.long 0x18++0x3 line.long 0x0 "L2EDCPEC,L2 Error Detection Correctable Parity Error Counter Register" hexmask.long.byte 0x0 0.--7. "CNT,Counter value" rgroup.long 0x1C++0x3 line.long 0x0 "L2EDNPEC,L2 Error Detection Non-correctable Parity Error Counter Register" hexmask.long.byte 0x0 0.--7. "CNT,Counter value" group.long 0x30++0x3 line.long 0x0 "L2EDCEN,L2 Error Detection and Correction Enable Register" bitfld.long 0x0 0. "SDMAEN,EDC on SDMA read from L2 RAM" "Disabled,Enabled" bitfld.long 0x0 0. "PL2SEN,EDC on L1P memory controller read from L2 RAM" "Disabled,Enabled" bitfld.long 0x0 0. "DL2SEN,EDC on L1D memory controller read from L2 RAM" "Disabled,Enabled" bitfld.long 0x0 0. "PL2CEN,EDC on L1P memory controller reads from an external address (Hits L2 cache)" "Disabled,Enabled" bitfld.long 0x0 0. "DL2CEN,EDC on L1D memory controller reads from an external address (Hits L2 cache)" "Disabled,Enabled" AUTOINDENT.OFF width 0xb tree.end tree.end tree "IDMA (Internal Direct Memory Access Controller)" width 14. base d:0x01820000 rgroup.long 0x00++0x3 "Channel 0" line.long 0x00 "IDMA0_STAT,IDMA Channel 0 Status Register" bitfld.long 0x00 1. " PEND ,Pending transfer" "Not pending,Pending" bitfld.long 0x00 0. " ACTV ,Active transfer" "Not active,Active" group.long 0x04++0xf line.long 0x00 "IDMA0_MASK,IDMA Channel 0 Mask Register" bitfld.long 0x00 31. " M31 ,Mask bit 31" "Not masked,Masked" bitfld.long 0x00 30. " M30 ,Mask bit 30" "Not masked,Masked" bitfld.long 0x00 29. " M29 ,Mask bit 29" "Not masked,Masked" textline " " bitfld.long 0x00 28. " M28 ,Mask bit 28" "Not masked,Masked" bitfld.long 0x00 27. " M27 ,Mask bit 27" "Not masked,Masked" bitfld.long 0x00 26. " M26 ,Mask bit 26" "Not masked,Masked" textline " " bitfld.long 0x00 25. " M25 ,Mask bit 25" "Not masked,Masked" bitfld.long 0x00 24. " M24 ,Mask bit 24" "Not masked,Masked" bitfld.long 0x00 23. " M23 ,Mask bit 23" "Not masked,Masked" textline " " bitfld.long 0x00 22. " M22 ,Mask bit 22" "Not masked,Masked" bitfld.long 0x00 21. " M21 ,Mask bit 21" "Not masked,Masked" bitfld.long 0x00 20. " M20 ,Mask bit 20" "Not masked,Masked" textline " " bitfld.long 0x00 19. " M19 ,Mask bit 19" "Not masked,Masked" bitfld.long 0x00 18. " M18 ,Mask bit 18" "Not masked,Masked" bitfld.long 0x00 17. " M17 ,Mask bit 17" "Not masked,Masked" textline " " bitfld.long 0x00 16. " M16 ,Mask bit 16" "Not masked,Masked" bitfld.long 0x00 15. " M15 ,Mask bit 15" "Not masked,Masked" bitfld.long 0x00 14. " M14 ,Mask bit 14" "Not masked,Masked" textline " " bitfld.long 0x00 13. " M13 ,Mask bit 13" "Not masked,Masked" bitfld.long 0x00 12. " M12 ,Mask bit 12" "Not masked,Masked" bitfld.long 0x00 11. " M11 ,Mask bit 11" "Not masked,Masked" textline " " bitfld.long 0x00 10. " M10 ,Mask bit 10" "Not masked,Masked" bitfld.long 0x00 9. " M9 ,Mask bit 9" "Not masked,Masked" bitfld.long 0x00 8. " M8 ,Mask bit 8" "Not masked,Masked" textline " " bitfld.long 0x00 7. " M7 ,Mask bit 7" "Not masked,Masked" bitfld.long 0x00 6. " M6 ,Mask bit 6" "Not masked,Masked" bitfld.long 0x00 5. " M5 ,Mask bit 5" "Not masked,Masked" textline " " bitfld.long 0x00 4. " M4 ,Mask bit 4" "Not masked,Masked" bitfld.long 0x00 3. " M3 ,Mask bit 3" "Not masked,Masked" bitfld.long 0x00 2. " M2 ,Mask bit 2" "Not masked,Masked" textline " " bitfld.long 0x00 1. " M1 ,Mask bit 1" "Not masked,Masked" bitfld.long 0x00 0. " M0 ,Mask bit 0" "Not masked,Masked" line.long 0x04 "IDMA0_SOURCE,IDMA Channel 0 Source Address Register" hexmask.long 0x04 5.--31. 0x20 " SOURCEADDR ,Source address" line.long 0x08 "IDMA0_DEST,IDMA Channel 0 Destination Address Register" hexmask.long 0x08 5.--31. 0x20 " DESTADDR ,Destination address" line.long 0x0c "IDMA0_COUNT,IDMA Channel 0 Count Register" bitfld.long 0x0c 28. " INT ,CPU interrupt enable" "Disabled,Enabled" bitfld.long 0x0c 0.--3. " COUNT ,4-bit block count" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16" rgroup.long 0x100++0x3 "Channel 1" line.long 0x00 "IDMA1_STAT,IDMA Channel 1 Status Register" bitfld.long 0x00 1. " PEND ,Pending transfer" "Not pending,Pending" bitfld.long 0x00 0. " ACTV ,Active transfer" "Not active,Active" group.long 0x108++0xb line.long 0x00 "IDMA1_SOURCE,IDMA Channel 1 Source Address Register" hexmask.long 0x00 0.--31. 1. " SOURCEADDR ,Source address" line.long 0x04 "IDMA1_DEST,IDMA Channel 1 Destination Address Register" hexmask.long 0x04 2.--31. 0x4 " DESTADDR ,Destination address" line.long 0x08 "IDMA1_COUNT,IDMA Channel 1 Count Register" bitfld.long 0x08 29.--31. " PRI ,Transfer priority" "Highest,1,2,3,4,5,6,Lowest" bitfld.long 0x08 28. " INT ,CPU interrupt enable" "Disabled,Enabled" bitfld.long 0x08 16. " FILL ,Block fill" "0,1" textline " " hexmask.long.word 0x08 0.--15. 1. " COUNT ,Byte count" width 0xb tree.end tree "XMC (Extended Memory Controller)" width 14. AUTOINDENT.ON right tree base d:0x08000000 group.long 0x00++0x7F "XMC MPAX Segment Registers" line.long 0x0 "XMPAXL0,MPAX segment 0 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x0 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x0 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x0 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x0 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x0 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x0 0. "UX,User mode may execute from segment" "False,True" line.long 0x0+0x4 "XMPAXH0,MPAX segment 0 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x0 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x8 "XMPAXL1,MPAX segment 1 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x8 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x8 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x8 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x8 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x8 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x8 0. "UX,User mode may execute from segment" "False,True" line.long 0x8+0x4 "XMPAXH1,MPAX segment 1 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x8 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x10 "XMPAXL2,MPAX segment 2 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x10 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x10 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x10 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x10 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x10 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x10 0. "UX,User mode may execute from segment" "False,True" line.long 0x10+0x4 "XMPAXH2,MPAX segment 2 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x10 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x18 "XMPAXL3,MPAX segment 3 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x18 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x18 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x18 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x18 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x18 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x18 0. "UX,User mode may execute from segment" "False,True" line.long 0x18+0x4 "XMPAXH3,MPAX segment 3 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x18 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x20 "XMPAXL4,MPAX segment 4 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x20 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x20 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x20 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x20 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x20 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x20 0. "UX,User mode may execute from segment" "False,True" line.long 0x20+0x4 "XMPAXH4,MPAX segment 4 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x20 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x28 "XMPAXL5,MPAX segment 5 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x28 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x28 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x28 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x28 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x28 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x28 0. "UX,User mode may execute from segment" "False,True" line.long 0x28+0x4 "XMPAXH5,MPAX segment 5 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x28 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x30 "XMPAXL6,MPAX segment 6 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x30 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x30 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x30 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x30 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x30 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x30 0. "UX,User mode may execute from segment" "False,True" line.long 0x30+0x4 "XMPAXH6,MPAX segment 6 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x30 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x38 "XMPAXL7,MPAX segment 7 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x38 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x38 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x38 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x38 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x38 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x38 0. "UX,User mode may execute from segment" "False,True" line.long 0x38+0x4 "XMPAXH7,MPAX segment 7 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x38 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x40 "XMPAXL8,MPAX segment 8 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x40 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x40 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x40 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x40 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x40 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x40 0. "UX,User mode may execute from segment" "False,True" line.long 0x40+0x4 "XMPAXH8,MPAX segment 8 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x40 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x48 "XMPAXL9,MPAX segment 9 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x48 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x48 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x48 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x48 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x48 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x48 0. "UX,User mode may execute from segment" "False,True" line.long 0x48+0x4 "XMPAXH9,MPAX segment 9 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x48 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x50 "XMPAXL10,MPAX segment 10 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x50 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x50 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x50 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x50 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x50 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x50 0. "UX,User mode may execute from segment" "False,True" line.long 0x50+0x4 "XMPAXH10,MPAX segment 10 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x50 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x58 "XMPAXL11,MPAX segment 11 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x58 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x58 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x58 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x58 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x58 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x58 0. "UX,User mode may execute from segment" "False,True" line.long 0x58+0x4 "XMPAXH11,MPAX segment 11 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x58 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x60 "XMPAXL12,MPAX segment 12 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x60 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x60 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x60 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x60 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x60 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x60 0. "UX,User mode may execute from segment" "False,True" line.long 0x60+0x4 "XMPAXH12,MPAX segment 12 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x60 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x68 "XMPAXL13,MPAX segment 13 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x68 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x68 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x68 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x68 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x68 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x68 0. "UX,User mode may execute from segment" "False,True" line.long 0x68+0x4 "XMPAXH13,MPAX segment 13 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x68 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x70 "XMPAXL14,MPAX segment 14 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x70 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x70 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x70 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x70 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x70 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x70 0. "UX,User mode may execute from segment" "False,True" line.long 0x70+0x4 "XMPAXH14,MPAX segment 14 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x70 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" line.long 0x78 "XMPAXL15,MPAX segment 15 low register" hexmask.long 0x0 8.--31. "RADDR,Bits that replace and extend the upper address bits matched by BADDR" bitfld.long 0x78 5. "SR,Supervisor mode may read from segment" "False,True" bitfld.long 0x78 4. "SW,Supervisor mode may write to segment" "False,True" bitfld.long 0x78 3. "SX,Supervisor mode may execute from segment" "False,True" bitfld.long 0x78 2. "UR,User mode may read from segment" "False,True" bitfld.long 0x78 1. "UW,User mode may write to segment" "False,True" bitfld.long 0x78 0. "UX,User mode may execute from segment" "False,True" line.long 0x78+0x4 "XMPAXH15,MPAX segment 15 high register" hexmask.long 0x0 12.--31. "BADDR,Base Address" bitfld.long 0x78 0.--4. "SEGSZ,Segment size" "Disabled,,,,,,,,,,,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB" textline "" rgroup.long 0x200++0x3 "Memory Protection Fault Reporting Registers" line.long 0. "XMPFAR,Memory Protection Fault Address Register" hexmask.long 0x0 0.--31. "Fault Address,Fault Address" rgroup.long 0x204++0x3 line.long 0. "XMPFSR,Memory Protection Fault Status Register" bitfld.long 0. 8. "LOCAL,Access was a LOCAL access" "False,True" bitfld.long 0. 5. "SR,When set, indicates a supervisor read request" "False,True" bitfld.long 0. 4. "SW,When set, indicates a supervisor write request" "False,True" bitfld.long 0. 3. "SX,When set, indicates a supervisor program fetch request" "False,True" bitfld.long 0. 2. "UR,When set, indicates a user read request" "False,True" bitfld.long 0. 1. "UW,When set, indicates a user write request" "False,True" bitfld.long 0. 0. "UX,When set, indicates a user program fetch request" "False,True" group.long 0x208++0x3 line.long 0. "XMPFCR,Memory Protection Fault Clear Register" bitfld.long 0. 0. "MPFCLR,Clear fault" "No effect,Clear" group.long 0x280++0x3 "Prefetch Priority Register" line.long 0. "MDMAARBX,MDMA Arbitration Priority Register" bitfld.long 0. 16.--18. "PRI,Priority" "0 (highest),1,2,3,4,5,6,7 (lowest)" rgroup.long 0x300++0x3 "Prefetch Buffer Registers" line.long 0. "XPFCMD,Prefetch Command Register" bitfld.long 0. 4. "ACRST,Analysis Counter Reset" "No effect,Reset" hexmask.long.byte 0. 2.--3. "ACEN,Analysis Counter Enable" bitfld.long 0. 1. "ACENL,Analysis Counter ENable (ACEN) Load" "False,True" bitfld.long 0. 0. "INV,Invalidate prefetch buffer contents" "No effect,Invalidate" rgroup.long 0x304++0x3 "Prefetch Buffer Performance Analysis Registers" line.long 0. "XPFACS,Prefetch Analysis Counter Status" rgroup.long 0x310++0xF line.long 0x0 "XPFAC0,Prefetch Analysis Counter 0" line.long 0x4 "XPFAC1,Prefetch Analysis Counter 1" line.long 0x8 "XPFAC2,Prefetch Analysis Counter 2" line.long 0xC "XPFAC3,Prefetch Analysis Counter 3" rgroup.long 0x400++0x1F line.long 0x0 "XPFADDR0,Prefetch Address for Slot 0" line.long 0x4 "XPFADDR1,Prefetch Address for Slot 1" line.long 0x8 "XPFADDR2,Prefetch Address for Slot 2" line.long 0xC "XPFADDR3,Prefetch Address for Slot 3" line.long 0x10 "XPFADDR4,Prefetch Address for Slot 4" line.long 0x14 "XPFADDR5,Prefetch Address for Slot 5" line.long 0x18 "XPFADDR6,Prefetch Address for Slot 6" line.long 0x1C "XPFADDR7,Prefetch Address for Slot 7" AUTOINDENT.OFF width 0xb tree.end tree "Bandwith Management" width 13. base d:0x01841000 group.long 0x40++0xf "L1D" line.long 0x00 "CPUARBD,L1D CPU Arbitration Control Register" bitfld.long 0x00 16.--18. " PRI ,Priority field" "Highest,Priority 1,Priority 2,Priority 3,Priority 4,Priority 5,Priority 6,Lowest" bitfld.long 0x00 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x04 "IDMAARBD,L1D IDMA Arbitration Control Register" bitfld.long 0x04 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x08 "SDMAARBD,L1D Slave DMA Arbitration Control Register" bitfld.long 0x08 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x0c "UCARBD,L1D User Coherence Arbitration Control Register" bitfld.long 0x0c 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." width 13. group.long 0x00++0xf "L2" line.long 0x00 "CPUARBU,L2D CPU Arbitration Control Register" bitfld.long 0x00 16.--18. " PRI ,Priority field" "Highest,Priority 1,Priority 2,Priority 3,Priority 4,Priority 5,Priority 6,Lowest" bitfld.long 0x00 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x04 "IDMAARBU,L1D IDMA Arbitration Control Register" bitfld.long 0x04 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x08 "SDMAARBU,L1D Slave DMA Arbitration Control Register" bitfld.long 0x08 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x0c "UCARBU,L1D User Coherence Arbitration Control Register" bitfld.long 0x0c 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." width 13. base d:0x01820000 group.long 0x200++0xf "EMC" line.long 0x00 "CPUARBE,EMC CPU Arbitration Control Register" bitfld.long 0x00 16.--18. " PRI ,Priority field" "Highest,Priority 1,Priority 2,Priority 3,Priority 4,Priority 5,Priority 6,Lowest" bitfld.long 0x00 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x04 "IDMAARBE,EMC IDMA Arbitration Control Register" bitfld.long 0x04 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x08 "SDMAARBE,EMC Slave DMA Arbitration Control Register" bitfld.long 0x08 0.--5. " MAXWAIT ,Maximum wait time in EMC cycles" "Highest,1 cycle,2 cycles,,4 cycles,,,,8 cycles,,,,,,,,16 cycles,,,,,,,,,,,,,,,,32 cycles,..." line.long 0x0c "MDMAARBE,EMC Master DMA Arbitration Control Register" bitfld.long 0x0c 16.--18. " PRI ,Priority field" "Highest,Priority 1,Priority 2,Priority 3,Priority 4,Priority 5,Priority 6,Lowest" width 0xb tree.end tree "Interrupt Controller" width 11. base d:0x01800000 group.long 0x00++0xf line.long 0x00 "EVTFLAG0,Event Flag Register 0" setclrfld.long 0x00 14. 0x20 14. 0x40 14. " EF14_set/clr ,State of event EVT14" "Not occurred,Occurred" setclrfld.long 0x00 13. 0x20 13. 0x40 13. " EF13_set/clr ,State of event EVT13" "Not occurred,Occurred" textline " " setclrfld.long 0x00 12. 0x20 12. 0x40 12. " EF12_set/clr ,State of event EVT12" "Not occurred,Occurred" setclrfld.long 0x00 11. 0x20 11. 0x40 11. " EF11_set/clr ,State of event EVT11" "Not occurred,Occurred" textline " " setclrfld.long 0x00 9. 0x20 9. 0x40 9. " EF9_set/clr ,State of event EVT9" "Not occurred,Occurred" setclrfld.long 0x00 8. 0x20 8. 0x40 8. " EF8_set/clr ,State of event EVT8" "Not occurred,Occurred" textline " " setclrfld.long 0x00 7. 0x20 7. 0x40 7. " EF7_set/clr ,State of event EVT7" "Not occurred,Occurred" setclrfld.long 0x00 6. 0x20 6. 0x40 6. " EF6_set/clr ,State of event EVT6" "Not occurred,Occurred" textline " " setclrfld.long 0x00 5. 0x20 5. 0x40 5. " EF5_set/clr ,State of event EVT5" "Not occurred,Occurred" setclrfld.long 0x00 4. 0x20 4. 0x40 4. " EF4_set/clr ,State of event EVT4" "Not occurred,Occurred" textline " " setclrfld.long 0x00 3. 0x20 3. 0x40 3. " EF3_set/clr ,State of event EVT3" "Not occurred,Occurred" setclrfld.long 0x00 2. 0x20 2. 0x40 2. " EF2_set/clr ,State of event EVT2" "Not occurred,Occurred" textline " " setclrfld.long 0x00 1. 0x20 1. 0x40 1. " EF1_set/clr ,State of event EVT1" "Not occurred,Occurred" setclrfld.long 0x00 0. 0x20 0. 0x40 0. " EF0_set/clr ,State of event EVT0" "Not occurred,Occurred" line.long 0x04 "EVTFLAG1,Event Flag Register 1" setclrfld.long 0x04 28. 0x24 28. 0x44 28. " EF60_set/clr ,State of event EVT60" "Not occurred,Occurred" setclrfld.long 0x04 27. 0x24 27. 0x44 27. " EF59_set/clr ,State of event EVT59" "Not occurred,Occurred" textline " " setclrfld.long 0x04 24. 0x24 24. 0x44 24. " EF56_set/clr ,State of event EVT56" "Not occurred,Occurred" setclrfld.long 0x04 23. 0x24 23. 0x44 23. " EF55_set/clr ,State of event EVT55" "Not occurred,Occurred" textline " " setclrfld.long 0x04 22. 0x24 22. 0x44 22. " EF54_set/clr ,State of event EVT54" "Not occurred,Occurred" setclrfld.long 0x04 21. 0x24 21. 0x44 21. " EF53_set/clr ,State of event EVT53" "Not occurred,Occurred" textline " " setclrfld.long 0x04 19. 0x24 19. 0x44 19. " EF51_set/clr ,State of event EVT51" "Not occurred,Occurred" setclrfld.long 0x04 18. 0x24 18. 0x44 18. " EF50_set/clr ,State of event EVT50" "Not occurred,Occurred" textline " " setclrfld.long 0x04 17. 0x24 17. 0x44 17. " EF49_set/clr ,State of event EVT49" "Not occurred,Occurred" setclrfld.long 0x04 16. 0x24 16. 0x44 16. " EF48_set/clr ,State of event EVT48" "Not occurred,Occurred" textline " " setclrfld.long 0x04 15. 0x24 15. 0x44 15. " EF47_set/clr ,State of event EVT47" "Not occurred,Occurred" setclrfld.long 0x04 11. 0x24 11. 0x44 11. " EF43_set/clr ,State of event EVT43" "Not occurred,Occurred" textline " " setclrfld.long 0x04 9. 0x24 9. 0x44 9. " EF41_set/clr ,State of event EVT41" "Not occurred,Occurred" setclrfld.long 0x04 8. 0x24 8. 0x44 8. " EF40_set/clr ,State of event EVT40" "Not occurred,Occurred" textline " " setclrfld.long 0x04 7. 0x24 7. 0x44 7. " EF39_set/clr ,State of event EVT39" "Not occurred,Occurred" setclrfld.long 0x04 6. 0x24 6. 0x44 6. " EF38_set/clr ,State of event EVT38" "Not occurred,Occurred" textline " " setclrfld.long 0x04 5. 0x24 5. 0x44 5. " EF37_set/clr ,State of event EVT37" "Not occurred,Occurred" setclrfld.long 0x04 4. 0x24 4. 0x44 4. " EF36_set/clr ,State of event EVT36" "Not occurred,Occurred" textline " " setclrfld.long 0x04 3. 0x24 3. 0x44 3. " EF35_set/clr ,State of event EVT35" "Not occurred,Occurred" setclrfld.long 0x04 2. 0x24 2. 0x44 2. " EF34_set/clr ,State of event EVT34" "Not occurred,Occurred" line.long 0x08 "EVTFLAG2,Event Flag Register 2" setclrfld.long 0x08 21. 0x28 21. 0x48 21. " EF85_set/clr ,State of event EVT85" "Not occurred,Occurred" setclrfld.long 0x08 20. 0x28 20. 0x48 20. " EF84_set/clr ,State of event EVT84" "Not occurred,Occurred" textline " " setclrfld.long 0x08 19. 0x28 19. 0x48 19. " EF83_set/clr ,State of event EVT83" "Not occurred,Occurred" setclrfld.long 0x08 18. 0x28 18. 0x48 18. " EF82_set/clr ,State of event EVT82" "Not occurred,Occurred" textline " " setclrfld.long 0x08 17. 0x28 17. 0x48 17. " EF81_set/clr ,State of event EVT81" "Not occurred,Occurred" setclrfld.long 0x08 16. 0x28 16. 0x48 16. " EF80_set/clr ,State of event EVT80" "Not occurred,Occurred" textline " " setclrfld.long 0x08 14. 0x28 14. 0x48 14. " EF78_set/clr ,State of event EVT78" "Not occurred,Occurred" setclrfld.long 0x08 13. 0x28 13. 0x48 13. " EF77_set/clr ,State of event EVT77" "Not occurred,Occurred" textline " " setclrfld.long 0x08 12. 0x28 12. 0x48 12. " EF76_set/clr ,State of event EVT76" "Not occurred,Occurred" setclrfld.long 0x08 11. 0x28 11. 0x48 11. " EF75_set/clr ,State of event EVT75" "Not occurred,Occurred" textline " " setclrfld.long 0x08 10. 0x28 10. 0x48 10. " EF74_set/clr ,State of event EVT74" "Not occurred,Occurred" setclrfld.long 0x08 9. 0x28 9. 0x48 9. " EF73_set/clr ,State of event EVT73" "Not occurred,Occurred" textline " " setclrfld.long 0x08 8. 0x28 8. 0x48 8. " EF72_set/clr ,State of event EVT72" "Not occurred,Occurred" setclrfld.long 0x08 7. 0x28 7. 0x48 7. " EF71_set/clr ,State of event EVT71" "Not occurred,Occurred" textline " " setclrfld.long 0x08 6. 0x28 6. 0x48 6. " EF70_set/clr ,State of event EVT70" "Not occurred,Occurred" setclrfld.long 0x08 5. 0x28 5. 0x48 5. " EF69_set/clr ,State of event EVT69" "Not occurred,Occurred" textline " " setclrfld.long 0x08 4. 0x28 4. 0x48 4. " EF68_set/clr ,State of event EVT68" "Not occurred,Occurred" setclrfld.long 0x08 3. 0x28 3. 0x48 3. " EF67_set/clr ,State of event EVT67" "Not occurred,Occurred" textline " " setclrfld.long 0x08 2. 0x28 2. 0x48 2. " EF66_set/clr ,State of event EVT66" "Not occurred,Occurred" setclrfld.long 0x08 1. 0x28 1. 0x48 1. " EF65_set/clr ,State of event EVT65" "Not occurred,Occurred" textline " " setclrfld.long 0x08 0. 0x28 0. 0x48 0. " EF64_set/clr ,State of event EVT64" "Not occurred,Occurred" line.long 0x0c "EVTFLAG3,Event Flag Register 3" setclrfld.long 0x0c 31. 0x2c 31. 0x4c 31. " EF127_set/clr ,State of event EVT127" "Not occurred,Occurred" setclrfld.long 0x0c 30. 0x2c 30. 0x4c 30. " EF126_set/clr ,State of event EVT126" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 29. 0x2c 29. 0x4c 29. " EF125_set/clr ,State of event EVT125" "Not occurred,Occurred" setclrfld.long 0x0c 28. 0x2c 28. 0x4c 28. " EF124_set/clr ,State of event EVT124" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 27. 0x2c 27. 0x4c 27. " EF123_set/clr ,State of event EVT123" "Not occurred,Occurred" setclrfld.long 0x0c 26. 0x2c 26. 0x4c 26. " EF122_set/clr ,State of event EVT122" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 25. 0x2c 25. 0x4c 25. " EF121_set/clr ,State of event EVT121" "Not occurred,Occurred" setclrfld.long 0x0c 24. 0x2c 24. 0x4c 24. " EF120_set/clr ,State of event EVT120" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 23. 0x2c 23. 0x4c 23. " EF119_set/clr ,State of event EVT119" "Not occurred,Occurred" setclrfld.long 0x0c 22. 0x2c 22. 0x4c 22. " EF118_set/clr ,State of event EVT118" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 21. 0x2c 21. 0x4c 21. " EF117_set/clr ,State of event EVT117" "Not occurred,Occurred" setclrfld.long 0x0c 20. 0x2c 20. 0x4c 20. " EF116_set/clr ,State of event EVT116" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 17. 0x2c 17. 0x4c 17. " EF113_set/clr ,State of event EVT113" "Not occurred,Occurred" setclrfld.long 0x0c 1. 0x2c 1. 0x4c 1. " EF97_set/clr ,State of event EVT97" "Not occurred,Occurred" textline " " setclrfld.long 0x0c 0. 0x2c 0. 0x4c 0. " EF96_set/clr ,State of event EVT96" "Not occurred,Occurred" width 11. group.long 0x80++0xf line.long 0x00 "EVTMASK0,Event Mask Register 0" bitfld.long 0x00 14. " EM14 ,Disables event EVT14 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 13. " EM13 ,Disables event EVT13 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 12. " EM12 ,Disables event EVT12 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x00 11. " EM11 ,Disables event EVT11 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 9. " EM9 ,Disables event EVT9 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 8. " EM8 ,Disables event EVT8 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x00 7. " EM7 ,Disables event EVT7 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 6. " EM6 ,Disables event EVT6 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 5. " EM5 ,Disables event EVT5 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x00 4. " EM4 ,Disables event EVT4 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 3. " EM3 ,Disables event EVT3 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 2. " EM2 ,Disables event EVT2 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x00 1. " EM1 ,Disables event EVT1 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x00 0. " EM0 ,Disables event EVT0 from being used as input to the event combiner" "Combined,Disabled" line.long 0x04 "EVTMASK1,Event Mask Register 1" bitfld.long 0x04 28. " EM60 ,Disables event EVT60 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 27. " EM59 ,Disables event EVT59 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 24. " EM56 ,Disables event EVT56 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 23. " EM55 ,Disables event EVT55 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 22. " EM54 ,Disables event EVT54 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 21. " EM53 ,Disables event EVT53 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 19. " EM51 ,Disables event EVT51 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 18. " EM50 ,Disables event EVT50 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 17. " EM49 ,Disables event EVT49 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 16. " EM48 ,Disables event EVT48 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 15. " EM47 ,Disables event EVT47 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 11. " EM43 ,Disables event EVT43 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 9. " EM41 ,Disables event EVT41 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 8. " EM40 ,Disables event EVT40 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 7. " EM39 ,Disables event EVT39 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 6. " EM38 ,Disables event EVT38 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 5. " EM37 ,Disables event EVT37 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 4. " EM36 ,Disables event EVT36 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x04 3. " EM35 ,Disables event EVT35 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x04 2. " EM34 ,Disables event EVT34 from being used as input to the event combiner" "Combined,Disabled" line.long 0x08 "EVTMASK2,Event Mask Register 2" bitfld.long 0x08 21. " EM85 ,Disables event EVT85 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 20. " EM84 ,Disables event EVT84 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 19. " EM83 ,Disables event EVT83 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 18. " EM82 ,Disables event EVT82 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 17. " EM81 ,Disables event EVT81 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 16. " EM80 ,Disables event EVT80 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 14. " EM78 ,Disables event EVT78 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 13. " EM77 ,Disables event EVT77 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 12. " EM76 ,Disables event EVT76 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 11. " EM75 ,Disables event EVT75 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 10. " EM74 ,Disables event EVT74 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 9. " EM73 ,Disables event EVT73 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 8. " EM72 ,Disables event EVT72 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 7. " EM71 ,Disables event EVT71 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 6. " EM70 ,Disables event EVT70 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 5. " EM69 ,Disables event EVT69 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 4. " EM68 ,Disables event EVT68 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 3. " EM67 ,Disables event EVT67 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x08 2. " EM66 ,Disables event EVT66 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 1. " EM65 ,Disables event EVT65 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x08 0. " EM64 ,Disables event EVT64 from being used as input to the event combiner" "Combined,Disabled" line.long 0x0c "EVTMASK3,Event Mask Register 3" bitfld.long 0x0c 31. " EM127 ,Disables event EVT127 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 30. " EM126 ,Disables event EVT126 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 29. " EM125 ,Disables event EVT125 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 28. " EM124 ,Disables event EVT124 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 27. " EM123 ,Disables event EVT123 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 26. " EM122 ,Disables event EVT122 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 25. " EM121 ,Disables event EVT121 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 24. " EM120 ,Disables event EVT120 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 23. " EM119 ,Disables event EVT119 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 22. " EM118 ,Disables event EVT118 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 21. " EM117 ,Disables event EVT117 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 20. " EM116 ,Disables event EVT116 from being used as input to the event combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 17. " EM113 ,Disables event EVT113 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 1. " EM97 ,Disables event EVT97 from being used as input to the event combiner" "Combined,Disabled" bitfld.long 0x0c 0. " EM96 ,Disables event EVT96 from being used as input to the event combiner" "Combined,Disabled" group.long 0xc0++0xf line.long 0x00 "EXPMASK0,Exception Mask Register 0" bitfld.long 0x00 14. " XM14 ,Event EVT14 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 13. " XM13 ,Event EVT13 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 12. " XM12 ,Event EVT12 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x00 11. " XM11 ,Event EVT11 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 9. " XM9 ,Event EVT9 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 8. " XM8 ,Event EVT8 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x00 7. " XM7 ,Event EVT7 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 6. " XM6 ,Event EVT6 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 5. " XM5 ,Event EVT5 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x00 4. " XM4 ,Event EVT4 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 3. " XM3 ,Event EVT3 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 2. " XM2 ,Event EVT2 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x00 1. " XM1 ,Event EVT1 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x00 0. " XM0 ,Event EVT0 disabled from being used in the exception combiner" "Combined,Disabled" line.long 0x04 "EXPMASK1,Exception Mask Register 1" bitfld.long 0x04 28. " XM60 ,Event EVT60 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 27. " XM59 ,Event EVT59 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 24. " XM56 ,Event EVT56 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 23. " XM55 ,Event EVT55 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 22. " XM54 ,Event EVT54 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 21. " XM53 ,Event EVT53 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 19. " XM51 ,Event EVT51 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 18. " XM50 ,Event EVT50 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 17. " XM49 ,Event EVT49 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 16. " XM48 ,Event EVT48 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 15. " XM47 ,Event EVT47 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 11. " XM43 ,Event EVT43 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 9. " XM41 ,Event EVT41 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 8. " XM40 ,Event EVT40 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 7. " XM39 ,Event EVT39 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 6. " XM38 ,Event EVT38 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 5. " XM37 ,Event EVT37 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 4. " XM36 ,Event EVT36 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x04 3. " XM35 ,Event EVT35 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x04 2. " XM34 ,Event EVT34 disabled from being used in the exception combiner" "Combined,Disabled" line.long 0x08 "EXPMASK2,Exception Mask Register 2" bitfld.long 0x08 21. " XM85 ,Event EVT85 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 20. " XM84 ,Event EVT84 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 19. " XM83 ,Event EVT83 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 18. " XM82 ,Event EVT82 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 17. " XM81 ,Event EVT81 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 16. " XM80 ,Event EVT80 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 14. " XM78 ,Event EVT78 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 13. " XM77 ,Event EVT77 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 12. " XM76 ,Event EVT76 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 11. " XM75 ,Event EVT75 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 10. " XM74 ,Event EVT74 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 9. " XM73 ,Event EVT73 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 8. " XM72 ,Event EVT72 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 7. " XM71 ,Event EVT71 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 6. " XM70 ,Event EVT70 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 5. " XM69 ,Event EVT69 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 4. " XM68 ,Event EVT68 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 3. " XM67 ,Event EVT67 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x08 2. " XM66 ,Event EVT66 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 1. " XM65 ,Event EVT65 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x08 0. " XM64 ,Event EVT64 disabled from being used in the exception combiner" "Combined,Disabled" line.long 0x0c "EXPMASK3,Exception Mask Register 3" bitfld.long 0x0c 31. " XM127 ,Event EVT127 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 30. " XM126 ,Event EVT126 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 29. " XM125 ,Event EVT125 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 28. " XM124 ,Event EVT124 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 27. " XM123 ,Event EVT123 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 26. " XM122 ,Event EVT122 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 25. " XM121 ,Event EVT121 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 24. " XM120 ,Event EVT120 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 23. " XM119 ,Event EVT119 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 22. " XM118 ,Event EVT118 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 21. " XM117 ,Event EVT117 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 20. " XM116 ,Event EVT116 disabled from being used in the exception combiner" "Combined,Disabled" textline " " bitfld.long 0x0c 17. " XM113 ,Event EVT113 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 1. " XM97 ,Event EVT97 disabled from being used in the exception combiner" "Combined,Disabled" bitfld.long 0x0c 0. " XM96 ,Event EVT96 disabled from being used in the exception combiner" "Combined,Disabled" width 11. rgroup.long 0xa0++0xf line.long 0x00 "MEVTFLAG0,Masked Event Flag Register 0" hexmask.long 0x00 0.--31. 1. " MEF[31:0] ,Displays content of EF when EM=0" line.long 0x04 "MEVTFLAG1,Masked Event Flag Register 1" hexmask.long 0x04 0.--31. 1. " MEF[63:32] ,Displays content of EF when EM=0" line.long 0x08 "MEVTFLAG2,Masked Event Flag Register 2" hexmask.long 0x08 0.--31. 1. " MEF[95:64] ,Displays content of EF when EM=0" line.long 0x0c "MEVTFLAG3,Masked Event Flag Register 3" hexmask.long 0x0c 0.--31. 1. " MEF[127:96] ,Displays content of EF when EM=0" rgroup.long 0xe0++0xf line.long 0x00 "MEXPFLAG0,Masked Exception Flag Register 0" line.long 0x04 "MEXPFLAG1,Masked ExceptionFlag Register 1" line.long 0x08 "MEXPFLAG2,Masked Exception Flag Register 2" line.long 0x0c "MEXPFLAG3,Masked Exception Flag Register 3" width 11. group.long 0x104++0xb line.long 0x00 "INTMUX1,Interrupt Mux Register 1" hexmask.long.byte 0x00 24.--30. 1. " INTSEL7 ,Number of the event that maps to CPUINT7" hexmask.long.byte 0x00 16.--22. 1. " INTSEL6 ,Number of the event that maps to CPUINT6" hexmask.long.byte 0x00 8.--14. 1. " INTSEL5 ,Number of the event that maps to CPUINT5" hexmask.long.byte 0x00 0.--6. 1. " INTSEL4 ,Number of the event that maps to CPUINT4" line.long 0x04 "INTMUX2,Interrupt Mux Register 2" hexmask.long.byte 0x04 24.--30. 1. " INTSEL11 ,Number of the event that maps to CPUINT11" hexmask.long.byte 0x04 16.--22. 1. " INTSEL10 ,Number of the event that maps to CPUINT10" hexmask.long.byte 0x04 8.--14. 1. " INTSEL9 ,Number of the event that maps to CPUINT9" hexmask.long.byte 0x04 0.--6. 1. " INTSEL8 ,Number of the event that maps to CPUINT8" line.long 0x08 "INTMUX3,Interrupt Mux Register 3" hexmask.long.byte 0x08 24.--30. 1. " INTSEL15 ,Number of the event that maps to CPUINT15" hexmask.long.byte 0x08 16.--22. 1. " INTSEL14 ,Number of the event that maps to CPUINT14" hexmask.long.byte 0x08 8.--14. 1. " INTSEL13 ,Number of the event that maps to CPUINT13" hexmask.long.byte 0x08 0.--6. 1. " INTSEL12 ,Number of the event that maps to CPUINT12" rgroup.long 0x180++0x3 line.long 0x00 "INTXSTAT,Interrupt Exception Status Register" hexmask.long.byte 0x00 24.--31. 1. " SYSINT ,System Event number" hexmask.long.byte 0x00 16.--23. 1. " CPUINT ,CPU interrupt number" bitfld.long 0x00 0. " DROP ,Dropped event flag" "No event dropped,Event dropped" width 11. wgroup.long 0x184++0x3 line.long 0x00 "INTXCLR,Interrupt Exception Clear Register" bitfld.long 0x00 0. " CLEAR ,Clears the interrupt exception status" "No effect,Cleared" rgroup.long 0x188++0x3 line.long 0x00 "INTDMASK,Dropped Interrupt Mask Register" bitfld.long 0x00 15. " IDM15 ,Disables CPUINT15 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 14. " IDM14 ,Disables CPUINT14 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 13. " IDM13 ,Disables CPUINT13 from being detected by the drop detection hardware" "No effect,Ignored" textline " " bitfld.long 0x00 12. " IDM12 ,Disables CPUINT12 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 11. " IDM11 ,Disables CPUINT11 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 10. " IDM10 ,Disables CPUINT10 from being detected by the drop detection hardware" "No effect,Ignored" textline " " bitfld.long 0x00 9. " IDM9 ,Disables CPUINT9 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 8. " IDM8 ,Disables CPUINT8 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 7. " IDM7 ,Disables CPUINT7 from being detected by the drop detection hardware" "No effect,Ignored" textline " " bitfld.long 0x00 6. " IDM6 ,Disables CPUINT6 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 5. " IDM5 ,Disables CPUINT5 from being detected by the drop detection hardware" "No effect,Ignored" bitfld.long 0x00 4. " IDM4 ,Disables CPUINT4 from being detected by the drop detection hardware" "No effect,Ignored" width 11. group.long 0x140++0x07 line.long 0x00 "AEGMUX0,Advanced Event Generator Mux Registers" hexmask.long.byte 0x00 24.--31. 1. " AEGSEL3 ,Advanced Event Generator Select" hexmask.long.byte 0x00 16.--23. 1. " AEGSEL2 ,Advanced Event Generator Select" hexmask.long.byte 0x00 8.--15. 1. " AEGSEL1 ,Advanced Event Generator Select" hexmask.long.byte 0x00 0.--7. 1. " AEGSEL0 ,Advanced Event Generator Select" line.long 0x04 "AEGMUX1,Advanced Event Generator Mux Registers" hexmask.long.byte 0x04 24.--31. 1. " AEGSEL7 ,Advanced Event Generator Select" hexmask.long.byte 0x04 16.--23. 1. " AEGSEL6 ,Advanced Event Generator Select" hexmask.long.byte 0x04 8.--15. 1. " AEGSEL5 ,Advanced Event Generator Select" hexmask.long.byte 0x04 0.--7. 1. " AEGSEL4 ,Advanced Event Generator Select" width 0xb tree.end tree "Power-Down Controller" width 8. base d:0x01810000 group.long 0x00++0x3 line.long 0x00 "PDCCMD,Power-Down Controller Command Register" bitfld.long 0x00 16. " MEGPD ,Power-down during IDLE" "Normal,Sleep mode" width 0xb tree.end tree.end AUTOINDENT.POP elif cpuis("AM572XIVA?") tree "Core Registers (ARM966)" width 8. tree "ID Registers" rgroup c15:0x0000--0x0000 line.long 0x0 "MIDR,Identity Code" hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer" hexmask.long.byte 0x0 20.--23. 0x1 " SPEC ,Specification Revision" hexmask.long.byte 0x0 16.--19. 0x1 " ARCH ,Architecture Version" hexmask.long.word 0x0 4.--15. 0x1 " PARTNUM ,Part Number" hexmask.long.byte 0x0 0.--3. 0x01 " REV ,Layout Revision" tree.end tree "System Configuration and Control" width 8. group c15:0x1--0x1 line.long 0x0 "CR,Control Register" bitfld.long 0x0 15. " L4 ,Configure Loading TBIT" "Enable,Disable" bitfld.long 0x0 13. " V ,Location of Exception Vectors" "0x00000000,0xFFFF0000" textline " " bitfld.long 0x0 12. " I ,Instruction SRAM Enable" "Disable,Enable" bitfld.long 0x0 7. " B ,Endianism" "Little,Big" bitfld.long 0x0 3. " W ,Write Buffer" "Disable,Enable" bitfld.long 0x0 2. " D ,Data SRAM Enable" "Disable,Enable" tree.end tree "ICEbreaker" width 8. group ice:0x0--0x5 "Debug Control" line.long 0x0 "DBGCTRL,Debug Control Register" bitfld.long 0x0 0x5 " ICE ,EmbeddedICE Disable" "enabled,disabled" bitfld.long 0x0 0x4 " MONITOR ,Monitor Mode Enable" "disabled,enabled" textline " " bitfld.long 0x0 0x3 " STEP ,Single Step" "disabled,enabled" bitfld.long 0x0 0x2 " INTDIS ,Interrupts Disable" "enabled,disabled" bitfld.long 0x0 0x1 " DBGRQ ,Debug Request" "no,yes" bitfld.long 0x0 0x0 " DBGACK ,Debug Acknowledge" "no,yes" line.long 0x4 "DBGSTAT,Debug Status Register" bitfld.long 0x4 0x4 " ITBIT ,ITBIT" "0,1" bitfld.long 0x4 0x3 " SYSCOMP ,SYSCOMP" "0,1" bitfld.long 0x4 0x2 " IFEN ,Interrupts Enable" "disabled,enabled" bitfld.long 0x4 0x1 " DBGRQ ,Debug Request" "no,yes" bitfld.long 0x4 0x0 " DBGACK ,Debug Acknowledge" "no,yes" line.long 0x8 "VECTOR,Vector Catch Register" bitfld.long 0x8 0x7 " FIQ ,FIQ" "dis,ena" bitfld.long 0x8 0x6 " IRQ ,IRQ" "dis,ena" bitfld.long 0x8 0x4 " D_ABO ,D_ABORT" "dis,ena" bitfld.long 0x8 0x3 " P_ABO ,P_ABORT" "dis,ena" bitfld.long 0x8 0x2 " SWI ,SWI" "dis,ena" bitfld.long 0x8 0x1 " UND ,UNDEF" "dis,ena" bitfld.long 0x8 0x0 " RES ,RESET" "dis,ena" line.long 0x10 "COMCTRL,Debug Communication Control Register" bitfld.long 0x10 28.--31. " VERSION ,Version Number" "0000,0001,0010,0011,0100,0101,0110,0111,1000,1001,1010,1011,1100,1101,1110,1111" bitfld.long 0x10 0x1 " WRITE ,Write Register Free" "idle,pend" bitfld.long 0x10 0x0 " READ ,Read Register Free" "idle,pend" line.long 0x14 "COMDATA,Debug Communication Data Register" group ice:0x8--0x0d "Watchpoint 0" line.long 0x0 "AV,Address Value" line.long 0x4 "AM,Address Mask" line.long 0x8 "DV,Data Value" line.long 0x0c "DM,Data Mask" line.long 0x10 "CV,Control Value" bitfld.long 0x10 0x8 " ENABLE ,Global Enable for Watchpoint 1" "DIS,ENA" bitfld.long 0x10 0x7 " RANGE ,Assert RANGEOUT Signal" "0 ,1" bitfld.long 0x10 0x6 " CHAIN ,Connect to Watchpoint 0" "0 ,1" bitfld.long 0x10 0x5 " EXTERN ,Depentend from EXTERN Signal" "0 ,1" bitfld.long 0x10 0x4 " nTRANS ,CPU Mode" "User,no User" bitfld.long 0x10 0x3 " nOPC ,Op Fetch" "Inst,Data" bitfld.long 0x10 0x1--0x2 " MAS ,Access Size" "Byte,Word,Long,Res" bitfld.long 0x10 0x0 " nRW ,Read/Write" "R ,W" line.long 0x14 "CM,Control Mask" bitfld.long 0x14 0x7 " RANGE ,Assert RANGEOUT Signal" "ENA,DIS" bitfld.long 0x14 0x6 " CHAIN ,Connect to Watchpoint 0" "ENA,DIS" bitfld.long 0x14 0x5 " EXTERN ,Depentend from EXTERN Signal" "ENA,DIS" bitfld.long 0x14 0x4 " nTRANS ,CPU Mode" "ENA,DIS " bitfld.long 0x14 0x3 " nOPC ,Op Fetch" "ENA ,DIS" bitfld.long 0x14 0x1--0x2 " MAS ,Access Size" "ENA ,Res,Res,DIS" bitfld.long 0x14 0x0 " nRW ,Read/Write" "ENA,DIS" group ice:0x10--0x15 "Watchpoint 1" line.long 0x0 "AV,Address Value" line.long 0x4 "AM,Address Mask" line.long 0x8 "DV,Data Value" line.long 0x0c "DM,Data Mask" line.long 0x10 "CV,Control Value" bitfld.long 0x10 0x8 " ENABLE ,Global Enable for Watchpoint 1" "DIS,ENA" bitfld.long 0x10 0x7 " RANGE ,Assert RANGEOUT Signal" "0 ,1" bitfld.long 0x10 0x6 " CHAIN ,Connect to Watchpoint 0" "0 ,1" bitfld.long 0x10 0x5 " EXTERN ,Depentend from EXTERN Signal" "0 ,1" bitfld.long 0x10 0x4 " nTRANS ,CPU Mode" "User,no User" bitfld.long 0x10 0x3 " nOPC ,Op Fetch" "Inst,Data" bitfld.long 0x10 0x1--0x2 " MAS ,Access Size" "Byte,Word,Long,Res" bitfld.long 0x10 0x0 " nRW ,Read/Write" "R ,w" line.long 0x14 "CM,Control Mask" bitfld.long 0x14 0x7 " RANGE ,Assert RANGEOUT Signal" "ENA,DIS" bitfld.long 0x14 0x6 " CHAIN ,Connect to Watchpoint 0" "ENA,DIS" bitfld.long 0x14 0x5 " EXTERN ,Depentend from EXTERN Signal" "ENA,DIS" bitfld.long 0x14 0x4 " nTRANS ,CPU Mode" "ENA,DIS " bitfld.long 0x14 0x3 " nOPC ,Op Fetch" "ENA ,DIS" bitfld.long 0x14 0x1--0x2 " MAS ,Access Size" "ENA ,Res,Res,DIS" bitfld.long 0x14 0x0 " nRW ,Read/Write" "ENA,DIS" tree.end tree.end endif AUTOINDENT.ON center tree tree "ALE" base ad:0x48484D00 rgroup.long 0x00++0x03 line.long 0x00 "ALE_IDVER,ADDRESS LOOKUP ENGINE revision register" group.long 0x08++0x03 line.long 0x00 "ALE_CONTROL,Address lookup engine control register" bitfld.long 0x00 31. "ENABLE_ALE,Enable ALE" "Drop all packets,Enable ALE packet processing" newline bitfld.long 0x00 30. "CLEAR_TABLE,Clear ALE address table - Setting this bit causes the ALE hardware to write all table bit values to zero" "0,1" newline bitfld.long 0x00 29. "AGE_OUT_NOW,Age Out Address Table Now - Setting this bit causes the ALE hardware to remove (free up) any ageable table entry that does not have a set touch bit" "0,1" newline hexmask.long.tbyte 0x00 9.--28. 1. "RESERVED," newline bitfld.long 0x00 8. "EN_P0_UNI_FLOOD,Enable Port 0 (Host Port) unicast flood" "do not flood unknown unicast packets to host..,flood unknown unicast packets to host port (p0)" newline bitfld.long 0x00 7. "LEARN_NO_VID,Learn No VID" "VID is learned with the source address,VID is not learned with the source address.." newline bitfld.long 0x00 6. "EN_VID0_MODE,Enable VLAN ID = 0 Mode" "Process the packet with VID = PORT_VLAN[11:0],Process the packet with VID = 0" newline bitfld.long 0x00 5. "ENABLE_OUI_DENY,Enable OUI Deny Mode - When set this bit indicates that a packet with a non OUI table entry matching source address will be dropped to the host unless the destination address matches a multicast table entry with the super bit set" "0,1" newline bitfld.long 0x00 4. "BYPASS,ALE Bypass - When set all packets received on ports 0 and 1 are sent to the host (only to the host)" "0,1" newline bitfld.long 0x00 3. "RATE_LIMIT_TX,Rate Limit Transmit mode" "Broadcast and multicast rate limit counters are..,Broadcast and multicast rate limit counters are.." newline bitfld.long 0x00 2. "VLAN_AWARE,ALE VLAN Aware - Determines what is done if VLAN not found" "Flood if VLAN not found,Drop packet if VLAN not found" newline bitfld.long 0x00 1. "ENABLE_AUTH_MODE,Enable MAC Authorization Mode - Mac authorization mode requires that all table entries be made by the host software" "The ALE is not in MAC authorization mode,The ALE is in MAC authorization mode" newline bitfld.long 0x00 0. "ENABLE_RATE_LIMIT,Enable Broadcast and Multicast Rate Limit" "Broadcast/Multicast rates not limited,Broadcast/Multicast packet reception limited to.." group.long 0x10++0x03 line.long 0x00 "ALE_PRESCALE,Address lookup engine prescale register" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x00 0.--19. 1. "PRESCALE,ALE Prescale Register - The input clock is divided by this value for use in the multicast/broadcast rate limiters" group.long 0x18++0x03 line.long 0x00 "ALE_UNKNOWN_VLAN,Address lookup engine unknown vlan register" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 24.--29. "UNKNOWN_FORCE_UNTAGGED_EGRESS,Unknown VLAN Force Untagged Egress" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 16.--21. "UNKNOWN_REG_MCAST_FLOOD_MASK,Unknown VLAN Registered Multicast Flood Mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 8.--13. "UNKNOWN_MCAST_FLOOD_MASK,Unknown VLAN Multicast Flood Mask" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--5. "UNKNOWN_VLAN_MEMBER_LIST,Unknown VLAN Member List" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x20++0x03 line.long 0x00 "ALE_TBLCTL,Address lookup engine table control" bitfld.long 0x00 31. "WRITE_RDZ,Write Bit - This bit is always read as zero" "0,1" newline hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "ENTRY_POINTER,Table Entry Pointer - The entry_pointer contains the table entry value that will be read/written with accesses to the table word registers" group.long 0x34++0x23 line.long 0x00 "ALE_TBLW2,Address lookup engine table word 2 register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x00 0.--7. 1. "ENTRY71_64,Table entry bits 71:64" line.long 0x04 "ALE_TBLW1,Address lookup engine table word 1 register" line.long 0x08 "ALE_TBLW0,Address lookup engine table word 0 register" line.long 0x0C "ALE_PORTCTL0,Address lookup engine port 0 control register" hexmask.long.byte 0x0C 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x0C 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x0C 6.--15. 1. "RESERVED," newline bitfld.long 0x0C 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x0C 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x0C 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x0C 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x0C 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" line.long 0x10 "ALE_PORTCTL1,Address lookup engine port 1 control register" hexmask.long.byte 0x10 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x10 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x10 6.--15. 1. "RESERVED," newline bitfld.long 0x10 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x10 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x10 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x10 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x10 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" line.long 0x14 "ALE_PORTCTL2,Address lookup engine port 2 control register" hexmask.long.byte 0x14 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x14 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x14 6.--15. 1. "RESERVED," newline bitfld.long 0x14 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x14 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x14 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x14 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x14 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" line.long 0x18 "ALE_PORTCTL3,Address lookup engine port 3 control register" hexmask.long.byte 0x18 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x18 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x18 6.--15. 1. "RESERVED," newline bitfld.long 0x18 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x18 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x18 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x18 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x18 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" line.long 0x1C "ALE_PORTCTL4,Address lookup engine port 4 control register" hexmask.long.byte 0x1C 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x1C 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x1C 6.--15. 1. "RESERVED," newline bitfld.long 0x1C 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x1C 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x1C 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x1C 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x1C 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" line.long 0x20 "ALE_PORTCTL5,Address lookup engine port 5 control register" hexmask.long.byte 0x20 24.--31. 1. "BCAST_LIMIT,Broadcast Packet Rate Limit - Each prescale pulse loads this field into the port broadcast rate limit counter" newline hexmask.long.byte 0x20 16.--23. 1. "MCAST_LIMIT,Multicast Packet Rate Limit - Each prescale pulse loads this field into the port multicast rate limit counter" newline hexmask.long.word 0x20 6.--15. 1. "RESERVED," newline bitfld.long 0x20 5. "NO_SA_UPDATE,No Souce Address Update - When set the port is disabled from updating the source port number in an ALE table entry" "0,1" newline bitfld.long 0x20 4. "NO_LEARN,No Learn Mode - When set the port is disabled from learning an address" "0,1" newline bitfld.long 0x20 3. "VID_INGRESS_CHECK,VLAN ID Ingress" "0,1" newline bitfld.long 0x20 2. "DROP_UNTAGGED,Drop Untagged Packets - Drop non-VLAN tagged ingress packets" "0,1" newline bitfld.long 0x20 0.--1. "PORT_STATE,Port State" "Disabled,Blocked,Learn,Forward" tree.end tree "ATL_TARG" base ad:0x4843D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "BB2D" base ad:0x59000000 group.long 0x00++0x37 line.long 0x00 "AQHICLOCKCONTROL,Clock control register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "MULTI_PIPE_USE_SINGLE_AXI,Force all the transactions to go to one AXI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "MULTI_PIPE_REG_SELECT,Determines which HI/MC to use while reading registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "ISOLATE_GPU,Isolate GPU bit" "0,1" newline rbitfld.long 0x00 18. "IDLE_VG,VG pipe is idle" "0,1" newline rbitfld.long 0x00 17. "IDLE2_D,2D pipe is idle" "0,1" newline rbitfld.long 0x00 16. "IDLE3_D,3D pipe is idle" "0,1" newline rbitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 12. "SOFT_RESET,Soft resets the subsystem" "0,1" newline bitfld.long 0x00 11. "DISABLE_DEBUG_REGISTERS,Disable debug registers" "0,1" newline bitfld.long 0x00 10. "DISABLE_RAM_CLOCK_GATING,Disables clock gating for RAMs" "0,1" newline bitfld.long 0x00 9. "FSCALE_CMD_LOAD," "0,1" newline hexmask.long.byte 0x00 2.--8. 1. "FSCALE_VAL," newline bitfld.long 0x00 1. "CLK2D_DIS,Disable 2D clock" "0,1" newline bitfld.long 0x00 0. "CLK3D_DIS,Disable 3D clock" "0,1" line.long 0x04 "AQHIIDLE,Idle status register" bitfld.long 0x04 31. "AXI_LP,AXI is in low power mode" "0,1" newline hexmask.long.tbyte 0x04 12.--30. 1. "RESERVED,Unused bits reserved for future expansion" newline bitfld.long 0x04 11. "IDLE_TS,TS is idle" "0,1" newline bitfld.long 0x04 10. "IDLE_FP,FP is idle" "0,1" newline bitfld.long 0x04 9. "IDLE_IM,IM is idle" "0,1" newline bitfld.long 0x04 8. "IDLE_VG,VG is idle" "0,1" newline bitfld.long 0x04 7. "IDLE_TX,TX is idle" "0,1" newline bitfld.long 0x04 6. "IDLE_RA,RA is idle" "0,1" newline bitfld.long 0x04 5. "IDLE_SE,SE is idle" "0,1" newline bitfld.long 0x04 4. "IDLE_PA,PA is idle" "0,1" newline bitfld.long 0x04 3. "IDLE_SH,SH is idle" "0,1" newline bitfld.long 0x04 2. "IDLE_PE,PE is idle" "0,1" newline bitfld.long 0x04 1. "IDLE_DE,DE is idle" "0,1" newline bitfld.long 0x04 0. "IDLE_FE,FE is idle" "0,1" line.long 0x08 "AQAXICONFIG,AXI config" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline bitfld.long 0x08 12.--15. "ARCACHE," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 8.--11. "AWCACHE," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 4.--7. "ARID," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. "AWID," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "AQAXISTATUS,AXI status" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," newline bitfld.long 0x0C 9. "DET_RD_ERR," "0,1" newline bitfld.long 0x0C 8. "DET_WR_ERR," "0,1" newline bitfld.long 0x0C 4.--7. "RD_ERR_ID," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "WR_ERR_ID," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "AQINTRACKNOWLEDGE,Interrupt acknowledge register" line.long 0x14 "AQINTRENBL,Interrupt enable register" line.long 0x18 "AQIDENT,Identification register" abitfld.long 0x18 24.--31. "FAMILY,Family value" "0x01=GC500,0x02=GC520,0x03=GC530,0x04=GC400,0x05=GC450,0x08=GC600,0x09=GC700,0x0A=GC350,0x0B=GC380,0x0C=GC800,0x10=GC1000,0x14=GC2000" newline hexmask.long.byte 0x18 16.--23. 1. "PRODUCT,Product value" newline bitfld.long 0x18 12.--15. "REVISION,Revision value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 8.--11. "TECHNOLOGY,Technology value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x18 0.--7. 1. "CUSTOMER,Customer value" line.long 0x1C "GCFEATURES,Shows which features are enabled in current subsystem implementation" bitfld.long 0x1C 31. "FE20_BIT_INDEX,Supports 20 bit index" "0,1" newline bitfld.long 0x1C 30. "RS_YUV_TARGET,Supports resolveing into YUV target" "0,1" newline bitfld.long 0x1C 29. "BYTE_WRITE_3D,3D PE has byte write capability" "0,1" newline bitfld.long 0x1C 28. "FE20,FE 2.0 is present" "0,1" newline bitfld.long 0x1C 27. "VGTS,VG tesselator is present" "0,1" newline bitfld.long 0x1C 26. "PIPE_VG,VG pipe is present" "0,1" newline bitfld.long 0x1C 25. "MEM32_BIT_SUPPORT,32 bit memory address support" "0,1" newline bitfld.long 0x1C 24. "YUY2_RENDER_TARGET,YUY2 support in PE and YUY2 to RGB conversion in resolve" "0,1" newline bitfld.long 0x1C 23. "HALF_TX_CACHE,TX cache is half" "0,1" newline bitfld.long 0x1C 22. "HALF_PE_CACHE,PE cache is half" "0,1" newline bitfld.long 0x1C 21. "YUY2_AVERAGING,YUY2 averaging support in resolve" "0,1" newline bitfld.long 0x1C 20. "NO_SCALER,No 2D scaler" "0,1" newline bitfld.long 0x1C 19. "BYTE_WRITE_2D,Supports byte write in 2D" "0,1" newline bitfld.long 0x1C 18. "BUFFER_INTERLEAVING,Supports interleaving depth and color buffers" "0,1" newline bitfld.long 0x1C 17. "NO422_TEXTURE,No 422 texture input format" "0,1" newline bitfld.long 0x1C 16. "NO_EZ,No early-Z" "0,1" newline bitfld.long 0x1C 15. "MIN_AREA,Configured to have minimum area" "0,1" newline bitfld.long 0x1C 14. "MODULE_CG,Second level clock gating is available" "0,1" newline bitfld.long 0x1C 13. "YUV420_TILER,YUV 4:2:0 tiler is available" "0,1" newline bitfld.long 0x1C 12. "HIGH_DYNAMIC_RANGE,Shows if there is HDR support" "0,1" newline bitfld.long 0x1C 11. "FAST_SCALER,Shows if there is HD scaler" "0,1" newline bitfld.long 0x1C 10. "ETC1_TEXTURE_COMPRESSION,ETC1 texture compression" "0,1" newline bitfld.long 0x1C 9. "PIPE_2D,Shows if there is 2D engine" "0,1" newline bitfld.long 0x1C 8. "DC,Shows if there is a display controller" "0,1" newline bitfld.long 0x1C 7. "MSAA,MSAA support" "0,1" newline bitfld.long 0x1C 6. "YUV420_FILTER,YUV 4:2:0 support in filter blit" "0,1" newline bitfld.long 0x1C 5. "ZCOMPRESSION,Depth and color compression" "0,1" newline bitfld.long 0x1C 4. "DEBUG_MODE,Debug registers" "0,1" newline bitfld.long 0x1C 3. "DXT_TEXTURE_COMPRESSION,DXT texture compression" "0,1" newline bitfld.long 0x1C 2. "PIPE_3D,3D pipe" "0,1" newline bitfld.long 0x1C 1. "SPECIAL_ANTI_ALIASING,Full-screen anti-aliasing" "0,1" newline bitfld.long 0x1C 0. "FAST_CLEAR,Fast clear" "0,1" line.long 0x20 "GCCHIPID,Shows the ID for the subsystem in BCD" line.long 0x24 "GCCHIPREV,Shows the revision for the subsystem in BCD" line.long 0x28 "GCCHIPDATE,Shows the release date for the subsystem" line.long 0x2C "GCCHIPTIME,Shows the release time for the subsystem" line.long 0x30 "GCCHIPCUSTOMER,Shows the customer and group for the subsystem" hexmask.long.word 0x30 16.--31. 1. "COMPANY,Company" newline hexmask.long.word 0x30 0.--15. 1. "GROUP,Group" line.long 0x34 "GCMINORFEATURES0,Shows which minor features are enabled in the subsystem" bitfld.long 0x34 31. "ENHANCE_VR,Enhance VR and add a mode to walk 16 pixels in 16-bit mode in vertical pass to improve cache hit rate when rotating 90/270" "0,1" newline bitfld.long 0x34 30. "CORRECT_STENCIL,Correct stencil behavior in depth only" "0,1" newline bitfld.long 0x34 29. "A8_TARGET_SUPPORT,2D engine supports A8 target" "0,1" newline bitfld.long 0x34 28. "NEW_TEXTURE,New texture unit is available" "0,1" newline bitfld.long 0x34 27. "HIERARCHICAL_Z,Hierarchiccal Z is supported" "0,1" newline bitfld.long 0x34 26. "BYPASS_IN_MSAA,Shader supports bypass mode when MSAA is enabled" "0,1" newline bitfld.long 0x34 25. "VAA,VAA is available or not" "0,1" newline bitfld.long 0x34 24. "BUG_FIXES0," "0,1" newline bitfld.long 0x34 23. "SHADER_MSAA_SIDEBAND,Put the MSAA data into sideband fifo" "0,1" newline bitfld.long 0x34 22. "MC_20,New style MC with separate paths for color and depth" "0,1" newline bitfld.long 0x34 21. "DEFAULT_REG0,Unavailable registers will return 0" "0,1" newline bitfld.long 0x34 20. "EXTRA_SHADER_INSTRUCTIONS1,Sqrt sin cos instructions are available" "0,1" newline bitfld.long 0x34 19. "SHADER_GETS_W,W is sent to SH from RA" "0,1" newline bitfld.long 0x34 18. "VG_21,Minor updates to VG pipe (Event generation from VG TS PE)" "0,1" newline bitfld.long 0x34 17. "VG_FILTER,VG filter is available" "0,1" newline bitfld.long 0x34 16. "EXTRA_SHADER_INSTRUCTIONS0,Floor ceil and sign instructions are available" "0,1" newline bitfld.long 0x34 15. "COMPRESSION_FIFO_FIXED,If this bit is not set the FIFO counter should be set to 50" "0,1" newline bitfld.long 0x34 14. "TS_EXTENDED_COMMANDS,New commands added to the tessellator" "0,1" newline bitfld.long 0x34 13. "VG_20,Major updates to VG pipe (TS buffer tiling. State masking.)" "0,1" newline bitfld.long 0x34 12. "SUPER_TILED_32X32,32 x 32 super tile is available" "0,1" newline bitfld.long 0x34 11. "SEPARATE_TILE_STATUS_WHEN_INTERLEAVED,Use 2 separate tile status buffers in interleaved mode" "0,1" newline bitfld.long 0x34 10. "TILE_STATUS_2BITS,2 bits are used instead of 4 bits for tile status" "0,1" newline bitfld.long 0x34 9. "RENDER_8K,Supports 8K render target" "0,1" newline bitfld.long 0x34 8. "CORRECT_AUTO_DISABLE,Reserved" "0,1" newline bitfld.long 0x34 7. "PE20_2D,2D PE 2.0 is present" "0,1" newline bitfld.long 0x34 6. "FAST_CLEAR_FLUSH,Proper flush is done in fast clear cache" "0,1" newline bitfld.long 0x34 5. "SPECIAL_MSAA_LOD,Special LOD calculation when MSAA is on" "0,1" newline bitfld.long 0x34 4. "CORRECT_TEXTURE_CONVERTER,Driver hack is not needed" "0,1" newline bitfld.long 0x34 3. "TEXTURE8_K,Supports 8K x 8K textures" "0,1" newline bitfld.long 0x34 2. "ENDIANNESS_CONFIG,Configurable endianness support" "0,1" newline bitfld.long 0x34 1. "DUAL_RETURN_BUS,Dual Return Bus from HI to clients" "0,1" newline bitfld.long 0x34 0. "FLIP_Y,Y flipping capability is added to resolve" "0,1" group.long 0x3C++0x2B line.long 0x00 "GCRESETMEMCOUNTERS,Writing 1 will reset the counters and stop counting" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "RESET," "0,1" line.long 0x04 "GCTOTALREADS,Total reads in terms of 64 bits" line.long 0x08 "GCTOTALWRITES,Total writes in terms of 64 bits" line.long 0x0C "GCCHIPSPECS,Specs for the subsystem" bitfld.long 0x0C 28.--31. "VERTEX_OUTPUT_BUFFER_SIZE," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 25.--27. "NUM_PIXEL_PIPES," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 20.--24. "NUM_SHADER_CORES," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--16. "VERTEX_CACHE_SIZE," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 8.--11. "THREAD_COUNT," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 4.--7. "TEMP_REGISTERS," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "STREAMS," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "GCTOTALWRITEBURSTS,Total write data count in terms of 64 bits" line.long 0x14 "GCTOTALWRITEREQS,Total write request count" line.long 0x18 "GCTOTALWRITELASTS,Total WLAST count" line.long 0x1C "GCTOTALREADBURSTS,Total read data count in terms of 64 bits" line.long 0x20 "GCTOTALREADREQS,Total read request count" line.long 0x24 "GCTOTALREADLASTS,Total RLAST count" line.long 0x28 "GCGPOUT0,General purpose output register" hexmask.long 0x28 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 0. "GCHOLD," "0,1" group.long 0x70++0x17 line.long 0x00 "GCAXICONTROL,Special handling on AXI Bus" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "WR_FULL_BURST_MODE," "0,1" line.long 0x04 "GCMINORFEATURES1,Shows which features are enabled in the subsystem" bitfld.long 0x04 31. "FC_FLUSH_STALL," "0,1" newline bitfld.long 0x04 30. "BUG_FIXES6," "0,1" newline bitfld.long 0x04 29. "WIDE_LINE," "0,1" newline bitfld.long 0x04 28. "MMU," "0,1" newline bitfld.long 0x04 27. "OK_TO_GATE_AXI_CLOCK," "0,1" newline bitfld.long 0x04 26. "RESOLVE_OFFSET," "0,1" newline bitfld.long 0x04 25. "NEGATIVE_LOG_FIX," "0,1" newline bitfld.long 0x04 24. "CORRECT_OVERFLOW_VG," "0,1" newline bitfld.long 0x04 23. "HALTI0," "0,1" newline bitfld.long 0x04 22. "LINEAR_TEXTURE_SUPPORT," "0,1" newline bitfld.long 0x04 21. "NON_POWER_OF_TWO," "0,1" newline bitfld.long 0x04 20. "TEXTURE_HORIZONTAL_ALIGNMENT_SELECT," "0,1" newline bitfld.long 0x04 19. "NEW_FLOATING_POINT_ARITHMETIC," "0,1" newline bitfld.long 0x04 18. "NEW_2D," "0,1" newline bitfld.long 0x04 17. "BUG_FIXES5," "0,1" newline bitfld.long 0x04 16. "DITHER_AND_FILTER_PLUS_ALPHA_2D,Dither and filter+alpha available" "0,1" newline bitfld.long 0x04 15. "CORRECT_MIN_MAX_DEPTH,EEZ and HZ are correct" "0,1" newline bitfld.long 0x04 14. "EXTENDED_PIXEL_FORMAT," "0,1" newline bitfld.long 0x04 13. "TWO_STENCIL_REFERENCE," "0,1" newline bitfld.long 0x04 12. "PIXEL_DITHER," "0,1" newline bitfld.long 0x04 11. "HALF_FLOAT_PIPE," "0,1" newline bitfld.long 0x04 10. "L2_WINDOWING," "0,1" newline bitfld.long 0x04 9. "BUG_FIXES4," "0,1" newline bitfld.long 0x04 8. "AUTO_RESTART_TS," "0,1" newline bitfld.long 0x04 7. "CORRECT_AUTO_DISABLE," "0,1" newline bitfld.long 0x04 6. "BUG_FIXES3," "0,1" newline bitfld.long 0x04 5. "TEXTURE_STRIDE,Texture has stride and memory addressing" "0,1" newline bitfld.long 0x04 4. "BUG_FIXES2," "0,1" newline bitfld.long 0x04 3. "BUG_FIXES1," "0,1" newline bitfld.long 0x04 2. "VG_DOUBLE_BUFFER,Double buffering support for VG (second TS-->VG semaphore is present)" "0,1" newline bitfld.long 0x04 1. "V2_COMPRESSION,V2 compression" "0,1" newline bitfld.long 0x04 0. "RSUV_SWIZZLE,Resolve UV swizzle" "0,1" line.long 0x08 "GCTOTALCYCLES,Total cycles" line.long 0x0C "GCTOTALIDLECYCLES,Total cycles where the GPU is idle" line.long 0x10 "GCCHIPSPECS2,Specs for the subsystem" hexmask.long.word 0x10 16.--31. 1. "NUMBER_OF_CONSTANTS," newline hexmask.long.byte 0x10 8.--15. 1. "INSTRUCTION_COUNT," newline hexmask.long.byte 0x10 0.--7. 1. "BUFFER_SIZE," line.long 0x14 "GCMINORFEATURES2,Shows which features are enabled in the subsystem" bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 28. "NO_INDEX_PATTERN," "0,1" newline bitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 26. "NOT_USED," "0,1" newline bitfld.long 0x14 25. "MIXED_STREAMS," "0,1" newline bitfld.long 0x14 24. "INTERLEAVER," "0,1" newline bitfld.long 0x14 23. "FLUSH_FIXED_2D," "0,1" newline bitfld.long 0x14 22. "YUV_CONVERSION," "0,1" newline bitfld.long 0x14 21. "MULTI_SOURCE_BLT," "0,1" newline bitfld.long 0x14 20. "YUV_STANDARD," "0,1" newline bitfld.long 0x14 19. "TILE_FILLER," "0,1" newline bitfld.long 0x14 18. "THREAD_WALKER_IN_PS," "0,1" newline bitfld.long 0x14 17. "ONE_PASS_2D_FILTER," "0,1" newline bitfld.long 0x14 16. "FULL_DIRECT_FB," "0,1" newline bitfld.long 0x14 15. "TX_FILTER," "0,1" newline bitfld.long 0x14 14. "DYNAMIC_FREQUENCY_SCALING," "0,1" newline bitfld.long 0x14 13. "TX_YUV_ASSEMBLER," "0,1" newline bitfld.long 0x14 12. "RGB888," "0,1" newline bitfld.long 0x14 11. "HALTI1," "0,1" newline bitfld.long 0x14 10. "S1S8," "0,1" newline bitfld.long 0x14 9. "END_EVENT," "0,1" newline bitfld.long 0x14 8. "PE_SWIZZLE," "0,1" newline bitfld.long 0x14 7. "CORRECT_AUTO_DISABLE_COUNT_WIDTH," "0,1" newline bitfld.long 0x14 6. "COMPOSITION," "0,1" newline bitfld.long 0x14 5. "RECT_PRIMITIVE," "0,1" newline bitfld.long 0x14 4. "LINEAR_PE," "0,1" newline bitfld.long 0x14 3. "SUPER_TILED_TEXTURE," "0,1" newline bitfld.long 0x14 2. "SEAMLESS_CUBE_MAP," "0,1" newline bitfld.long 0x14 1. "LOGIC_OP," "0,1" newline bitfld.long 0x14 0. "LINE_LOOP," "0,1" group.long 0x100++0x0B line.long 0x00 "GCMODULEPOWERCONTROLS,Control register for module level power controls" hexmask.long.word 0x00 16.--31. 1. "TURN_OFF_COUNTER,Counter value for clock gating the module if the module is idle for this amount of clock cycles" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," newline bitfld.long 0x00 4.--7. "TURN_ON_COUNTER,Number of clock cycles to wait after turning on the clock" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "DISABLE_STARVE_MODULE_CLOCK_GATING,Disables module level clock gating for starve/idle condition" "0,1" newline bitfld.long 0x00 1. "DISABLE_STALL_MODULE_CLOCK_GATING,Disables module level clock gating for stall condition" "0,1" newline bitfld.long 0x00 0. "ENABLE_MODULE_CLOCK_GATING,Enables module level clock gating" "0,1" line.long 0x04 "GCMODULEPOWERMODULECONTROL,Module level control registers" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline bitfld.long 0x04 7. "DISABLE_MODULE_CLOCK_GATING_TX,Disables module level clock gating for starve/idle condition" "0,1" newline bitfld.long 0x04 6. "DISABLE_MODULE_CLOCK_GATING_RA,Disables module level clock gating for stall condition" "0,1" newline bitfld.long 0x04 5. "DISABLE_MODULE_CLOCK_GATING_SE,Enables module level clock gating" "0,1" newline bitfld.long 0x04 4. "DISABLE_MODULE_CLOCK_GATING_PA,Counter value for clock gating the module if the module is idle for this amount of clock cycles" "0,1" newline bitfld.long 0x04 3. "DISABLE_MODULE_CLOCK_GATING_SH,Number of clock cycles to wait after turning on the clock" "0,1" newline bitfld.long 0x04 2. "DISABLE_MODULE_CLOCK_GATING_PE,Disables module level clock gating for starve/idle condition" "0,1" newline bitfld.long 0x04 1. "DISABLE_MODULE_CLOCK_GATING_DE,Disables module level clock gating for stall condition" "0,1" newline bitfld.long 0x04 0. "DISABLE_MODULE_CLOCK_GATING_FE,Enables module level clock gating" "0,1" line.long 0x08 "GCMODULEPOWERMODULESTATUS,Module level control status" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline bitfld.long 0x08 7. "MODULE_CLOCK_GATED_TX,Module level clock gating is ON for TX" "0,1" newline bitfld.long 0x08 6. "MODULE_CLOCK_GATED_RA,Module level clock gating is ON for RA" "0,1" newline bitfld.long 0x08 5. "MODULE_CLOCK_GATED_SE,Module level clock gating is ON for SE" "0,1" newline bitfld.long 0x08 4. "MODULE_CLOCK_GATED_PA,Module level clock gating is ON for PA" "0,1" newline bitfld.long 0x08 3. "MODULE_CLOCK_GATED_SH,Module level clock gating is ON for SH" "0,1" newline bitfld.long 0x08 2. "MODULE_CLOCK_GATED_PE,Module level clock gating is ON for PE" "0,1" newline bitfld.long 0x08 1. "MODULE_CLOCK_GATED_DE,Module level clock gating is ON for DE" "0,1" newline bitfld.long 0x08 0. "MODULE_CLOCK_GATED_FE,Module level clock gating is ON for FE" "0,1" rgroup.long 0x188++0x07 line.long 0x00 "GCREGMMUSTATUS,Status register that holds which MMU generated an exception" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,NOT USED" newline bitfld.long 0x00 12.--13. "EXCEPTION3,MMU 3 caused an exception and theGCREGMMUEXCEPTION3 register holds the offending address" "?,SLAVE_NOT_PRESENT,PAGE_NOT_PRESENT,WRITE_VIOLATION" newline bitfld.long 0x00 10.--11. "RESERVED,NOT USED" "0,1,2,3" newline bitfld.long 0x00 8.--9. "EXCEPTION2,MMU 2 caused an exception and theGCREGMMUEXCEPTION2 register holds the offending address" "?,SLAVE_NOT_PRESENT,PAGE_NOT_PRESENT,WRITE_VIOLATION" newline bitfld.long 0x00 6.--7. "RESERVED,NOT USED" "0,1,2,3" newline bitfld.long 0x00 4.--5. "EXCEPTION1,MMU 1 caused an exception and theGCREGMMUEXCEPTION1 register holds the offending address" "?,SLAVE_NOT_PRESENT,PAGE_NOT_PRESENT,WRITE_VIOLATION" newline bitfld.long 0x00 2.--3. "RESERVED,NOT USED" "0,1,2,3" newline bitfld.long 0x00 0.--1. "EXCEPTION0,MMU 0 caused an exception and theGCREGMMUEXCEPTION0 holds the offending address" "?,SLAVE_NOT_PRESENT,PAGE_NOT_PRESENT,WRITE_VIOLATION" line.long 0x04 "GCREGMMUCONTROL,Control register that enables the MMU (one time shot)" hexmask.long 0x04 1.--31. 1. "RESERVED,NOT USED" newline bitfld.long 0x04 0. "ENABLE,Enable the MMU" "0,1" group.long 0x414++0x03 line.long 0x00 "AQMEMORYDEBUG," rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 30. "DONT_STALL_WRITES_TO_SAME_ADDRESS," "0,1" newline bitfld.long 0x00 24.--29. "ZCOMP_LIMIT," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 23. "DISABLE_WRITE_DATA_SPEEDUP," "0,1" newline bitfld.long 0x00 22. "DISABLE_STALL_READS," "0,1" newline bitfld.long 0x00 20.--21. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 19. "LIMIT_CONTROL,Limit control" "REQUESTS,DATA" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 17. "INTERLEAVE_BUFFER_LOW_LATENCY_MODE," "0,1" newline rbitfld.long 0x00 15.--16. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 14. "DISABLE_MINI_MMU_CACHE," "0,1" newline rbitfld.long 0x00 8.--13. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 0.--7. 1. "MAX_OUTSTANDING_READS,Limits the total number of outstanding read requests" group.long 0x42C++0x03 line.long 0x00 "AQREGISTERTIMINGCONTROL," hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 22. "LIGHT_SLEEP,Light sleep" "0,1" newline bitfld.long 0x00 21. "DEEP_SLEEP,Deep sleep" "0,1" newline bitfld.long 0x00 20. "POWER_DOWN,Powerdown memory" "0,1" newline bitfld.long 0x00 18.--19. "FAST_WTC,WTC for fast RAMs" "0,1,2,3" newline bitfld.long 0x00 16.--17. "FAST_RTC,RTC for fast RAMs" "0,1,2,3" newline hexmask.long.byte 0x00 8.--15. 1. "FOR_RF2P," newline hexmask.long.byte 0x00 0.--7. 1. "FOR_RF1P," group.long 0x434++0x63 line.long 0x00 "GCDISPLAYPRIORITY,Controls the priority of the display controller requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x00 8.--15. 1. "HIGH,'Duty cycle'" newline hexmask.long.byte 0x00 0.--7. 1. "PERIOD,Period" line.long 0x04 "GCDBGCYCLECOUNTER,Increments every cycle" line.long 0x08 "GCOUTSTANDINGREADS0,Number of outstanding reads per client in multiples of 8 bytes" hexmask.long.byte 0x08 24.--31. 1. "MMU,Number of outstanding MMU reads in multiples of 8 bytes" newline hexmask.long.byte 0x08 16.--23. 1. "FE,Number of outstanding FE reads in multiples of 8 bytes" newline hexmask.long.byte 0x08 8.--15. 1. "PEZ,Number of outstanding PEZ reads in multiples of 8 bytes" newline hexmask.long.byte 0x08 0.--7. 1. "PEC,Number of outstanding PEC reads in multiples of 8 bytes" line.long 0x0C "GCOUTSTANDINGREADS1,Number of outstanding reads per client in multiples of 8 bytes" hexmask.long.byte 0x0C 24.--31. 1. "TOTAL,This field keeps the value of total read requests or total requested data (in 64 bits) depending on the value ofAQMEMORYDEBUG[19] LIMIT_CONTROL register field" newline hexmask.long.byte 0x0C 16.--23. 1. "FC,Number of outstanding FC reads in multiples of 8 bytes" newline hexmask.long.byte 0x0C 8.--15. 1. "TX,Number of outstanding TX reads in multiples of 8 bytes" newline hexmask.long.byte 0x0C 0.--7. 1. "RA,Number of outstanding RA reads in multiples of 8 bytes" line.long 0x10 "GCOUTSTANDINGWRITES,Number of outstanding writes per client" hexmask.long.byte 0x10 24.--31. 1. "TOTAL,This field keeps the value of total write requests or total requested data (in 64 bits) depending on the value ofAQMEMORYDEBUG[19] LIMIT_CONTROL register field" newline hexmask.long.byte 0x10 16.--23. 1. "FC,Number of outstanding FC writes in multiples of 8 bytes" newline hexmask.long.byte 0x10 8.--15. 1. "PEZ,Number of outstanding PEZ writes in multiples of 8 bytes" newline hexmask.long.byte 0x10 0.--7. 1. "PEC,Number of outstanding PEC writes in multiples of 8 bytes" line.long 0x14 "GCDEBUGSIGNALSRA,32 bit debug signal from RA" line.long 0x18 "GCDEBUGSIGNALSTX,32 bit debug signal from TX" line.long 0x1C "GCDEBUGSIGNALSFE,32 bit debug signal from FE" line.long 0x20 "GCDEBUGSIGNALSPE,32 bit debug signal from PE" line.long 0x24 "GCDEBUGSIGNALSDE,32 bit debug signal from DE" line.long 0x28 "GCDEBUGSIGNALSSH,32 bit debug signal from SH" line.long 0x2C "GCDEBUGSIGNALSPA,32 bit debug signal from PA" line.long 0x30 "GCDEBUGSIGNALSSE,32 bit debug signal from SE" line.long 0x34 "GCDEBUGSIGNALSMC,32 bit debug signal from MC" line.long 0x38 "GCDEBUGSIGNALSHI,32 bit debug signal from HI" line.long 0x3C "GCDEBUGCONTROL0," rbitfld.long 0x3C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 24.--27. "SH,Selects which set of 32 bit data to get from SH" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x3C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 16.--19. "PE,Selects which set of 32 bit data to get from PE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x3C 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 8.--11. "DE,Selects which set of 32 bit data to get from DE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x3C 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 0.--3. "FE,Selects which set of 32 bit data to get from FE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x40 "GCDEBUGCONTROL1," rbitfld.long 0x40 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 24.--27. "TX,Selects which set of 32 bit data to get from TX" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x40 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 16.--19. "RA,Selects which set of 32 bit data to get from RA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x40 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 8.--11. "SE,Selects which set of 32 bit data to get from SE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x40 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 0.--3. "PA,Selects which set of 32 bit data to get from PA" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x44 "GCDEBUGCONTROL2," hexmask.long.tbyte 0x44 12.--31. 1. "RESERVED," newline bitfld.long 0x44 8.--11. "HI,Selects which set of 32 bit data to get from HI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x44 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x44 0.--3. "MC,Selects which set of 32 bit data to get from MC" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x48 "GCDEBUGCONTROL3," hexmask.long.tbyte 0x48 12.--31. 1. "RESERVED," newline bitfld.long 0x48 8.--11. "PROBE1,Selects which module's output will be put in the MSB 32 bits of 64 bit debug signal" "FE,DE,PE,SH,PA,SE,RA,TX,MC,?..." newline rbitfld.long 0x48 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x48 0.--3. "PROBE0,Selects which module's output will be put in the LSB 32 bits of 64 bit debug signal" "FE,DE,PE,SH,PA,SE,RA,TX,MC,?..." line.long 0x4C "GCBUSCONTROL,Shows which features are enabled in the subsystem" hexmask.long.tbyte 0x4C 9.--31. 1. "RESERVED," newline bitfld.long 0x4C 8. "FCC,Select the return bus for FCC" "0,1" newline bitfld.long 0x4C 7. "TX,Select the return bus for TX" "0,1" newline bitfld.long 0x4C 6. "FC,Select the return bus for FC-Depth" "0,1" newline bitfld.long 0x4C 5. "MMU,Select the return bus for MMU" "0,1" newline rbitfld.long 0x4C 4. "RESERVED," "0,1" newline bitfld.long 0x4C 3. "FE,Select the return bus for FE" "0,1" newline rbitfld.long 0x4C 2. "RESERVED," "0,1" newline bitfld.long 0x4C 1. "PEZ,Select the return bus for PEZ" "0,1" newline bitfld.long 0x4C 0. "PEC,Select the return bus for PEC" "0,1" line.long 0x50 "GCREGENDIANNESS0," line.long 0x54 "GCREGENDIANNESS1," line.long 0x58 "GCREGENDIANNESS2," line.long 0x5C "GCREGDRAWPRIMITIVESTARTTIMESTAMP," line.long 0x60 "GCREGDRAWPRIMITIVEENDTIMESTAMP," group.long 0x558++0x03 line.long 0x00 "GCREGCONTROL0,Composition trigger" bitfld.long 0x00 26.--31. "MISC1," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "OUTSTANDING_READS_PER_CHANNEL," newline hexmask.long.word 0x00 4.--15. 1. "MISC0," newline bitfld.long 0x00 3. "ENABLE_UNALIGNED_WRITE_MERGE," "0,1" newline bitfld.long 0x00 2. "ENABLE_WRITE_MERGE," "0,1" newline bitfld.long 0x00 1. "ENABLE_UNALIGNED_MERGE," "0,1" newline bitfld.long 0x00 0. "ENABLE_READ_MERGE," "0,1" group.long 0x654++0x0B line.long 0x00 "AQCMDBUFFERADDR,Base address for the command buffer" bitfld.long 0x00 31. "TYPE," "0,1" newline hexmask.long 0x00 0.--30. 1. "ADDRESS,ADDRESS" line.long 0x04 "AQCMDBUFFERCTRL,Command buffer control" hexmask.long.word 0x04 22.--31. 1. "RESERVED," newline bitfld.long 0x04 20.--21. "ENDIAN_CONTROL,Endian control" "NO_SWAP,SWAP_WORD,SWAP_DWORD,?..." newline bitfld.long 0x04 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16. "ENABLE,Command buffer" "DISABLE,ENABLE" newline hexmask.long.word 0x04 0.--15. 1. "PREFETCH,Number of 64-bit words to fetch from the command buffer" line.long 0x08 "AQFESTATUS,FE status" hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "COMMAND_DATA,Status of the command parser" "Idle,Busy" rgroup.long 0x664++0x03 line.long 0x00 "AQFEDEBUGCURCMDADR,This is the command decoder address" hexmask.long 0x00 3.--31. 1. "CUR_CMD_ADR," newline bitfld.long 0x00 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x190)++0x03 line.long 0x00 "GCREGMMUEXCEPTION$1,Holds the original address that generated an exception" repeat.end tree.end tree "BB2D_FW" base ad:0x4A21A000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "BB2D_TARG" base ad:0x44000900 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "CAM_PRM" base ad:0x4AE07000 group.long 0x00++0x07 line.long 0x00 "PM_CAM_PWRSTCTRL,This register controls the CAM power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "VIP_BANK_ONSTATE,VIP_BANK memory state when domain is ON" "?,?,?,VIP_BANK_ONSTATE_3" hexmask.long.word 0x00 5.--15. 1. "RESERVED," newline bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_CAM_PWRSTST,This register provides a status on the current CAM power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "VIP_BANK_STATEST,VIP_BANK memory state status" "VIP_BANK_STATEST_0,VIP_BANK_STATEST_1,VIP_BANK_STATEST_2,VIP_BANK_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x20++0x17 line.long 0x00 "PM_CAM_VIP1_WKDEP,This register controls wakeup dependency based on VIP1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_VIP1_EVE4,Wakeup dependency from VIP1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_EVE4_0,WKUPDEP_VIP1_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_VIP1_EVE3,Wakeup dependency from VIP1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_EVE3_0,WKUPDEP_VIP1_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_VIP1_EVE2,Wakeup dependency from VIP1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_EVE2_0,WKUPDEP_VIP1_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_VIP1_EVE1,Wakeup dependency from VIP1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_EVE1_0,WKUPDEP_VIP1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_VIP1_DSP2,Wakeup dependency from VIP1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_DSP2_0,WKUPDEP_VIP1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_VIP1_IPU1,Wakeup dependency from VIP1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_IPU1_0,WKUPDEP_VIP1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_VIP1_DSP1,Wakeup dependency from VIP1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_DSP1_0,WKUPDEP_VIP1_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_VIP1_IPU2,Wakeup dependency from vip1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_IPU2_0,WKUPDEP_VIP1_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_VIP1_MPU,Wakeup dependency from VIP1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP1_MPU_0,WKUPDEP_VIP1_MPU_1" line.long 0x04 "RM_CAM_VIP1_CONTEXT,This register contains dedicated VIP1 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_VIP_BANK,Specify if memory-based context in VIP_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_VIP_BANK_0,LOSTMEM_VIP_BANK_1" hexmask.long.byte 0x04 1.--7. 1. "RESERVED," newline bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x08 "PM_CAM_VIP2_WKDEP,This register controls wakeup dependency based on VIP2 service requests" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "WKUPDEP_VIP2_EVE4,Wakeup dependency from VIP2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_EVE4_0,WKUPDEP_VIP2_EVE4_1" bitfld.long 0x08 8. "WKUPDEP_VIP2_EVE3,Wakeup dependency from VIP2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_EVE3_0,WKUPDEP_VIP2_EVE3_1" newline bitfld.long 0x08 7. "WKUPDEP_VIP2_EVE2,Wakeup dependency from VIP2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_EVE2_0,WKUPDEP_VIP2_EVE2_1" bitfld.long 0x08 6. "WKUPDEP_VIP2_EVE1,Wakeup dependency from VIP2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_EVE1_0,WKUPDEP_VIP2_EVE1_1" bitfld.long 0x08 5. "WKUPDEP_VIP2_DSP2,Wakeup dependency from VIP1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_DSP2_0,WKUPDEP_VIP2_DSP2_1" newline bitfld.long 0x08 4. "WKUPDEP_VIP2_IPU1,Wakeup dependency from VIP2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_IPU1_0,WKUPDEP_VIP2_IPU1_1" rbitfld.long 0x08 3. "RESERVED," "0,1" bitfld.long 0x08 2. "WKUPDEP_VIP2_DSP1,Wakeup dependency from VIP2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_DSP1_0,WKUPDEP_VIP2_DSP1_1" newline bitfld.long 0x08 1. "WKUPDEP_VIP2_IPU2,Wakeup dependency from VIP2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_IPU2_0,WKUPDEP_VIP2_IPU2_1" bitfld.long 0x08 0. "WKUPDEP_VIP2_MPU,Wakeup dependency from VIP2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP2_MPU_0,WKUPDEP_VIP2_MPU_1" line.long 0x0C "RM_CAM_VIP2_CONTEXT,This register contains dedicated VIP2 context statuses" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED," bitfld.long 0x0C 8. "LOSTMEM_VIP_BANK,Specify if memory-based context in VIP_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_VIP_BANK_0,LOSTMEM_VIP_BANK_1" hexmask.long.byte 0x0C 1.--7. 1. "RESERVED," newline bitfld.long 0x0C 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x10 "PM_CAM_VIP3_WKDEP,This register controls wakeup dependency based on VIP3 service requests" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," bitfld.long 0x10 9. "WKUPDEP_VIP3_EVE4,Wakeup dependency from VIP3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_EVE4_0,WKUPDEP_VIP3_EVE4_1" bitfld.long 0x10 8. "WKUPDEP_VIP3_EVE3,Wakeup dependency from VIP3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_EVE3_0,WKUPDEP_VIP3_EVE3_1" newline bitfld.long 0x10 7. "WKUPDEP_VIP3_EVE2,Wakeup dependency from VIP3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_EVE2_0,WKUPDEP_VIP3_EVE2_1" bitfld.long 0x10 6. "WKUPDEP_VIP3_EVE1,Wakeup dependency from VIP3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_EVE1_0,WKUPDEP_VIP3_EVE1_1" bitfld.long 0x10 5. "WKUPDEP_VIP3_DSP2,Wakeup dependency from VIP3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_DSP2_0,WKUPDEP_VIP3_DSP2_1" newline bitfld.long 0x10 4. "WKUPDEP_VIP3_IPU1,Wakeup dependency from VIP3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_IPU1_0,WKUPDEP_VIP3_IPU1_1" rbitfld.long 0x10 3. "RESERVED," "0,1" bitfld.long 0x10 2. "WKUPDEP_VIP3_DSP1,Wakeup dependency from VIP3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_DSP1_0,WKUPDEP_VIP3_DSP1_1" newline bitfld.long 0x10 1. "WKUPDEP_VIP3_IPU2,Wakeup dependency from vip3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_IPU2_0,WKUPDEP_VIP3_IPU2_1" bitfld.long 0x10 0. "WKUPDEP_VIP3_MPU,Wakeup dependency from VIP3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VIP3_MPU_0,WKUPDEP_VIP3_MPU_1" line.long 0x14 "RM_CAM_VIP3_CONTEXT,This register contains dedicated VIP3 context statuses" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED," bitfld.long 0x14 8. "LOSTMEM_VIP_BANK,Specify if memory-based context in VIP_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_VIP_BANK_0,LOSTMEM_VIP_BANK_1" hexmask.long.byte 0x14 1.--7. 1. "RESERVED," newline bitfld.long 0x14 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "CFG_AP" base ad:0x4A000000 rgroup.long 0x00++0x07 line.long 0x00 "L4_AP_COMPONENT_L,Contains a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_AP_COMPONENT_H,Contains a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x700++0x07 line.long 0x00 "L4_AP_REGION_l_L_128,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" line.long 0x04 "L4_AP_REGION_l_H_128,Define the size. protection group and segment ID of the region" rbitfld.long 0x04 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 27. "RESERVED,Read returns 0" "0,1" rbitfld.long 0x04 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 23. "RESERVED,Read returns 0" "0,1" bitfld.long 0x04 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 19. "RESERVED,Read returns 0" "0,1" rbitfld.long 0x04 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x04 15.--16. "RESERVED,Read returns 0" "0,1,2,3" hexmask.long.byte 0x04 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x04 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x04 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 0. "ENABLE," "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x684)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x604)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x584)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x504)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x484)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x384)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x304)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x680)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x600)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x580)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x500)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x480)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x380)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x284)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_L_$1,Define MReqInfo bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x204)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x200)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_L_$1,Define ConnID bit vectors for a protection group" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CONNID_BIT_VECTOR,Specifies protection group members N is 2**W where W is the connID width" repeat.end repeat 3. (list 0. 1. 2. )(list 0x00 0x08 0x10 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_H_$1,Define the size of each segments" hexmask.long 0x00 5.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--4. "SIZE,Segment size is a power of 2 where 2 is the byte size of a segment (all segment registers use the same size)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 3. (list 0. 1. 2. )(list 0x00 0x08 0x10 ) rgroup.long ($2+0x100)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_L_$1,Define the base address of each segments" repeat.end tree.end tree "CFG_IA_IP0" base ad:0x4A001000 rgroup.long 0x00++0x07 line.long 0x00 "L4_IA_COMPONENT_L,COMPONENT register identifies the component to which this register block belongs" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_IA_COMPONENT_H,COMPONENT register identifies the component to which this register block belongs" rgroup.long 0x18++0x17 line.long 0x00 "L4_IA_CORE_L,Provide information about the core initiator" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_IA_CORE_H,Provide information about the core initiator" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_IA_AGENT_CONTROL_L,Core control for an initiator OCP interface" bitfld.long 0x08 31. "PROT_ERROR_SECONDARY_REP,Out-of-band reporting of protection mechanism secondary errors" "0,1" bitfld.long 0x08 30. "PROT_ERROR_PRIMARY_REP,Out-of-band reporting of protection mechanism primary errors" "0,1" rbitfld.long 0x08 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x08 27. "INBAND_ERROR_REP,Setting this field to 1 reports on in-band errors using the INBAND_ERROR log bit of IA.AGENT_STATUS register" "0,1" rbitfld.long 0x08 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x08 24. "MERROR_REP,OCP MError reporting control" "0,1" newline hexmask.long.tbyte 0x08 0.--23. 1. "RESERVED," line.long 0x0C "L4_IA_AGENT_CONTROL_H,Enable error reporting on an initiator interface" line.long 0x10 "L4_IA_AGENT_STATUS_L,Stores status information for an initiator" bitfld.long 0x10 31. "PROT_ERROR_SECONDARY," "0,1" bitfld.long 0x10 30. "PROT_ERROR_PRIMARY," "0,1" rbitfld.long 0x10 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x10 27. "INBAND_ERROR,0x0 No In-Band error present.0x1 In-Band error present" "0,1" rbitfld.long 0x10 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x10 24. "MERROR,Value of the OCP MError signal" "0,1" newline hexmask.long.tbyte 0x10 0.--23. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_IA_AGENT_STATUS_H,Stores status information for an initiator" group.long 0x58++0x0F line.long 0x00 "L4_IA_ERROR_LOG_L,Log information about error conditions" bitfld.long 0x00 31. "MULTI,Multiple errors detected" "0,1" bitfld.long 0x00 30. "SECONDARY,Indicates whether protection violation was a primary or secondary error" "0,1" rbitfld.long 0x00 26.--29. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--25. "CODE,The error code of an initiator request" "No errors,Reserved,?..." hexmask.long.word 0x00 14.--23. 1. "RESERVED,Read returns 0" rbitfld.long 0x00 8.--13. "CONNID,ConnID of request causing the error refer to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--2. "CMD,Command that caused error" "0,1,2,3,4,5,6,7" line.long 0x04 "L4_IA_ERROR_LOG_H,Log information about error conditions" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.word 0x04 0.--15. 1. "REQ_INFO,MReqInfo bits of request that caused the error REQ_INFO[0] = supervisor REQ_INFO[1] = Debug" line.long 0x08 "L4_IA_ERROR_LOG_ADDR_L,Extended error log (address information)" line.long 0x0C "L4_IA_ERROR_LOG_ADDR_H,Extended error log (address information)" tree.end tree "CFG_LA" base ad:0x4A000800 rgroup.long 0x00++0x07 line.long 0x00 "L4_LA_COMPONENT_L,Contain a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_LA_COMPONENT_H,Contain a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x10++0x17 line.long 0x00 "L4_LA_NETWORK_L,Identify the interconnect" line.long 0x04 "L4_LA_NETWORK_H,Identify the interconnect" line.long 0x08 "L4_LA_INITIATOR_INFO_L,Contain initiator subsystem information" bitfld.long 0x08 28.--31. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "PROT_GROUPS,Number of protection group of in the current L4" "No protection group,1 protection group,2 protection groups,?,?,?,?,?,8 protection groups 0x9 to,?,?,?,?,?,?,Reserved" newline abitfld.long 0x08 16.--23. "NUMBER_REGIONS,Number of regions in the current L4" "0x00=Reserved,0x01=1 region,0x02=2 regions,0xFF=Reserved maximum regions is listed in" hexmask.long.word 0x08 4.--15. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0.--3. "SEGMENTS,Number of segments in the current L4" "Reserved,1 segment,2 segments,?,?,?,?,?,8 segments,?..." line.long 0x0C "L4_LA_INITIATOR_INFO_H,Contain initiator subsystem information" hexmask.long.word 0x0C 19.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 16.--18. "THREADS,The THREADS field specifies the number of initiator threads connected to the interconnect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 15. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 12.--14. "CONNID_WIDTH,The initiator subsystem ConnID width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 11. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 8.--10. "BYTE_DATA_WIDTH_EXP,This field specifies the initiator subsystem data width.The BYTE_DATA_WIDTH_EXP field contains read-only configuration information for the initiator subsystem" "?,16-bit data width is specified,32-bit data width is specified,?..." newline bitfld.long 0x0C 6.--7. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x0C 0.--5. "ADDR_WIDTH,This field specifies the initiator subsystem address width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "L4_LA_NETWORK_CONTROL_L,Control interconnect minimum timeout values" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x10 8.--10. "TIMEOUT_BASE,The TIMEOUT_BASE field indicates the time-out period (that is base cycles) for the highest frequency time-base signal sent from the L4 initiator subsystem to all target agents that have time-out enabled" "Time-out disabled,L4 interconnect clock cycles divided by 64,L4 interconnect clock cycles divided by 256,L4 interconnect clock cycles divided by 1024,L4 interconnect clock cycles divided by 4096,?..." newline hexmask.long.byte 0x10 0.--7. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_LA_NETWORK_CONTROL_H,Control interconnect global power control" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x14 24. "CLOCK_GATE_DISABLE,When set to 1 this field disables all clock gating" "0,1" newline rbitfld.long 0x14 21.--23. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 20. "THREAD0_PRI,Sets thread priority" "0,1" newline hexmask.long.word 0x14 9.--19. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 8. "EXT_CLOCK,Global external clock control" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED,Read returns 0" tree.end tree "CKGEN_PRM" base ad:0x4AE06100 group.long 0x00++0x03 line.long 0x00 "CM_CLKSEL_SYSCLK1,Select the SYS CLK for SYSCLK1_32K_CLK" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" group.long 0x08++0x33 line.long 0x00 "CM_CLKSEL_WKUPAON,Control the functional clock source of WKUPAON. PRM and Smart Reflex functional clock" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "CLKSEL,Select the clock source for WKUPAON_ICLK clock" "CLKSEL_0,CLKSEL_1" line.long 0x04 "CM_CLKSEL_ABE_PLL_REF,Control the source of the reference clock for DPLL_ABE" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "CLKSEL,Select the source for the DPLL_ABE reference clock" "CLKSEL_0,CLKSEL_1" line.long 0x08 "CM_CLKSEL_SYS,ROM code sets the SYS_CLK configuration corresponding to the frequency of SYS_CLK" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "SYS_CLKSEL,System clock input selection" "SYS_CLKSEL_0,SYS_CLKSEL_1,SYS_CLKSEL_2,SYS_CLKSEL_3,SYS_CLKSEL_4,SYS_CLKSEL_5,SYS_CLKSEL_6,SYS_CLKSEL_7" line.long 0x0C "CM_CLKSEL_ABE_PLL_BYPAS,Control the source of the bypass clock for DPLL_ABE" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "CLKSEL,Control the source of the bypass clock for DPLL_ABE" "CLKSEL_0,CLKSEL_1" line.long 0x10 "CM_CLKSEL_ABE_PLL_SYS,Control the source of the SYS clock for DPLL_ABE" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "CLKSEL,Select the SYS clock for the DPLL_ABE reference and bypass clock" "CLKSEL_0,CLKSEL_1" line.long 0x14 "CM_CLKSEL_ABE_24M,Select the ABE_24M_FCLK for TIMERS subsystems" hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" line.long 0x18 "CM_CLKSEL_ABE_SYS,Select the SYS CLK for IPU subsystems" hexmask.long 0x18 1.--31. 1. "RESERVED," bitfld.long 0x18 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" line.long 0x1C "CM_CLKSEL_HDMI_MCASP_AUX,Select the HDMI_CLK for MCASP subsystems" hexmask.long 0x1C 3.--31. 1. "RESERVED," bitfld.long 0x1C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x20 "CM_CLKSEL_HDMI_TIMER,Select the HDMI_CLK for TIMER subsystems" hexmask.long 0x20 3.--31. 1. "RESERVED," bitfld.long 0x20 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x24 "CM_CLKSEL_MCASP_SYS,Select the SYS CLK for ABE_24M_FCLK" hexmask.long 0x24 1.--31. 1. "RESERVED," bitfld.long 0x24 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" line.long 0x28 "CM_CLKSEL_MLBP_MCASP,Select the MLBP_CLK for MCASP subsystems" hexmask.long 0x28 3.--31. 1. "RESERVED," bitfld.long 0x28 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x2C "CM_CLKSEL_MLB_MCASP,Select the MLB_CLK for MCASP subsystems" hexmask.long 0x2C 3.--31. 1. "RESERVED," bitfld.long 0x2C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x30 "CM_CLKSEL_PER_ABE_X1_GFCLK_MCASP_AUX,Select the PER_ABE_X1_GFCLK_CLK for MCASP subsystems" hexmask.long 0x30 3.--31. 1. "RESERVED," bitfld.long 0x30 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" group.long 0x44++0x37 line.long 0x00 "CM_CLKSEL_TIMER_SYS,Select the SYS CLK for TIMERS subsystems" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" line.long 0x04 "CM_CLKSEL_VIDEO1_MCASP_AUX,Select the VIDEO1_CLK for MCASP subsystems" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x08 "CM_CLKSEL_VIDEO1_TIMER,Select the VIDEO1_CLK for TIMER subsystems" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x0C "CM_CLKSEL_VIDEO2_MCASP_AUX,Select the VIDEO2_CLK for MCASP subsystems" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x10 "CM_CLKSEL_VIDEO2_TIMER,Select the VIDEO2_CLK for TIMER subsystems" hexmask.long 0x10 3.--31. 1. "RESERVED," bitfld.long 0x10 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x14 "CM_CLKSEL_CLKOUTMUX0,Control the source of the CLKOUTMUX0_CLK" hexmask.long 0x14 5.--31. 1. "RESERVED," bitfld.long 0x14 0.--4. "CLKSEL,Select the source clock for" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,CLKSEL_12,CLKSEL_13,CLKSEL_14,CLKSEL_15,CLKSEL_16,CLKSEL_17,CLKSEL_18,CLKSEL_19,CLKSEL_20,?,?,?,?,?,?,?,?,?,?,?" line.long 0x18 "CM_CLKSEL_CLKOUTMUX1,Control the source of the CLKOUTMUX1_CLK" hexmask.long 0x18 5.--31. 1. "RESERVED," bitfld.long 0x18 0.--4. "CLKSEL,Select the source clock for" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,CLKSEL_12,CLKSEL_13,CLKSEL_14,CLKSEL_15,CLKSEL_16,CLKSEL_17,CLKSEL_18,CLKSEL_19,CLKSEL_20,CLKSEL_21,?,?,?,?,?,?,?,?,?,?" line.long 0x1C "CM_CLKSEL_CLKOUTMUX2,Control the source of the CLKOUTMUX2_CLK" hexmask.long 0x1C 5.--31. 1. "RESERVED," bitfld.long 0x1C 0.--4. "CLKSEL,Select the source clock for" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,CLKSEL_12,CLKSEL_13,CLKSEL_14,CLKSEL_15,CLKSEL_16,CLKSEL_17,CLKSEL_18,CLKSEL_19,CLKSEL_20,CLKSEL_21,?,?,?,?,?,?,?,?,?,?" line.long 0x20 "CM_CLKSEL_HDMI_PLL_SYS,Control the source of the SYS clock for DPLL_HDMI" hexmask.long 0x20 1.--31. 1. "RESERVED," bitfld.long 0x20 0. "CLKSEL,Select the SYS clock for the DPLL_HDMI" "CLKSEL_0,CLKSEL_1" line.long 0x24 "CM_CLKSEL_VIDEO1_PLL_SYS,Control the source of the SYS clock for DPLL_VIDEO1" hexmask.long 0x24 1.--31. 1. "RESERVED," bitfld.long 0x24 0. "CLKSEL,Select the SYS clock for the DPLL_VIDEO1" "CLKSEL_0,CLKSEL_1" line.long 0x28 "CM_CLKSEL_VIDEO2_PLL_SYS,Control the source of the SYS clock for DPLL_VIDEO1" hexmask.long 0x28 1.--31. 1. "RESERVED," bitfld.long 0x28 0. "CLKSEL,Select the SYS clock for the DPLL_VIDEO2" "CLKSEL_0,CLKSEL_1" line.long 0x2C "CM_CLKSEL_ABE_CLK_DIV,Select the ABE_CLK" hexmask.long 0x2C 3.--31. 1. "RESERVED," bitfld.long 0x2C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x30 "CM_CLKSEL_ABE_GICLK_DIV,Select the ABE_GICLK" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" line.long 0x34 "CM_CLKSEL_AESS_FCLK_DIV,Select the AESS_FCLK" hexmask.long 0x34 1.--31. 1. "RESERVED," bitfld.long 0x34 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" group.long 0x80++0x5B line.long 0x00 "CM_CLKSEL_EVE_CLK,Control the source of the EVE_CLK for EVE1. EVE2. EVE3. EVE4" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "CLKSEL,Select the EVE_CLK for EVE1 EVE2 EVE3 EVE4" "CLKSEL_0,CLKSEL_1" line.long 0x04 "CM_CLKSEL_USB_OTG_CLK_CLKOUTMUX,Select the USB_OTG_CLK" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x08 "CM_CLKSEL_CORE_DPLL_OUT_CLK_CLKOUTMUX,Select the CORE_DPLL_OUT_CLK" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x0C "CM_CLKSEL_DSP_GFCLK_CLKOUTMUX,Select the DSP_GFCLK" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x10 "CM_CLKSEL_EMIF_PHY_GCLK_CLKOUTMUX,Select the EMIF_PHY_GCLK" hexmask.long 0x10 3.--31. 1. "RESERVED," bitfld.long 0x10 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x14 "CM_CLKSEL_EMU_CLK_CLKOUTMUX,Select the EMU_CLK" hexmask.long 0x14 3.--31. 1. "RESERVED," bitfld.long 0x14 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x18 "CM_CLKSEL_FUNC_96M_AON_CLK_CLKOUTMUX,Select the FUNC_96M_AON_CLK" hexmask.long 0x18 3.--31. 1. "RESERVED," bitfld.long 0x18 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x1C "CM_CLKSEL_GMAC_250M_CLK_CLKOUTMUX,Select the GMAC_250M_CLK" hexmask.long 0x1C 3.--31. 1. "RESERVED," bitfld.long 0x1C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x20 "CM_CLKSEL_GPU_GCLK_CLKOUTMUX,Select the GPU_GCLK" hexmask.long 0x20 3.--31. 1. "RESERVED," bitfld.long 0x20 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x24 "CM_CLKSEL_HDMI_CLK_CLKOUTMUX,Select the HDMI_CLK" hexmask.long 0x24 3.--31. 1. "RESERVED," bitfld.long 0x24 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x28 "CM_CLKSEL_IVA_GCLK_CLKOUTMUX,Select the IVA_GCLK" hexmask.long 0x28 3.--31. 1. "RESERVED," bitfld.long 0x28 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x2C "CM_CLKSEL_L3INIT_480M_GFCLK_CLKOUTMUX,Select the L3INIT_480M_GFCLK" hexmask.long 0x2C 3.--31. 1. "RESERVED," bitfld.long 0x2C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x30 "CM_CLKSEL_MPU_GCLK_CLKOUTMUX,Select the MPU_GCLK" hexmask.long 0x30 3.--31. 1. "RESERVED," bitfld.long 0x30 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x34 "CM_CLKSEL_PCIE1_CLK_CLKOUTMUX,Select the PCIE1_DCLK. where APLL_PCIE_M2_CLK is the source clock of PCIE1_DCLK" hexmask.long 0x34 3.--31. 1. "RESERVED," bitfld.long 0x34 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x38 "CM_CLKSEL_PCIE2_CLK_CLKOUTMUX,Select the PCIE2_DCLK. where PCIE_M2_CLK is the source clock of PCIE2_DCLK" hexmask.long 0x38 3.--31. 1. "RESERVED," bitfld.long 0x38 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x3C "CM_CLKSEL_PER_ABE_X1_CLK_CLKOUTMUX,Select the PER_ABE_X1_CLK" hexmask.long 0x3C 3.--31. 1. "RESERVED," bitfld.long 0x3C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x40 "CM_CLKSEL_SATA_CLK_CLKOUTMUX,Select the SATA_CLK" hexmask.long 0x40 3.--31. 1. "RESERVED," bitfld.long 0x40 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x44 "CM_CLKSEL_OSC_32K_CLK_CLKOUTMUX,Select the OSC_32K_CLK" hexmask.long 0x44 3.--31. 1. "RESERVED," bitfld.long 0x44 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x48 "CM_CLKSEL_SYS_CLK1_CLKOUTMUX,Select the SYS_CLK1" hexmask.long 0x48 3.--31. 1. "RESERVED," bitfld.long 0x48 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x4C "CM_CLKSEL_SYS_CLK2_CLKOUTMUX,Select the SYS_CLK2" hexmask.long 0x4C 3.--31. 1. "RESERVED," bitfld.long 0x4C 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x50 "CM_CLKSEL_VIDEO1_CLK_CLKOUTMUX,Select the VIDEO1_CLK" hexmask.long 0x50 3.--31. 1. "RESERVED," bitfld.long 0x50 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x54 "CM_CLKSEL_VIDEO2_CLK_CLKOUTMUX,Select the VIDEO2_CLK" hexmask.long 0x54 3.--31. 1. "RESERVED," bitfld.long 0x54 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" line.long 0x58 "CM_CLKSEL_ABE_LP_CLK,Select the ABE_LP_CLK" hexmask.long 0x58 1.--31. 1. "RESERVED," bitfld.long 0x58 0. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1" group.long 0xE0++0x03 line.long 0x00 "CM_CLKSEL_EVE_GFCLK_CLKOUTMUX,Select the EVE_GFCLK" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "CLKSEL,Selects the divider value" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7" tree.end tree "CLK1_2_BB2D_P1_BW_LIMITER" base ad:0x44805900 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_BB2D_P1_BW_REGULATOR" base ad:0x44804E00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_BB2D_P2_BW_LIMITER" base ad:0x44805A00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_BB2D_P2_BW_REGULATOR" base ad:0x44805100 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_DSP1_EDMA_BW_REGULATOR" base ad:0x44804B00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_DSP1_MDMA_BW_REGULATOR" base ad:0x44804C00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_DSP2_EDMA_BW_REGULATOR" base ad:0x44804A00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_DSP2_MDMA_BW_REGULATOR" base ad:0x44804D00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE1_TC0_BW_REGULATOR" base ad:0x44804200 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE1_TC1_BW_REGULATOR" base ad:0x44804600 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE2_TC0_BW_REGULATOR" base ad:0x44804300 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE2_TC1_BW_REGULATOR" base ad:0x44804700 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE3_TC0_BW_REGULATOR" base ad:0x44804400 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE3_TC1_BW_REGULATOR" base ad:0x44804800 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE4_TC0_BW_REGULATOR" base ad:0x44804500 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_EVE4_TC1_BW_REGULATOR" base ad:0x44804900 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_GMAC_SW_BW_REGULATOR" base ad:0x44805600 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_GPU_P1_BW_LIMITER" base ad:0x44805B00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_GPU_P1_BW_REGULATOR" base ad:0x44805200 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_GPU_P2_BW_LIMITER" base ad:0x44805C00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_GPU_P2_BW_REGULATOR" base ad:0x44805300 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_IVA_BW_REGULATOR" base ad:0x44805000 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_MMU1_BW_LIMITER" base ad:0x44803A00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_MMU2_BW_REGULATOR" base ad:0x44803B00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_PCIESS1_BW_REGULATOR" base ad:0x44805500 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_PCIESS2_BW_REGULATOR" base ad:0x44805400 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_REGULATOR_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_REGULATOR_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_REGULATOR_BANDWIDTH," hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--15. 1. "BANDWIDTH,Bandwidth in bytes per second" line.long 0x0C "L3_BW_REGULATOR_WATERMARK," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x0C 0.--11. 1. "WATERMARK,Peak permissible bandwidth in bytes" line.long 0x10 "L3_BW_REGULATOR_PRESS," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 2.--3. "PRESS_LOW,Pressure value inserted if the measured bandwidth is over the watermark" "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRESS_HIGH,Pressure value inserted if the measured bandwidth is under the watermark" "0,1,2,3" line.long 0x14 "L3_BW_REGULATOR_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_TPTC1_RD_BW_LIMITER" base ad:0x44803C00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_TPTC1_WR_BW_LIMITER" base ad:0x44803E00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_TPTC2_RD_BW_LIMITER" base ad:0x44803D00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_TPTC2_WR_BW_LIMITER" base ad:0x44803F00 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_VPE_P1_BW_LIMITER" base ad:0x44804100 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_2_VPE_P2_BW_LIMITER" base ad:0x44804000 rgroup.long 0x00++0x17 line.long 0x00 "L3_BW_LIMITER_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_BW_LIMITER_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_BW_LIMITER_BANDWIDTH_FRACTIONAL," hexmask.long 0x08 5.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--4. "BANDWIDTH_FRACTIONAL,Fractional part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "L3_BW_LIMITER_BANDWIDTH_INTEGER," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "BANDWIDTH_INTEGER,Integer part of bandwitdh in terms of bytes per second Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_BW_LIMITER_WATERMARK_0," hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--13. 1. "WATERMARK_0,Peak bandwidth allowed Type: Control" line.long 0x14 "L3_BW_LIMITER_CLEARHISTORY," hexmask.long 0x14 1.--31. 1. "RESERVED," newline bitfld.long 0x14 0. "CLEARHISTORY,Write a 1 clear the traffic counter Type: Give_AutoCleared" "0,1" tree.end tree "CLK1_FLAGMUX_CLK1" base ad:0x44000000 rgroup.long 0x805700++0x0F line.long 0x00 "L3_FLAGMUX_TIMEOUT1_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_TIMEOUT1_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_FLAGMUX_TIMEOUT1_MASK0," rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long 0x08 0.--29. 1. "MASK0,mask flag inputs 0 Type: Control" line.long 0x0C "L3_FLAGMUX_TIMEOUT1_REGERR0," hexmask.long.byte 0x0C 25.--31. 1. "RESERVED," newline hexmask.long 0x0C 0.--24. 1. "REGERR0,flag inputs 0 Type: Status" rgroup.long 0x805800++0x0F line.long 0x00 "L3_FLAGMUX_TIMEOUT2_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_TIMEOUT2_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_FLAGMUX_TIMEOUT2_MASK0," hexmask.long.word 0x08 21.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x08 0.--20. 1. "MASK0,mask flag inputs 0 Type: Control" line.long 0x0C "L3_FLAGMUX_TIMEOUT2_REGERR0," hexmask.long.word 0x0C 21.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x0C 0.--20. 1. "REGERR0,flag inputs 0 Type: Status" tree.end repeat 2. (list 1. 2. )(list ad:0x44803500 ad:0x44803600 ) tree "CLK1_FLAGMUX_CLK1_$1" base $2 rgroup.long 0x00++0x17 line.long 0x00 "L3_FLAGMUX_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_FLAGMUX_MASK0," line.long 0x0C "L3_FLAGMUX_REGERR0," line.long 0x10 "L3_FLAGMUX_MASK1," line.long 0x14 "L3_FLAGMUX_REGERR1," tree.end repeat.end tree "CLK1_FLAGMUX_CLK1MERGE" base ad:0x44000000 rgroup.long 0x800400++0x07 line.long 0x00 "L3_FLAGMUX_CLK1MERGE_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_CLK1MERGE_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x80040C)++0x03 line.long 0x00 "L3_FLAGMUX_CLK1MERGE_REGERR$1," hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "REGERR0,Flag inputs 0 Type: Control" "0,1,2,3" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x800408)++0x03 line.long 0x00 "L3_FLAGMUX_CLK1MERGE_MASK$1," hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "MASK0,Mask flag inputs 0 Type: Control" "0,1,2,3" repeat.end tree.end repeat 2. (list 1. 2. )(list ad:0x44000000 ad:0x44800000 ) tree "CLK1_HOST_CLK1_$1" base $2 rgroup.long 0x00++0x0B line.long 0x00 "L3_HOST_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_HOST_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_HOST_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 3.--31. 1. "RESERVED," newline bitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Fault is asserted when the Fault Control register field indicates a Fault and de-asserted when FltCnt is reset" "0,1" newline bitfld.long 0x08 0.--1. "RESERVED," "0,1,2,3" group.long 0x40++0x37 line.long 0x00 "L3_HOST_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_HOST_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_HOST_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED," newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED," newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_HOST_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_HOST_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_HOST_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED," newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_HOST_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_HOST_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_HOST_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_HOST_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,Type: Status" line.long 0x28 "L3_HOST_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Type: Status" line.long 0x2C "L3_HOST_STDERRLOG_CUSTOMINFO_WR," hexmask.long 0x2C 1.--31. 1. "RESERVED," newline bitfld.long 0x2C 0. "STDERRLOG_CUSTOMINFO_WR,Type: Status" "0,1" line.long 0x30 "L3_HOST_STDERRLOG_CUSTOMINFO_ADDR," hexmask.long.word 0x30 21.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x30 0.--20. 1. "STDERRLOG_CUSTOMINFO_ADDR,Type: Status" line.long 0x34 "L3_HOST_STDERRLOG_CUSTOMINFO_DECERR," hexmask.long 0x34 1.--31. 1. "RESERVED," newline bitfld.long 0x34 0. "STDERRLOG_CUSTOMINFO_DECERR,Type: Status" "0,1" tree.end repeat.end tree "CLK2_FLAGMUX_CLK2" base ad:0x45000000 rgroup.long 0x400++0x0F line.long 0x00 "L3_FLAGMUX_TIMEOUT_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constantreporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constantreporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_TIMEOUT_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constantreporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_FLAGMUX_TIMEOUT_MASK0," hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--1. "MASK0,mask flag inputs 0 Type: Control" "0,1,2,3" line.long 0x0C "L3_FLAGMUX_TIMEOUT_REGERR0," hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--1. "REGERR0,flag inputs 0 Type: Status" "0,1,2,3" tree.end tree "CLK2_FLAGMUX_CLK2_1" base ad:0x45000200 rgroup.long 0x00++0x17 line.long 0x00 "L3_FLAGMUX_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_FLAGMUX_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_FLAGMUX_MASK0," line.long 0x0C "L3_FLAGMUX_REGERR0," line.long 0x10 "L3_FLAGMUX_MASK1," line.long 0x14 "L3_FLAGMUX_REGERR1," tree.end tree "CLK2_FLAGMUX_STATCOLL" base ad:0x45000500 group.long 0x00++0x0F line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_STCOL_MASK0," hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," newline hexmask.long.word 0x08 0.--9. 1. "MASK0,mask flag inputs 0 Type: Control" line.long 0x0C "L3_STCOL_REGERR0," hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--9. 1. "REGERR0,flag inputs 0 Type: Status" tree.end tree "CLK2_HOST_CLK2_1" base ad:0x45000000 rgroup.long 0x00++0x0B line.long 0x00 "L3_HOST_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_HOST_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_HOST_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 3.--31. 1. "RESERVED," newline bitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Fault is asserted when the Fault Control register field indicates a Fault and de-asserted when FltCnt is reset" "0,1" newline bitfld.long 0x08 0.--1. "RESERVED," "0,1,2,3" group.long 0x40++0x37 line.long 0x00 "L3_HOST_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_HOST_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_HOST_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED," newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED," newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_HOST_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_HOST_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_HOST_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED," newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_HOST_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_HOST_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_HOST_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_HOST_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,Type: Status" line.long 0x28 "L3_HOST_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Type: Status" line.long 0x2C "L3_HOST_STDERRLOG_CUSTOMINFO_WR," hexmask.long 0x2C 1.--31. 1. "RESERVED," newline bitfld.long 0x2C 0. "STDERRLOG_CUSTOMINFO_WR,Type: Status" "0,1" line.long 0x30 "L3_HOST_STDERRLOG_CUSTOMINFO_ADDR," hexmask.long.word 0x30 21.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x30 0.--20. 1. "STDERRLOG_CUSTOMINFO_ADDR,Type: Status" line.long 0x34 "L3_HOST_STDERRLOG_CUSTOMINFO_DECERR," hexmask.long 0x34 1.--31. 1. "RESERVED," newline bitfld.long 0x34 0. "STDERRLOG_CUSTOMINFO_DECERR,Type: Status" "0,1" tree.end repeat 6. (list 2. 4. 6. 7. 8. 9. )(list ad:0x45003000 ad:0x45005000 ad:0x45007000 ad:0x45008000 ad:0x45009000 ad:0x4500A000 ) tree "CLK2_STATCOLL$1" base $2 group.long 0x00++0x2F line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_STCOL_EN," hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 0. "EN,Enable performance monitoring this will also shut down the clock if En = 0 Type: Control" "0,1" line.long 0x0C "L3_STCOL_SOFTEN," hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 0. "SOFTEN,Software enable for performance monitoring Type: Control" "0,1" line.long 0x10 "L3_STCOL_IGNORESUSPEND," hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "IGNORESUSPEND,Ignore suspend if set to one for suspend mechanism Type: Control" "0,1" line.long 0x14 "L3_STCOL_TRIGEN," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "TRIGEN,TrigEn when set it enable the external trigger start and stop Type: Control" "0,1" line.long 0x18 "L3_STCOL_REQEVT," hexmask.long 0x18 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--3. "REQEVT,Req event select Type: Control" "REQEVT_0,REQEVT_1,REQEVT_2,REQEVT_3,REQEVT_4,REQEVT_5,REQEVT_6,REQEVT_7,REQEVT_8,?,?,?,?,?,?,?" line.long 0x1C "L3_STCOL_RSPEVT," hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 0.--3. "RSPEVT,Rsp event select Type: Control" "RSPEVT_0,RSPEVT_1,RSPEVT_2,RSPEVT_3,RSPEVT_4,RSPEVT_5,RSPEVT_6,RSPEVT_7,RSPEVT_8,?,?,?,?,?,?,?" line.long 0x20 "L3_STCOL_EVTMUX_SEL0," hexmask.long 0x20 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0.--2. "EVTMUX_SEL0,The select of the mux 0 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x24 "L3_STCOL_EVTMUX_SEL1," hexmask.long 0x24 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x24 0.--2. "EVTMUX_SEL1,The select of the mux 1 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x28 "L3_STCOL_EVTMUX_SEL2," hexmask.long 0x28 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 0.--2. "EVTMUX_SEL2,The select of the mux 2 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x2C "L3_STCOL_EVTMUX_SEL3," hexmask.long 0x2C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--2. "EVTMUX_SEL3,The select of the mux 3 Type: Control" "0,1,2,3,4,5,6,7" rgroup.long 0x40++0x3B line.long 0x00 "L3_STCOL_DUMP_IDENTIFIER," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--3. "DUMP_IDENTIFIER,Probe identifier Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "L3_STCOL_DUMP_COLLECTTIME," line.long 0x08 "L3_STCOL_DUMP_SLVADDR," hexmask.long 0x08 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x08 0.--6. 1. "DUMP_SLVADDR,Dump slave address Type: Control" line.long 0x0C "L3_STCOL_DUMP_MSTADDR," hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x0C 0.--7. 1. "DUMP_MSTADDR,Dump master address Type: Control" line.long 0x10 "L3_STCOL_DUMP_SLVOFS," line.long 0x14 "L3_STCOL_DUMP_MODE," hexmask.long 0x14 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 1. "DUMP_MODE_CONDITIONAL,Define the stat conditional dump if one a dump will be generated when alarm is trigged Type: Control" "0,1" newline bitfld.long 0x14 0. "DUMP_MODE_MANUAL,Define the dump mode: if != 0 the dump is controlled by the Send register" "0,1" line.long 0x18 "L3_STCOL_DUMP_SEND," hexmask.long 0x18 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0. "DUMP_SEND,In manual mode is used to send the dump content and initialize the counters" "0,1" line.long 0x1C "L3_STCOL_DUMP_DISABLE," hexmask.long 0x1C 1.--31. 1. "RESERVED," newline bitfld.long 0x1C 0. "DUMP_DISABLE,If 1 the dump frame will be disabled but counters still active.This is typically used when counters monitoring is enabled Type: Control" "0,1" line.long 0x20 "L3_STCOL_DUMP_ALARM_TRIG," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "DUMP_ALARM_TRIG,In Alarm Mode is used to reset Alarm Type: Take" "0,1" line.long 0x24 "L3_STCOL_DUMP_ALARM_MINVAL," line.long 0x28 "L3_STCOL_DUMP_ALARM_MAXVAL," line.long 0x2C "L3_STCOL_DUMP_ALARM_MODE0," hexmask.long 0x2C 2.--31. 1. "RESERVED," newline bitfld.long 0x2C 0.--1. "DUMP_ALARM_MODE0,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE0_0,DUMP_ALARM_MODE0_1,DUMP_ALARM_MODE0_2,DUMP_ALARM_MODE0_3" line.long 0x30 "L3_STCOL_DUMP_ALARM_MODE1," hexmask.long 0x30 2.--31. 1. "RESERVED," newline bitfld.long 0x30 0.--1. "DUMP_ALARM_MODE1,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE1_0,DUMP_ALARM_MODE1_1,DUMP_ALARM_MODE1_2,DUMP_ALARM_MODE1_3" line.long 0x34 "L3_STCOL_DUMP_ALARM_MODE2," hexmask.long 0x34 2.--31. 1. "RESERVED," newline bitfld.long 0x34 0.--1. "DUMP_ALARM_MODE2,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE2_0,DUMP_ALARM_MODE2_1,DUMP_ALARM_MODE2_2,DUMP_ALARM_MODE2_3" line.long 0x38 "L3_STCOL_DUMP_ALARM_MODE3," hexmask.long 0x38 2.--31. 1. "RESERVED," newline bitfld.long 0x38 0.--1. "DUMP_ALARM_MODE3,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE3_0,DUMP_ALARM_MODE3_1,DUMP_ALARM_MODE3_2,DUMP_ALARM_MODE3_3" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1FC)++0x03 line.long 0x00 "L3_STCOL_OP_i_SEL_$1," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--3. "OP_i_SEL,Select logical operation Type: Control" "OP_i_SEL_0,OP_i_SEL_1,OP_i_SEL_2,OP_i_SEL_3,OP_i_SEL_4,OP_i_SEL_5,OP_i_SEL_6,OP_i_SEL_7,OP_i_SEL_8,?,?,?,?,?,?,?" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F8)++0x03 line.long 0x00 "L3_STCOL_OP_i_EVTINFOSEL_$1," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "OP_i_EVTINFOSEL,Select event info data to add to counter (len/press or latency) Type: Control" "OP_i_EVTINFOSEL_0,OP_i_EVTINFOSEL_1,OP_i_EVTINFOSEL_2,?" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F4)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MAXVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MAXVAL,Max value Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F0)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MINVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MINVAL,Min value Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xEC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_SLVADDR_$1," hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 0.--4. "FILTER0_MATCH0_SLVADDR,Mask/Match of SlvAddr Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MATCH_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xCC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_SLVADDR_$1," hexmask.long 0x00 7.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--6. 1. "FILTER_i_MASK_m_SLVADDR,Mask/Match of SlvAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MASK_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xBC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_EN_k_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_EN0,Enable filter stage 0 Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDREN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "FILTER0_ADDREN,max filtering enable Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMAX_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMAX,Max addr range Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMIN_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMIN,Min addr range Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xAC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_GLOBALEN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_GLOBALEN,Filter global enable Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x8C)++0x03 line.long 0x00 "L3_STCOL_DUMP_CNT$1," repeat.end tree.end repeat.end tree "CLK2_STATCOLL0" base ad:0x45001000 group.long 0x00++0x8B line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_STCOL_EN," hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 0. "EN,Enable performance monitoring this will also shut down the clock if En = 0 Type: Control" "0,1" line.long 0x0C "L3_STCOL_SOFTEN," hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 0. "SOFTEN,Software enable for performance monitoring Type: Control" "0,1" line.long 0x10 "L3_STCOL_IGNORESUSPEND," hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "IGNORESUSPEND,Ignore suspend if set to one for suspend mechanism Type: Control" "0,1" line.long 0x14 "L3_STCOL_TRIGEN," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "TRIGEN,TrigEn when set it enable the external trigger start and stop Type: Control" "0,1" line.long 0x18 "L3_STCOL_REQEVT," hexmask.long 0x18 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--3. "REQEVT,Req event select Type: Control" "REQEVT_0,REQEVT_1,REQEVT_2,REQEVT_3,REQEVT_4,REQEVT_5,REQEVT_6,REQEVT_7,REQEVT_8,?,?,?,?,?,?,?" line.long 0x1C "L3_STCOL_RSPEVT," hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 0.--3. "RSPEVT,Rsp event select Type: Control" "RSPEVT_0,RSPEVT_1,RSPEVT_2,RSPEVT_3,RSPEVT_4,RSPEVT_5,RSPEVT_6,RSPEVT_7,RSPEVT_8,?,?,?,?,?,?,?" line.long 0x20 "L3_STCOL_EVTMUX_SEL0," hexmask.long 0x20 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0.--2. "EVTMUX_SEL0,The select of the mux 0 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x24 "L3_STCOL_EVTMUX_SEL1," hexmask.long 0x24 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x24 0.--2. "EVTMUX_SEL1,The select of the mux 1 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x28 "L3_STCOL_EVTMUX_SEL2," hexmask.long 0x28 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 0.--2. "EVTMUX_SEL2,The select of the mux 2 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x2C "L3_STCOL_EVTMUX_SEL3," hexmask.long 0x2C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--2. "EVTMUX_SEL3,The select of the mux 3 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x30 "L3_STCOL_EVTMUX_SEL4," hexmask.long 0x30 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x30 0.--2. "EVTMUX_SEL4,The select of the mux 4 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x34 "L3_STCOL_EVTMUX_SEL5," hexmask.long 0x34 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x34 0.--2. "EVTMUX_SEL5,The select of the mux 5 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x38 "L3_STCOL_EVTMUX_SEL6," hexmask.long 0x38 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x38 0.--2. "EVTMUX_SEL6,The select of the mux 6 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x3C "L3_STCOL_EVTMUX_SEL7," hexmask.long 0x3C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x3C 0.--2. "EVTMUX_SEL7,The select of the mux 7 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x40 "L3_STCOL_DUMP_IDENTIFIER," hexmask.long 0x40 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x40 0.--3. "DUMP_IDENTIFIER,Probe identifier Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x44 "L3_STCOL_DUMP_COLLECTTIME," line.long 0x48 "L3_STCOL_DUMP_SLVADDR," hexmask.long 0x48 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x48 0.--6. 1. "DUMP_SLVADDR,Dump slave address Type: Control" line.long 0x4C "L3_STCOL_DUMP_MSTADDR," hexmask.long.tbyte 0x4C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x4C 0.--7. 1. "DUMP_MSTADDR,Dump master address Type: Control" line.long 0x50 "L3_STCOL_DUMP_SLVOFS," line.long 0x54 "L3_STCOL_DUMP_MODE," hexmask.long 0x54 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x54 1. "DUMP_MODE_CONDITIONAL,Define the stat conditional dump if one a dump will be generated when alarm is trigged Type: Control" "0,1" newline bitfld.long 0x54 0. "DUMP_MODE_MANUAL,Define the dump mode: if != 0 the dump is controlled by the Send register" "0,1" line.long 0x58 "L3_STCOL_DUMP_SEND," hexmask.long 0x58 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x58 0. "DUMP_SEND,In manual mode is used to send the dump content and initialize the counters" "0,1" line.long 0x5C "L3_STCOL_DUMP_DISABLE," hexmask.long 0x5C 1.--31. 1. "RESERVED," newline bitfld.long 0x5C 0. "DUMP_DISABLE,If 1 the dump frame will be disabled but counters still active.This is typically used when counters monitoring is enabled Type: Control" "0,1" line.long 0x60 "L3_STCOL_DUMP_ALARM_TRIG," hexmask.long 0x60 1.--31. 1. "RESERVED," newline bitfld.long 0x60 0. "DUMP_ALARM_TRIG,In Alarm Mode is used to reset Alarm Type: Take" "0,1" line.long 0x64 "L3_STCOL_DUMP_ALARM_MINVAL," line.long 0x68 "L3_STCOL_DUMP_ALARM_MAXVAL," line.long 0x6C "L3_STCOL_DUMP_ALARM_MODE0," hexmask.long 0x6C 2.--31. 1. "RESERVED," newline bitfld.long 0x6C 0.--1. "DUMP_ALARM_MODE0,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE0_0,DUMP_ALARM_MODE0_1,DUMP_ALARM_MODE0_2,DUMP_ALARM_MODE0_3" line.long 0x70 "L3_STCOL_DUMP_ALARM_MODE1," hexmask.long 0x70 2.--31. 1. "RESERVED," newline bitfld.long 0x70 0.--1. "DUMP_ALARM_MODE1,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE1_0,DUMP_ALARM_MODE1_1,DUMP_ALARM_MODE1_2,DUMP_ALARM_MODE1_3" line.long 0x74 "L3_STCOL_DUMP_ALARM_MODE2," hexmask.long 0x74 2.--31. 1. "RESERVED," newline bitfld.long 0x74 0.--1. "DUMP_ALARM_MODE2,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE2_0,DUMP_ALARM_MODE2_1,DUMP_ALARM_MODE2_2,DUMP_ALARM_MODE2_3" line.long 0x78 "L3_STCOL_DUMP_ALARM_MODE3," hexmask.long 0x78 2.--31. 1. "RESERVED," newline bitfld.long 0x78 0.--1. "DUMP_ALARM_MODE3,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE3_0,DUMP_ALARM_MODE3_1,DUMP_ALARM_MODE3_2,DUMP_ALARM_MODE3_3" line.long 0x7C "L3_STCOL_DUMP_ALARM_MODE4," hexmask.long 0x7C 2.--31. 1. "RESERVED," newline bitfld.long 0x7C 0.--1. "DUMP_ALARM_MODE4,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE4_0,DUMP_ALARM_MODE4_1,DUMP_ALARM_MODE4_2,DUMP_ALARM_MODE4_3" line.long 0x80 "L3_STCOL_DUMP_ALARM_MODE5," hexmask.long 0x80 2.--31. 1. "RESERVED," newline bitfld.long 0x80 0.--1. "DUMP_ALARM_MODE5,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE5_0,DUMP_ALARM_MODE5_1,DUMP_ALARM_MODE5_2,DUMP_ALARM_MODE5_3" line.long 0x84 "L3_STCOL_DUMP_ALARM_MODE6," hexmask.long 0x84 2.--31. 1. "RESERVED," newline bitfld.long 0x84 0.--1. "DUMP_ALARM_MODE6,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE6_0,DUMP_ALARM_MODE6_1,DUMP_ALARM_MODE6_2,DUMP_ALARM_MODE6_3" line.long 0x88 "L3_STCOL_DUMP_ALARM_MODE7," hexmask.long 0x88 2.--31. 1. "RESERVED," newline bitfld.long 0x88 0.--1. "DUMP_ALARM_MODE7,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE7_0,DUMP_ALARM_MODE7_1,DUMP_ALARM_MODE7_2,DUMP_ALARM_MODE7_3" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1FC)++0x03 line.long 0x00 "L3_STCOL_OP_i_SEL_$1," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--3. "OP_i_SEL,Select logical operation Type: Control" "OP_i_SEL_0,OP_i_SEL_1,OP_i_SEL_2,OP_i_SEL_3,OP_i_SEL_4,OP_i_SEL_5,OP_i_SEL_6,OP_i_SEL_7,OP_i_SEL_8,?,?,?,?,?,?,?" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F8)++0x03 line.long 0x00 "L3_STCOL_OP_i_EVTINFOSEL_$1," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "OP_i_EVTINFOSEL,Select event info data to add to counter (len/press or latency) Type: Control" "OP_i_EVTINFOSEL_0,OP_i_EVTINFOSEL_1,OP_i_EVTINFOSEL_2,?" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F4)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MAXVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MAXVAL,Max value Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F0)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MINVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MINVAL,Min value Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xF4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_USERINFO_$1," hexmask.long.word 0x00 18.--31. 1. "RESERVED,Reserved" hexmask.long.tbyte 0x00 0.--17. 1. "FILTER_i_MATCH_m_USERINFO,Mask/Match of UserInfo Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xF0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MATCH_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xD4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_USERINFO_$1," hexmask.long.word 0x00 18.--31. 1. "RESERVED,Reserved" hexmask.long.tbyte 0x00 0.--17. 1. "FILTER_i_MASK_m_USERINFO,Mask/Match of UserInfo Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xD0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MASK_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xBC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_EN_k_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_EN0,Enable filter stage 0 Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDREN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "FILTER0_ADDREN,max filtering enable Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMAX_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMAX,Max addr range Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMIN_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMIN,Min addr range Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xAC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_GLOBALEN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_GLOBALEN,Filter global enable Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0x8C)++0x03 line.long 0x00 "L3_STCOL_DUMP_CNT$1," repeat.end tree.end tree "CLK2_STATCOLL1" base ad:0x45002000 group.long 0x00++0x37 line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_STCOL_EN," hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 0. "EN,Enable performance monitoring this will also shut down the clock if En = 0 Type: Control" "0,1" line.long 0x0C "L3_STCOL_SOFTEN," hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 0. "SOFTEN,Software enable for performance monitoring Type: Control" "0,1" line.long 0x10 "L3_STCOL_IGNORESUSPEND," hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "IGNORESUSPEND,Ignore suspend if set to one for suspend mechanism Type: Control" "0,1" line.long 0x14 "L3_STCOL_TRIGEN," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "TRIGEN,TrigEn when set it enable the external trigger start and stop Type: Control" "0,1" line.long 0x18 "L3_STCOL_REQEVT," hexmask.long 0x18 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--3. "REQEVT,Req event select Type: Control" "REQEVT_0,REQEVT_1,REQEVT_2,REQEVT_3,REQEVT_4,REQEVT_5,REQEVT_6,REQEVT_7,REQEVT_8,?,?,?,?,?,?,?" line.long 0x1C "L3_STCOL_RSPEVT," hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 0.--3. "RSPEVT,Rsp event select Type: Control" "RSPEVT_0,RSPEVT_1,RSPEVT_2,RSPEVT_3,RSPEVT_4,RSPEVT_5,RSPEVT_6,RSPEVT_7,RSPEVT_8,?,?,?,?,?,?,?" line.long 0x20 "L3_STCOL_EVTMUX_SEL0," hexmask.long 0x20 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0.--2. "EVTMUX_SEL0,The select of the mux 0 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x24 "L3_STCOL_EVTMUX_SEL1," hexmask.long 0x24 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x24 0.--2. "EVTMUX_SEL1,The select of the mux 1 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x28 "L3_STCOL_EVTMUX_SEL2," hexmask.long 0x28 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 0.--2. "EVTMUX_SEL2,The select of the mux 2 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x2C "L3_STCOL_EVTMUX_SEL3," hexmask.long 0x2C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--2. "EVTMUX_SEL3,The select of the mux 3 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x30 "L3_STCOL_EVTMUX_SEL4," hexmask.long 0x30 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x30 0.--2. "EVTMUX_SEL4,The select of the mux 4 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x34 "L3_STCOL_EVTMUX_SEL5," hexmask.long 0x34 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x34 0.--2. "EVTMUX_SEL5,The select of the mux 5 Type: Control" "0,1,2,3,4,5,6,7" rgroup.long 0x40++0x43 line.long 0x00 "L3_STCOL_DUMP_IDENTIFIER," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--3. "DUMP_IDENTIFIER,Probe identifier Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "L3_STCOL_DUMP_COLLECTTIME," line.long 0x08 "L3_STCOL_DUMP_SLVADDR," hexmask.long 0x08 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x08 0.--6. 1. "DUMP_SLVADDR,Dump slave address Type: Control" line.long 0x0C "L3_STCOL_DUMP_MSTADDR," hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x0C 0.--7. 1. "DUMP_MSTADDR,Dump master address Type: Control" line.long 0x10 "L3_STCOL_DUMP_SLVOFS," line.long 0x14 "L3_STCOL_DUMP_MODE," hexmask.long 0x14 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 1. "DUMP_MODE_CONDITIONAL,Define the stat conditional dump if one a dump will be generated when alarm is trigged Type: Control" "0,1" newline bitfld.long 0x14 0. "DUMP_MODE_MANUAL,Define the dump mode: if != 0 the dump is controlled by the Send register" "0,1" line.long 0x18 "L3_STCOL_DUMP_SEND," hexmask.long 0x18 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0. "DUMP_SEND,In manual mode is used to send the dump content and initialize the counters" "0,1" line.long 0x1C "L3_STCOL_DUMP_DISABLE," hexmask.long 0x1C 1.--31. 1. "RESERVED," newline bitfld.long 0x1C 0. "DUMP_DISABLE,If 1 the dump frame will be disabled but counters still active.This is typically used when counters monitoring is enabled Type: Control" "0,1" line.long 0x20 "L3_STCOL_DUMP_ALARM_TRIG," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "DUMP_ALARM_TRIG,In Alarm Mode is used to reset Alarm Type: Take" "0,1" line.long 0x24 "L3_STCOL_DUMP_ALARM_MINVAL," line.long 0x28 "L3_STCOL_DUMP_ALARM_MAXVAL," line.long 0x2C "L3_STCOL_DUMP_ALARM_MODE0," hexmask.long 0x2C 2.--31. 1. "RESERVED," newline bitfld.long 0x2C 0.--1. "DUMP_ALARM_MODE0,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE0_0,DUMP_ALARM_MODE0_1,DUMP_ALARM_MODE0_2,DUMP_ALARM_MODE0_3" line.long 0x30 "L3_STCOL_DUMP_ALARM_MODE1," hexmask.long 0x30 2.--31. 1. "RESERVED," newline bitfld.long 0x30 0.--1. "DUMP_ALARM_MODE1,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE1_0,DUMP_ALARM_MODE1_1,DUMP_ALARM_MODE1_2,DUMP_ALARM_MODE1_3" line.long 0x34 "L3_STCOL_DUMP_ALARM_MODE2," hexmask.long 0x34 2.--31. 1. "RESERVED," newline bitfld.long 0x34 0.--1. "DUMP_ALARM_MODE2,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE2_0,DUMP_ALARM_MODE2_1,DUMP_ALARM_MODE2_2,DUMP_ALARM_MODE2_3" line.long 0x38 "L3_STCOL_DUMP_ALARM_MODE3," hexmask.long 0x38 2.--31. 1. "RESERVED," newline bitfld.long 0x38 0.--1. "DUMP_ALARM_MODE3,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE3_0,DUMP_ALARM_MODE3_1,DUMP_ALARM_MODE3_2,DUMP_ALARM_MODE3_3" line.long 0x3C "L3_STCOL_DUMP_ALARM_MODE4," hexmask.long 0x3C 2.--31. 1. "RESERVED," newline bitfld.long 0x3C 0.--1. "DUMP_ALARM_MODE4,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE4_0,DUMP_ALARM_MODE4_1,DUMP_ALARM_MODE4_2,DUMP_ALARM_MODE4_3" line.long 0x40 "L3_STCOL_DUMP_ALARM_MODE5," hexmask.long 0x40 2.--31. 1. "RESERVED," newline bitfld.long 0x40 0.--1. "DUMP_ALARM_MODE5,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE5_0,DUMP_ALARM_MODE5_1,DUMP_ALARM_MODE5_2,DUMP_ALARM_MODE5_3" repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0x1FC)++0x03 line.long 0x00 "L3_STCOL_OP_i_SEL_$1," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--3. "OP_i_SEL,Select logical operation Type: Control" "OP_i_SEL_0,OP_i_SEL_1,OP_i_SEL_2,OP_i_SEL_3,OP_i_SEL_4,OP_i_SEL_5,OP_i_SEL_6,OP_i_SEL_7,OP_i_SEL_8,?,?,?,?,?,?,?" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0x1F8)++0x03 line.long 0x00 "L3_STCOL_OP_i_EVTINFOSEL_$1," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "OP_i_EVTINFOSEL,Select event info data to add to counter (len/press or latency) Type: Control" "OP_i_EVTINFOSEL_0,OP_i_EVTINFOSEL_1,OP_i_EVTINFOSEL_2,?" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0x1F4)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MAXVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MAXVAL,Max value Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0x1F0)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MINVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MINVAL,Min value Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xF8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xF4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xF0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xEC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_SLVADDR_$1," hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 0.--4. "FILTER0_MATCH0_SLVADDR,Mask/Match of SlvAddr Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xE8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MATCH_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xE4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xE0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xD8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xD4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xD0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xCC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_SLVADDR_$1," hexmask.long 0x00 7.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--6. 1. "FILTER_i_MASK_m_SLVADDR,Mask/Match of SlvAddr Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xC8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MASK_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xC4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xC0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xBC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_EN_k_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_EN0,Enable filter stage 0 Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xB8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDREN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "FILTER0_ADDREN,max filtering enable Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xB4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMAX_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMAX,Max addr range Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xB0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMIN_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMIN,Min addr range Type: Control" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 ) group.long ($2+0xAC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_GLOBALEN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_GLOBALEN,Filter global enable Type: Control" "0,1" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) rgroup.long ($2+0x8C)++0x03 line.long 0x00 "L3_STCOL_DUMP_CNT$1," repeat.end tree.end tree "CLK2_STATCOLL3" base ad:0x45004000 group.long 0x00++0x8B line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_STCOL_EN," hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 0. "EN,Enable performance monitoring this will also shut down the clock if En = 0 Type: Control" "0,1" line.long 0x0C "L3_STCOL_SOFTEN," hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 0. "SOFTEN,Software enable for performance monitoring Type: Control" "0,1" line.long 0x10 "L3_STCOL_IGNORESUSPEND," hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "IGNORESUSPEND,Ignore suspend if set to one for suspend mechanism Type: Control" "0,1" line.long 0x14 "L3_STCOL_TRIGEN," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "TRIGEN,TrigEn when set it enable the external trigger start and stop Type: Control" "0,1" line.long 0x18 "L3_STCOL_REQEVT," hexmask.long 0x18 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--3. "REQEVT,Req event select Type: Control" "REQEVT_0,REQEVT_1,REQEVT_2,REQEVT_3,REQEVT_4,REQEVT_5,REQEVT_6,REQEVT_7,REQEVT_8,?,?,?,?,?,?,?" line.long 0x1C "L3_STCOL_RSPEVT," hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 0.--3. "RSPEVT,Rsp event select Type: Control" "RSPEVT_0,RSPEVT_1,RSPEVT_2,RSPEVT_3,RSPEVT_4,RSPEVT_5,RSPEVT_6,RSPEVT_7,RSPEVT_8,?,?,?,?,?,?,?" line.long 0x20 "L3_STCOL_EVTMUX_SEL0," hexmask.long 0x20 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0.--2. "EVTMUX_SEL0,The select of the mux 0 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x24 "L3_STCOL_EVTMUX_SEL1," hexmask.long 0x24 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x24 0.--2. "EVTMUX_SEL1,The select of the mux 1 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x28 "L3_STCOL_EVTMUX_SEL2," hexmask.long 0x28 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 0.--2. "EVTMUX_SEL2,The select of the mux 2 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x2C "L3_STCOL_EVTMUX_SEL3," hexmask.long 0x2C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--2. "EVTMUX_SEL3,The select of the mux 3 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x30 "L3_STCOL_EVTMUX_SEL4," hexmask.long 0x30 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x30 0.--2. "EVTMUX_SEL4,The select of the mux 4 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x34 "L3_STCOL_EVTMUX_SEL5," hexmask.long 0x34 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x34 0.--2. "EVTMUX_SEL5,The select of the mux 5 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x38 "L3_STCOL_EVTMUX_SEL6," hexmask.long 0x38 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x38 0.--2. "EVTMUX_SEL6,The select of the mux 6 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x3C "L3_STCOL_EVTMUX_SEL7," hexmask.long 0x3C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x3C 0.--2. "EVTMUX_SEL7,The select of the mux 7 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x40 "L3_STCOL_DUMP_IDENTIFIER," hexmask.long 0x40 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x40 0.--3. "DUMP_IDENTIFIER,Probe identifier Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x44 "L3_STCOL_DUMP_COLLECTTIME," line.long 0x48 "L3_STCOL_DUMP_SLVADDR," hexmask.long 0x48 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x48 0.--6. 1. "DUMP_SLVADDR,Dump slave address Type: Control" line.long 0x4C "L3_STCOL_DUMP_MSTADDR," hexmask.long.tbyte 0x4C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x4C 0.--7. 1. "DUMP_MSTADDR,Dump master address Type: Control" line.long 0x50 "L3_STCOL_DUMP_SLVOFS," line.long 0x54 "L3_STCOL_DUMP_MODE," hexmask.long 0x54 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x54 1. "DUMP_MODE_CONDITIONAL,Define the stat conditional dump if one a dump will be generated when alarm is trigged Type: Control" "0,1" newline bitfld.long 0x54 0. "DUMP_MODE_MANUAL,Define the dump mode: if != 0 the dump is controlled by the Send register" "0,1" line.long 0x58 "L3_STCOL_DUMP_SEND," hexmask.long 0x58 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x58 0. "DUMP_SEND,In manual mode is used to send the dump content and initialize the counters" "0,1" line.long 0x5C "L3_STCOL_DUMP_DISABLE," hexmask.long 0x5C 1.--31. 1. "RESERVED," newline bitfld.long 0x5C 0. "DUMP_DISABLE,If 1 the dump frame will be disabled but counters still active.This is typically used when counters monitoring is enabled Type: Control" "0,1" line.long 0x60 "L3_STCOL_DUMP_ALARM_TRIG," hexmask.long 0x60 1.--31. 1. "RESERVED," newline bitfld.long 0x60 0. "DUMP_ALARM_TRIG,In Alarm Mode is used to reset Alarm Type: Take" "0,1" line.long 0x64 "L3_STCOL_DUMP_ALARM_MINVAL," line.long 0x68 "L3_STCOL_DUMP_ALARM_MAXVAL," line.long 0x6C "L3_STCOL_DUMP_ALARM_MODE0," hexmask.long 0x6C 2.--31. 1. "RESERVED," newline bitfld.long 0x6C 0.--1. "DUMP_ALARM_MODE0,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE0_0,DUMP_ALARM_MODE0_1,DUMP_ALARM_MODE0_2,DUMP_ALARM_MODE0_3" line.long 0x70 "L3_STCOL_DUMP_ALARM_MODE1," hexmask.long 0x70 2.--31. 1. "RESERVED," newline bitfld.long 0x70 0.--1. "DUMP_ALARM_MODE1,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE1_0,DUMP_ALARM_MODE1_1,DUMP_ALARM_MODE1_2,DUMP_ALARM_MODE1_3" line.long 0x74 "L3_STCOL_DUMP_ALARM_MODE2," hexmask.long 0x74 2.--31. 1. "RESERVED," newline bitfld.long 0x74 0.--1. "DUMP_ALARM_MODE2,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE2_0,DUMP_ALARM_MODE2_1,DUMP_ALARM_MODE2_2,DUMP_ALARM_MODE2_3" line.long 0x78 "L3_STCOL_DUMP_ALARM_MODE3," hexmask.long 0x78 2.--31. 1. "RESERVED," newline bitfld.long 0x78 0.--1. "DUMP_ALARM_MODE3,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE3_0,DUMP_ALARM_MODE3_1,DUMP_ALARM_MODE3_2,DUMP_ALARM_MODE3_3" line.long 0x7C "L3_STCOL_DUMP_ALARM_MODE4," hexmask.long 0x7C 2.--31. 1. "RESERVED," newline bitfld.long 0x7C 0.--1. "DUMP_ALARM_MODE4,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE4_0,DUMP_ALARM_MODE4_1,DUMP_ALARM_MODE4_2,DUMP_ALARM_MODE4_3" line.long 0x80 "L3_STCOL_DUMP_ALARM_MODE5," hexmask.long 0x80 2.--31. 1. "RESERVED," newline bitfld.long 0x80 0.--1. "DUMP_ALARM_MODE5,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE5_0,DUMP_ALARM_MODE5_1,DUMP_ALARM_MODE5_2,DUMP_ALARM_MODE5_3" line.long 0x84 "L3_STCOL_DUMP_ALARM_MODE6," hexmask.long 0x84 2.--31. 1. "RESERVED," newline bitfld.long 0x84 0.--1. "DUMP_ALARM_MODE6,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE6_0,DUMP_ALARM_MODE6_1,DUMP_ALARM_MODE6_2,DUMP_ALARM_MODE6_3" line.long 0x88 "L3_STCOL_DUMP_ALARM_MODE7," hexmask.long 0x88 2.--31. 1. "RESERVED," newline bitfld.long 0x88 0.--1. "DUMP_ALARM_MODE7,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE7_0,DUMP_ALARM_MODE7_1,DUMP_ALARM_MODE7_2,DUMP_ALARM_MODE7_3" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1FC)++0x03 line.long 0x00 "L3_STCOL_OP_i_SEL_$1," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--3. "OP_i_SEL,Select logical operation Type: Control" "OP_i_SEL_0,OP_i_SEL_1,OP_i_SEL_2,OP_i_SEL_3,OP_i_SEL_4,OP_i_SEL_5,OP_i_SEL_6,OP_i_SEL_7,OP_i_SEL_8,?,?,?,?,?,?,?" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F8)++0x03 line.long 0x00 "L3_STCOL_OP_i_EVTINFOSEL_$1," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "OP_i_EVTINFOSEL,Select event info data to add to counter (len/press or latency) Type: Control" "OP_i_EVTINFOSEL_0,OP_i_EVTINFOSEL_1,OP_i_EVTINFOSEL_2,?" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F4)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MAXVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MAXVAL,Max value Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0x1F0)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MINVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MINVAL,Min value Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xF8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xF4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xF0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xEC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_SLVADDR_$1," hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 0.--4. "FILTER0_MATCH0_SLVADDR,Mask/Match of SlvAddr Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MATCH_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xE0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xD8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xD4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xD0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xCC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_SLVADDR_$1," hexmask.long 0x00 7.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--6. 1. "FILTER_i_MASK_m_SLVADDR,Mask/Match of SlvAddr Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MASK_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xC0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xBC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_EN_k_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_EN0,Enable filter stage 0 Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDREN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "FILTER0_ADDREN,max filtering enable Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMAX_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMAX,Max addr range Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xB0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMIN_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMIN,Min addr range Type: Control" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x158 0x2B0 0x408 0x560 0x6B8 0x810 0x968 ) group.long ($2+0xAC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_GLOBALEN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_GLOBALEN,Filter global enable Type: Control" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0x8C)++0x03 line.long 0x00 "L3_STCOL_DUMP_CNT$1," repeat.end tree.end tree "CLK2_STATCOLL5" base ad:0x45006000 group.long 0x00++0x07 line.long 0x00 "L3_STCOL_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_STCOL_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" group.long 0x0C++0x23 line.long 0x00 "L3_STCOL_SOFTEN," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "SOFTEN,Software enable for performance monitoring Type: Control" "0,1" line.long 0x04 "L3_STCOL_IGNORESUSPEND," hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "IGNORESUSPEND,Ignore suspend if set to one for suspend mechanism Type: Control" "0,1" line.long 0x08 "L3_STCOL_TRIGEN," hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 0. "TRIGEN,TrigEn when set it enable the external trigger start and stop Type: Control" "0,1" line.long 0x0C "L3_STCOL_REQEVT," hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 0.--3. "REQEVT,Req event select Type: Control" "REQEVT_0,REQEVT_1,REQEVT_2,REQEVT_3,REQEVT_4,REQEVT_5,REQEVT_6,REQEVT_7,REQEVT_8,?,?,?,?,?,?,?" line.long 0x10 "L3_STCOL_RSPEVT," hexmask.long 0x10 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 0.--3. "RSPEVT,Rsp event select Type: Control" "RSPEVT_0,RSPEVT_1,RSPEVT_2,RSPEVT_3,RSPEVT_4,RSPEVT_5,RSPEVT_6,RSPEVT_7,RSPEVT_8,?,?,?,?,?,?,?" line.long 0x14 "L3_STCOL_EVTMUX_SEL0," hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0.--2. "EVTMUX_SEL0,The select of the mux 0 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x18 "L3_STCOL_EVTMUX_SEL1," hexmask.long 0x18 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--2. "EVTMUX_SEL1,The select of the mux 1 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x1C "L3_STCOL_EVTMUX_SEL2," hexmask.long 0x1C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 0.--2. "EVTMUX_SEL2,The select of the mux 2 Type: Control" "0,1,2,3,4,5,6,7" line.long 0x20 "L3_STCOL_EVTMUX_SEL3," hexmask.long 0x20 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0.--2. "EVTMUX_SEL3,The select of the mux 3 Type: Control" "0,1,2,3,4,5,6,7" rgroup.long 0x40++0x3B line.long 0x00 "L3_STCOL_DUMP_IDENTIFIER," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--3. "DUMP_IDENTIFIER,Probe identifier Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "L3_STCOL_DUMP_COLLECTTIME," line.long 0x08 "L3_STCOL_DUMP_SLVADDR," hexmask.long 0x08 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x08 0.--6. 1. "DUMP_SLVADDR,Dump slave address Type: Control" line.long 0x0C "L3_STCOL_DUMP_MSTADDR," hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x0C 0.--7. 1. "DUMP_MSTADDR,Dump master address Type: Control" line.long 0x10 "L3_STCOL_DUMP_SLVOFS," line.long 0x14 "L3_STCOL_DUMP_MODE," hexmask.long 0x14 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 1. "DUMP_MODE_CONDITIONAL,Define the stat conditional dump if one a dump will be generated when alarm is trigged Type: Control" "0,1" newline bitfld.long 0x14 0. "DUMP_MODE_MANUAL,Define the dump mode: if != 0 the dump is controlled by the Send register" "0,1" line.long 0x18 "L3_STCOL_DUMP_SEND," hexmask.long 0x18 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0. "DUMP_SEND,In manual mode is used to send the dump content and initialize the counters" "0,1" line.long 0x1C "L3_STCOL_DUMP_DISABLE," hexmask.long 0x1C 1.--31. 1. "RESERVED," newline bitfld.long 0x1C 0. "DUMP_DISABLE,If 1 the dump frame will be disabled but counters still active.This is typically used when counters monitoring is enabled Type: Control" "0,1" line.long 0x20 "L3_STCOL_DUMP_ALARM_TRIG," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "DUMP_ALARM_TRIG,In Alarm Mode is used to reset Alarm Type: Take" "0,1" line.long 0x24 "L3_STCOL_DUMP_ALARM_MINVAL," line.long 0x28 "L3_STCOL_DUMP_ALARM_MAXVAL," line.long 0x2C "L3_STCOL_DUMP_ALARM_MODE0," hexmask.long 0x2C 2.--31. 1. "RESERVED," newline bitfld.long 0x2C 0.--1. "DUMP_ALARM_MODE0,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE0_0,DUMP_ALARM_MODE0_1,DUMP_ALARM_MODE0_2,DUMP_ALARM_MODE0_3" line.long 0x30 "L3_STCOL_DUMP_ALARM_MODE1," hexmask.long 0x30 2.--31. 1. "RESERVED," newline bitfld.long 0x30 0.--1. "DUMP_ALARM_MODE1,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE1_0,DUMP_ALARM_MODE1_1,DUMP_ALARM_MODE1_2,DUMP_ALARM_MODE1_3" line.long 0x34 "L3_STCOL_DUMP_ALARM_MODE2," hexmask.long 0x34 2.--31. 1. "RESERVED," newline bitfld.long 0x34 0.--1. "DUMP_ALARM_MODE2,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE2_0,DUMP_ALARM_MODE2_1,DUMP_ALARM_MODE2_2,DUMP_ALARM_MODE2_3" line.long 0x38 "L3_STCOL_DUMP_ALARM_MODE3," hexmask.long 0x38 2.--31. 1. "RESERVED," newline bitfld.long 0x38 0.--1. "DUMP_ALARM_MODE3,Alarm Mode off/min/max/both Type: Control" "DUMP_ALARM_MODE3_0,DUMP_ALARM_MODE3_1,DUMP_ALARM_MODE3_2,DUMP_ALARM_MODE3_3" group.long 0x08++0x03 line.long 0x00 "L3_STCOL_EN," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "EN,Enable performance monitoring this will also shut down the clock if En = 0 Type: Control" "0,1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1FC)++0x03 line.long 0x00 "L3_STCOL_OP_i_SEL_$1," hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--3. "OP_i_SEL,Select logical operation Type: Control" "OP_i_SEL_0,OP_i_SEL_1,OP_i_SEL_2,OP_i_SEL_3,OP_i_SEL_4,OP_i_SEL_5,OP_i_SEL_6,OP_i_SEL_7,OP_i_SEL_8,?,?,?,?,?,?,?" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F8)++0x03 line.long 0x00 "L3_STCOL_OP_i_EVTINFOSEL_$1," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "OP_i_EVTINFOSEL,Select event info data to add to counter (len/press or latency) Type: Control" "OP_i_EVTINFOSEL_0,OP_i_EVTINFOSEL_1,OP_i_EVTINFOSEL_2,?" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F4)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MAXVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MAXVAL,Max value Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0x1F0)++0x03 line.long 0x00 "L3_STCOL_OP_i_THRESHOLD_MINVAL_$1," hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "OP_i_THRESHOLD_MINVAL,Min value Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xF0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xEC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_SLVADDR_$1," hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 0.--4. "FILTER0_MATCH0_SLVADDR,Mask/Match of SlvAddr Type: Control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MATCH_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xE0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MATCH_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MATCH_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RSPUSERINFO_$1," hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 0.--2. "FILTER_i_MASK_m_RSPUSERINFO,Mask/Match of RspUserInfo Type: Control" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_REQUSERINFO_$1," rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x00 0.--27. 1. "FILTER_i_MASK_m_REQUSERINFO,Mask/Match of ReqUserInfo Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xD0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_ERR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_ERR,Mask/Match of Err Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xCC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_SLVADDR_$1," hexmask.long 0x00 7.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--6. 1. "FILTER_i_MASK_m_SLVADDR,Mask/Match of SlvAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_MSTADDR_$1," hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "FILTER_i_MASK_m_MSTADDR,Mask/Match of MstAddr Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_WR_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_WR,Mask/Match of Wr Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xC0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_MASK_m_RD_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_MASK_m_RD,Mask/Match of Rd Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xBC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_EN_k_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_EN0,Enable filter stage 0 Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB8)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDREN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "FILTER0_ADDREN,max filtering enable Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB4)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMAX_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMAX,Max addr range Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xB0)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_ADDRMIN_$1," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--22. 1. "FILTER0_ADDRMIN,Min addr range Type: Control" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x158 0x2B0 0x408 ) group.long ($2+0xAC)++0x03 line.long 0x00 "L3_STCOL_FILTER_i_GLOBALEN_$1," hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FILTER_i_GLOBALEN,Filter global enable Type: Control" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x8C)++0x03 line.long 0x00 "L3_STCOL_DUMP_CNT$1," repeat.end tree.end tree "CM_CORE__CAM" base ad:0x4A009000 group.long 0x00++0x07 line.long 0x00 "CM_CAM_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "RESERVED," "0,1" newline rbitfld.long 0x00 11. "RESERVED," "0,1" rbitfld.long 0x00 10. "CLKACTIVITY_VIP3_GCLK,This field indicates the state of the VIP3_GCLK clock input of the domain" "CLKACTIVITY_VIP3_GCLK_0,CLKACTIVITY_VIP3_GCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_VIP2_GCLK,This field indicates the state of the VIP2_GCLK clock input of the domain" "CLKACTIVITY_VIP2_GCLK_0,CLKACTIVITY_VIP2_GCLK_1" rbitfld.long 0x00 8. "CLKACTIVITY_VIP1_GCLK,This field indicates the state of the VIP1_GCLK clock input of the domain" "CLKACTIVITY_VIP1_GCLK_0,CLKACTIVITY_VIP1_GCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the CAM clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_CAM_STATICDEP,This register controls the static domain depedencies from CAM domain towards 'target' domains" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE clock domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" rbitfld.long 0x04 26. "RESERVED," "0,1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC clock domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" rbitfld.long 0x04 23.--24. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" newline rbitfld.long 0x04 13.--18. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,?" newline rbitfld.long 0x04 6.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x03 line.long 0x00 "CM_CAM_VIP1_CLKCTRL,This register manages the VIP1 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for VIP between L3_ICLK and CORE_ISS_MAIN_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x28++0x03 line.long 0x00 "CM_CAM_VIP2_CLKCTRL,This register manages the VIP2 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for VIP between L3_ICLK and CORE_ISS_MAIN_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x30++0x03 line.long 0x00 "CM_CAM_VIP3_CLKCTRL,This register manages the clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock forVIP between L3_ICLK and CORE_ISS_MAIN_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x38++0x03 line.long 0x00 "CM_CAM_LVDSRX_CLKCTRL,This register manages the LVDSRX clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x40++0x03 line.long 0x00 "CM_CAM_CSI1_CLKCTRL,This register manages the CSI1 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," bitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x48++0x03 line.long 0x00 "CM_CAM_CSI2_CLKCTRL,This register manages the CSI2 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," bitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" tree.end tree "CM_CORE__CKGEN" base ad:0x4A008100 group.long 0x04++0x03 line.long 0x00 "CM_CLKSEL_USB_60MHZ,Selects the configuration of the divider generating 60MHz clock for USB from the DPLL_USB o/p" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "CLKSEL,Select the configuration of the divider" "CLKSEL_0,CLKSEL_1" group.long 0x40++0x2F line.long 0x00 "CM_CLKMODE_DPLL_PER,This register allows controlling the DPLL modes" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x00 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x00 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x00 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x00 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x00 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x00 9. "RESERVED," "0,1" newline bitfld.long 0x00 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x00 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x04 "CM_IDLEST_DPLL_PER,This register allows monitoring DPLL activity" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x04 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x04 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x08 "CM_AUTOIDLE_DPLL_PER,This register provides automatic control over the DPLL activity" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x0C "CM_CLKSEL_DPLL_PER,This register provides controls over the DPLL" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," bitfld.long 0x0C 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x0C 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x0C 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0C 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x0C 7. "RESERVED," "0,1" newline hexmask.long.byte 0x0C 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x10 "CM_DIV_M2_DPLL_PER,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x10 12.--31. 1. "RESERVED," rbitfld.long 0x10 11. "CLKX2ST,DPLL CLKOUTX2 status" "CLKX2ST_0,CLKX2ST_1" newline rbitfld.long 0x10 10. "RESERVED," "0,1" rbitfld.long 0x10 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x10 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_PER" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x14 "CM_DIV_M3_DPLL_PER,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x14 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0.--4. "DIVHS,This field programs the M3 post-divider factor (1 to 31) of DPLL_PER" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x18 "CM_DIV_H11_DPLL_PER,This register provides controls over the CLKOUT1 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,HSDIVIDER1 CLKOUT1 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 0.--5. "DIVHS,This field programs the H11 post-divider factor (1 to 63) of DPLL_PER" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x1C "CM_DIV_H12_DPLL_PER,This register provides controls over the CLKOUT2 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x1C 10.--31. 1. "RESERVED," rbitfld.long 0x1C 9. "CLKST,HSDIVIDER1 CLKOUT2 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x1C 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x1C 0.--5. "DIVHS,This field programs the H12 post-divider factor (1 to 63) of DPLL_PER" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x20 "CM_DIV_H13_DPLL_PER,This register provides controls over the CLKOUT3 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x20 10.--31. 1. "RESERVED," rbitfld.long 0x20 9. "CLKST,HSDIVIDER1 CLKOUT3 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x20 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x20 0.--5. "DIVHS,This field programs the H13 post-divider factor (1 to 63) of DPLL_PER" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x24 "CM_DIV_H14_DPLL_PER,This register provides controls over the CLKOUT4 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x24 10.--31. 1. "RESERVED," rbitfld.long 0x24 9. "CLKST,HSDIVIDER1 CLKOUT4 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x24 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x24 0.--5. "DIVHS,This field programs the H14 post-divider factor (1 to 63) of DPLL_PER" "DIVHS_0,?,DIVHS_2,?,DIVHS_4,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x28 "CM_SSC_DELTAMSTEP_DPLL_PER,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x28 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x28 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x2C "CM_SSC_MODFREQDIV_DPLL_PER,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x2C 11.--31. 1. "RESERVED," bitfld.long 0x2C 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x2C 7. "RESERVED," "0,1" hexmask.long.byte 0x2C 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" group.long 0x80++0x13 line.long 0x00 "CM_CLKMODE_DPLL_USB,This register allows controlling the DPLL modes" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x00 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x00 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x00 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" hexmask.long.word 0x00 3.--11. 1. "RESERVED," newline bitfld.long 0x00 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x04 "CM_IDLEST_DPLL_USB,This register allows monitoring DPLL activity" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x04 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x04 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x08 "CM_AUTOIDLE_DPLL_USB,This register provides automatic control over the DPLL activity" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x0C "CM_CLKSEL_DPLL_USB,This register provides controls over the DPLL" hexmask.long.byte 0x0C 24.--31. 1. "DPLL_SD_DIV,Sigma-Delta divider select (2-255)" bitfld.long 0x0C 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for CLKOUT,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x0C 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" bitfld.long 0x0C 21. "DPLL_SELFREQDCO,select DCO output according to required frequency" "DPLL_SELFREQDCO_0,DPLL_SELFREQDCO_1" newline rbitfld.long 0x0C 20. "RESERVED," "0,1" hexmask.long.word 0x0C 8.--19. 1. "DPLL_MULT,DPLL multiplier factor (2 to 4095)" newline hexmask.long.byte 0x0C 0.--7. 1. "DPLL_DIV,DPLL divider factor (0 to 255) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x10 "CM_DIV_M2_DPLL_USB,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," rbitfld.long 0x10 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x10 7.--8. "RESERVED," "0,1,2,3" hexmask.long.byte 0x10 0.--6. 1. "DIVHS,This field programs the M2 post-divider factor (1 to 127) of DPLL_USB" group.long 0xA8++0x07 line.long 0x00 "CM_SSC_DELTAMSTEP_DPLL_USB,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x00 21.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--20. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x04 "CM_SSC_MODFREQDIV_DPLL_USB,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED," bitfld.long 0x04 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" hexmask.long.byte 0x04 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" rgroup.long 0xB4++0x03 line.long 0x00 "CM_CLKDCOLDO_DPLL_USB,This register provides status over CLKDCOLDO output of the DPLL" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "ST_DPLL_CLKDCOLDO,DPLL CLKDCOLDO status" "ST_DPLL_CLKDCOLDO_0,ST_DPLL_CLKDCOLDO_1" newline hexmask.long.word 0x00 0.--8. 1. "RESERVED," group.long 0x100++0x2B line.long 0x00 "CM_CLKMODE_DPLL_PCIE_REF,This register allows controlling the DPLL modes" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x00 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x00 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x00 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" hexmask.long.word 0x00 3.--11. 1. "RESERVED," newline bitfld.long 0x00 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x04 "CM_IDLEST_DPLL_PCIE_REF,This register allows monitoring DPLL activity" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x04 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x04 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x08 "CM_AUTOIDLE_DPLL_PCIE_REF,This register provides automatic control over the DPLL activity" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x0C "CM_CLKSEL_DPLL_PCIE_REF,This register provides controls over the DPLL" hexmask.long.byte 0x0C 24.--31. 1. "DPLL_SD_DIV,Sigma-Delta divider select (2-255)" bitfld.long 0x0C 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for CLKOUT,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x0C 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" bitfld.long 0x0C 21. "DPLL_SELFREQDCO,select DCO output according to required frequency" "DPLL_SELFREQDCO_0,DPLL_SELFREQDCO_1" newline rbitfld.long 0x0C 20. "RESERVED," "0,1" hexmask.long.word 0x0C 8.--19. 1. "DPLL_MULT,DPLL multiplier factor (2 to 4095)" newline hexmask.long.byte 0x0C 0.--7. 1. "DPLL_DIV,DPLL divider factor (0 to 255) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x10 "CM_DIV_M2_DPLL_PCIE_REF,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED," rbitfld.long 0x10 10. "CLKLDOST,DPLL CLKOUTLDO status" "CLKLDOST_0,CLKLDOST_1" newline rbitfld.long 0x10 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" rbitfld.long 0x10 7.--8. "RESERVED," "0,1,2,3" newline hexmask.long.byte 0x10 0.--6. 1. "DIVHS,This field programs the M2 post-divider factor (1 to 127) of DPLL_PCIE_REF" line.long 0x14 "CM_SSC_DELTAMSTEP_DPLL_PCIE_REF,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x14 21.--31. 1. "RESERVED," hexmask.long.tbyte 0x14 0.--20. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x18 "CM_SSC_MODFREQDIV_DPLL_PCIE_REF,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x18 11.--31. 1. "RESERVED," bitfld.long 0x18 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 7. "RESERVED," "0,1" hexmask.long.byte 0x18 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x1C "CM_CLKMODE_APLL_PCIE,This register allows controlling the APLL modes" hexmask.long.tbyte 0x1C 9.--31. 1. "RESERVED," bitfld.long 0x1C 8. "CLKDIV_BYPASS," "CLKDIV_BYPASS_0,CLKDIV_BYPASS_1" newline bitfld.long 0x1C 7. "REFSEL,Select source of reference input clock" "REFSEL_0,REFSEL_1" rbitfld.long 0x1C 6. "RESERVED," "0,1" newline rbitfld.long 0x1C 3.--5. "INPSEL,Reference clock is 100MHz" "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 2. "MODE,APLLPCIE Mode Status" "MODE_0,?" newline bitfld.long 0x1C 0.--1. "MODE_SELECT,Control APLL mode.Note:Please note that setting [1:0] MODE_SELECT bitfield to 0x0 does not disable the APLL_PCIE" "MODE_SELECT_0,MODE_SELECT_1,MODE_SELECT_2,MODE_SELECT_3" line.long 0x20 "CM_IDLEST_APLL_PCIE,This register allows monitoring APLL activity" hexmask.long 0x20 1.--31. 1. "RESERVED," bitfld.long 0x20 0. "ST_APLL_CLK,APLL lock status" "ST_APLL_CLK_0,ST_APLL_CLK_1" line.long 0x24 "CM_DIV_M2_APLL_PCIE,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x24 10.--31. 1. "RESERVED," bitfld.long 0x24 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline bitfld.long 0x24 7.--8. "RESERVED," "0,1,2,3" hexmask.long.byte 0x24 0.--6. 1. "DIVHS,DPLL M2 post-divider factor (1 to 127)" line.long 0x28 "CM_CLKVCOLDO_APLL_PCIE,This register provides status over CLKVCOLDO and CLKVCOLDO_DIV outputs of the APLL" hexmask.long.tbyte 0x28 11.--31. 1. "RESERVED," bitfld.long 0x28 10. "CLK_DIVST,APLL CLKVCOLDO_DIV status" "CLK_DIVST_0,CLK_DIVST_1" newline bitfld.long 0x28 9. "CLKST,APLL CLKVCOLDO status" "CLKST_0,CLKST_1" hexmask.long.word 0x28 0.--8. 1. "RESERVED," tree.end tree "CM_CORE__CORE" base ad:0x4A008700 group.long 0x00++0x03 line.long 0x00 "CM_L3MAIN1_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline rbitfld.long 0x00 9. "CLKACTIVITY_L3MAIN1_L4_GICLK,This field indicates the state of the L3MAIN1_L4_GICLK clock in the domain" "CLKACTIVITY_L3MAIN1_L4_GICLK_0,CLKACTIVITY_L3MAIN1_L4_GICLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_L3MAIN1_L3_GICLK,This field indicates the state of the L3MAIN1_L3_GICLK clock in the domain" "CLKACTIVITY_L3MAIN1_L3_GICLK_0,CLKACTIVITY_L3MAIN1_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L3MAIN1 clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x08++0x03 line.long 0x00 "CM_L3MAIN1_DYNAMICDEP,This register controls the dynamic domain depedencies from L3MAIN1 domain towards 'target' domains" rbitfld.long 0x00 31. "EVE4_DYNDEP,Dynamic dependency towards EVE4 clock domain" "?,EVE4_DYNDEP_1" newline rbitfld.long 0x00 30. "EVE3_DYNDEP,Dynamic dependency towards EVE3 clock domain" "?,EVE3_DYNDEP_1" newline rbitfld.long 0x00 29. "EVE2_DYNDEP,Dynamic dependency towards EVE2 clock domain" "?,EVE2_DYNDEP_1" newline rbitfld.long 0x00 28. "EVE1_DYNDEP,Dynamic dependency towards EVE1 clock domain" "?,EVE1_DYNDEP_1" newline bitfld.long 0x00 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 23. "L4PER3_DYNDEP,Dynamic dependency towards L4PER3 clock domain" "?,L4PER3_DYNDEP_1" newline rbitfld.long 0x00 22. "L4PER2_DYNDEP,Dynamic dependency towards L4PER2 clock domain" "?,L4PER2_DYNDEP_1" newline rbitfld.long 0x00 21. "PCIE_DYNDEP,Dynamic dependency towards PCIE clock domain" "?,PCIE_DYNDEP_1" newline rbitfld.long 0x00 20. "DSP2_DYNDEP,Dynamic dependency towards DSP2 clock domain" "?,DSP2_DYNDEP_1" newline rbitfld.long 0x00 19. "RESERVED," "0,1" newline rbitfld.long 0x00 18. "IPU1_DYNDEP,Dynamic dependency towards IPU1 clock domain" "?,IPU1_DYNDEP_1" newline rbitfld.long 0x00 16.--17. "RESERVED," "0,1,2,3" newline rbitfld.long 0x00 15. "WKUPAON_DYNDEP,Dynamic dependency towards WKUPAON clock domain" "?,WKUPAON_DYNDEP_1" newline rbitfld.long 0x00 14. "L4SEC_DYNDEP,Dynamic dependency towards L4SEC clock domain" "?,L4SEC_DYNDEP_1" newline rbitfld.long 0x00 13. "L4PER_DYNDEP,Dynamic dependency towards L4PER1 clock domain" "?,L4PER_DYNDEP_1" newline rbitfld.long 0x00 12. "L4CFG_DYNDEP,Dynamic dependency towards L4CFG clock domain" "?,L4CFG_DYNDEP_1" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline rbitfld.long 0x00 10. "GPU_DYNDEP,Dynamic dependency towards GPU clock domain" "?,GPU_DYNDEP_1" newline rbitfld.long 0x00 9. "RESERVED," "0,1" newline rbitfld.long 0x00 8. "DSS_DYNDEP,Dynamic dependency towards DSS clock domain" "?,DSS_DYNDEP_1" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "EMIF_DYNDEP,Dynamic dependency towards EMIF clock domain" "?,EMIF_DYNDEP_1" newline rbitfld.long 0x00 3. "IPU_DYNDEP,Dynamic dependency towards IPU clock domain" "?,IPU_DYNDEP_1" newline rbitfld.long 0x00 2. "IVA_DYNDEP,Dynamic dependency towards IVA clock domain" "?,IVA_DYNDEP_1" newline rbitfld.long 0x00 1. "DSP1_DYNDEP,Dynamic dependency towards DSP1 clock domain" "?,DSP1_DYNDEP_1" newline rbitfld.long 0x00 0. "IPU2_DYNDEP,Dynamic dependency towards IPU2 clock domain" "?,IPU2_DYNDEP_1" rgroup.long 0x20++0x03 line.long 0x00 "CM_L3MAIN1_L3_MAIN_1_CLKCTRL,This register manages the L3_MAIN_1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x28++0x03 line.long 0x00 "CM_L3MAIN1_GPMC_CLKCTRL,This register manages the GPMC clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x30++0x03 line.long 0x00 "CM_L3MAIN1_MMU_EDMA_CLKCTRL,This register manages the MMU_L4_EDMA clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x48++0x03 line.long 0x00 "CM_L3MAIN1_MMU_PCIESS_CLKCTRL,This register manages the MMU_L4_PCIESS clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x50++0x03 line.long 0x00 "CM_L3MAIN1_OCMC_RAM1_CLKCTRL,This register manages the OCMC_RAM1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x58++0x03 line.long 0x00 "CM_L3MAIN1_OCMC_RAM2_CLKCTRL,This register manages the OCMC_RAM2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x60++0x03 line.long 0x00 "CM_L3MAIN1_OCMC_RAM3_CLKCTRL,This register manages the OCMC_RAM3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x68++0x03 line.long 0x00 "CM_L3MAIN1_OCMC_ROM_CLKCTRL,This register manages the OCMC_RAM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x70++0x03 line.long 0x00 "CM_L3MAIN1_TPCC_CLKCTRL,This register manages the TPCC clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x78++0x03 line.long 0x00 "CM_L3MAIN1_TPTC1_CLKCTRL,This register manages the TPTC1 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x80++0x03 line.long 0x00 "CM_L3MAIN1_TPTC2_CLKCTRL,This register manages the TPTC2 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x88++0x03 line.long 0x00 "CM_L3MAIN1_VCP1_CLKCTRL,This register manages the VCP1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x90++0x03 line.long 0x00 "CM_L3MAIN1_VCP2_CLKCTRL,This register manages the VCP2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x98++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_CME_CLKCTRL,This register manages the SPARE_CME clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xA0++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_HDMI_CLKCTRL,This register manages the SPARE_HDMI clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xA8++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_ICM_CLKCTRL,This register manages the SPARE_ICM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xB0++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_IVA2_CLKCTRL,This register manages the SPARE_IVA2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xB8++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_SATA2_CLKCTRL,This register manages the SPARE_SATA2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xC0++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_UNKNOWN4_CLKCTRL,This register manages the SPARE_UNKNOWN4 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xC8++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_UNKNOWN5_CLKCTRL,This register manages the SPARE_UNKNOWN5 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xD0++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_UNKNOWN6_CLKCTRL,This register manages the SPARE_UNKNOWN6 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xD8++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_VIDEOPLL1_CLKCTRL,This register manages the SPARE_VIDEOPLL1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xF0++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_VIDEOPLL2_CLKCTRL,This register manages the SPARE_VIDEOPLL2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0xF8++0x03 line.long 0x00 "CM_L3MAIN1_SPARE_VIDEOPLL3_CLKCTRL,This register manages the SPARE_VIDEOPLL3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x200++0x0B line.long 0x00 "CM_IPU2_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline rbitfld.long 0x00 8. "CLKACTIVITY_IPU2_GFCLK,This field indicates the state of the IPU2_GFCLK clock in the domain" "CLKACTIVITY_IPU2_GFCLK_0,CLKACTIVITY_IPU2_GFCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the IPU2 clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_IPU2_STATICDEP,This register controls the static domain depedencies from IPU domain towards 'target' domains" rbitfld.long 0x04 31. "RESERVED," "0,1" newline rbitfld.long 0x04 30. "ATL_STATDEP,Static dependency towards ATL clock domain" "ATL_STATDEP_0,ATL_STATDEP_1" newline bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE clock domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" newline bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE clock domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" newline bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC clock domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" newline bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU clock domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1 clock domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" newline bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" newline bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" newline bitfld.long 0x04 18. "DSP2_STATDEP,Static dependency towards DSP2 clock domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" newline rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE clock domain" "CUSTEFUSE_STATDEP_0,?" newline rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON clock domain" "COREAON_STATDEP_0,?" newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON clock domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" newline bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" newline rbitfld.long 0x04 11. "SDMA_STATDEP,Static dependency towards DMA clock domain" "SDMA_STATDEP_0,?" newline bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU clock domain" "GPU_STATDEP_0,GPU_STATDEP_1" newline rbitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM clock domain" "CAM_STATDEP_0,?" newline bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS clock domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT clock domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" newline rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "L3MAIN1_STATDEP_0,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline bitfld.long 0x04 1. "DSP1_STATDEP,Static dependency towards DSP clock domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" line.long 0x08 "CM_IPU2_DYNAMICDEP,This register controls the dynamic domain depedencies from IPU domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x08 10.--23. 1. "RESERVED," newline rbitfld.long 0x08 9. "CAM_DYNDEP,Dynamic dependency towards CAM clock domain" "CAM_DYNDEP_0,?" newline rbitfld.long 0x08 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x220++0x03 line.long 0x00 "CM_IPU2_IPU2_CLKCTRL,This register manages the IPU2 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x300++0x0B line.long 0x00 "CM_DMA_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline rbitfld.long 0x00 8. "CLKACTIVITY_DMA_L3_GICLK,This field indicates the state of the DMA_L3_GICLK clock in the domain" "CLKACTIVITY_DMA_L3_GICLK_0,CLKACTIVITY_DMA_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DMA clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_DMA_STATICDEP,This register controls the static domain depedencies from DMA domain towards 'target' domains" rbitfld.long 0x04 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE clock domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" newline rbitfld.long 0x04 28. "RESERVED," "0,1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" newline bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline rbitfld.long 0x04 25. "RESERVED," "0,1" newline bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU clock domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1 clock domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" newline hexmask.long.byte 0x04 16.--22. 1. "RESERVED," newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON clock domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" newline bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" newline rbitfld.long 0x04 10.--11. "RESERVED," "0,1,2,3" newline rbitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM clock domain" "CAM_STATDEP_0,?" newline bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS clock domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT clock domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" newline rbitfld.long 0x04 6. "RESERVED," "0,1" newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline rbitfld.long 0x04 1. "RESERVED," "0,1" newline bitfld.long 0x04 0. "IPU2_STATDEP,Static dependency towards IPU2 clock domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x08 "CM_DMA_DYNAMICDEP,This register controls the dynamic domain depedencies from SDMA domain towards 'target' domains" hexmask.long 0x08 6.--31. 1. "RESERVED," newline bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" newline bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x320++0x03 line.long 0x00 "CM_DMA_DMA_SYSTEM_CLKCTRL,This register manages the DMA_SYSTEM clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline bitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x400++0x03 line.long 0x00 "CM_EMIF_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," newline rbitfld.long 0x00 10. "CLKACTIVITY_EMIF_PHY_GCLK,This field indicates the state of the EMIF_PHY_GCLK clock in the domain" "CLKACTIVITY_EMIF_PHY_GCLK_0,CLKACTIVITY_EMIF_PHY_GCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_EMIF_DLL_GCLK,This field indicates the state of the DLL_GCLK clock in the domain" "CLKACTIVITY_EMIF_DLL_GCLK_0,CLKACTIVITY_EMIF_DLL_GCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_EMIF_L3_GICLK,This field indicates the state of the EMIF_L3_GICLK clock in the domain" "CLKACTIVITY_EMIF_L3_GICLK_0,CLKACTIVITY_EMIF_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the EMIF clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" rgroup.long 0x420++0x03 line.long 0x00 "CM_EMIF_DMM_CLKCTRL,This register manages the DMM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x428++0x03 line.long 0x00 "CM_EMIF_EMIF_OCP_FW_CLKCTRL,This register manages the EMIF_OCP_FW clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x430++0x03 line.long 0x00 "CM_EMIF_EMIF1_CLKCTRL,This register manages the EMIF1 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," newline rbitfld.long 0x00 24. "CLKSEL_LL,Source of EMIF1 External Low Latency interface clock EMIF_LL_GCLK Value is provided by LLI_C2C_SELECT input pin" "CLKSEL_LL_0,CLKSEL_LL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x438++0x03 line.long 0x00 "CM_EMIF_EMIF2_CLKCTRL,This register manages the EMIF2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x440++0x03 line.long 0x00 "CM_EMIF_EMIF_DLL_CLKCTRL,This register manages the DLL clock" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_DLL_CLK,Optional functional clock control" "OPTFCLKEN_DLL_CLK_0,OPTFCLKEN_DLL_CLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0x500++0x03 line.long 0x00 "CM_ATL_ATL_CLKCTRL,This register manages the ATL clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 26.--27. "CLKSEL_SOURCE2,Selects source for ATL clock" "CLKSEL_SOURCE2_0,CLKSEL_SOURCE2_1,CLKSEL_SOURCE2_2,CLKSEL_SOURCE2_3" newline bitfld.long 0x00 24.--25. "CLKSEL_SOURCE1,Selects source for ATL clock" "CLKSEL_SOURCE1_0,CLKSEL_SOURCE1_1,CLKSEL_SOURCE1_2,CLKSEL_SOURCE1_3" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x520++0x03 line.long 0x00 "CM_ATL_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline rbitfld.long 0x00 9. "CLKACTIVITY_ATL_GFCLK,This field indicates the state of the ATL_GFCLK clock in the domain" "CLKACTIVITY_ATL_GFCLK_0,CLKACTIVITY_ATL_GFCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_ATL_L3_GICLK,This field indicates the state of the ATL_L3_GICLK clock in the domain" "CLKACTIVITY_ATL_L3_GICLK_0,CLKACTIVITY_ATL_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the ATL clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x600++0x03 line.long 0x00 "CM_L4CFG_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline rbitfld.long 0x00 9. "CLKACTIVITY_L4CFG_L3_GICLK,This field indicates the state of the L4CFG_L3_GICLK clock in the domain" "CLKACTIVITY_L4CFG_L3_GICLK_0,CLKACTIVITY_L4CFG_L3_GICLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_L4CFG_L4_GICLK,This field indicates the state of the L4CFG_L4_GICLK clock in the domain" "CLKACTIVITY_L4CFG_L4_GICLK_0,CLKACTIVITY_L4CFG_L4_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L4CFG clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x608++0x03 line.long 0x00 "CM_L4CFG_DYNAMICDEP,This register controls the dynamic domain depedencies from L4CFG domain towards 'target' domains" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 19. "MPU_DYNDEP,Dynamic dependency towards MPU clock domain" "?,MPU_DYNDEP_1" newline rbitfld.long 0x00 18. "RESERVED," "0,1" newline rbitfld.long 0x00 17. "CUSTEFUSE_DYNDEP,Dynamic dependency towards CUSTEFUSE clock domain" "?,CUSTEFUSE_DYNDEP_1" newline rbitfld.long 0x00 16. "COREAON_DYNDEP,Dynamic dependency towards COREAON clock domain" "?,COREAON_DYNDEP_1" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 11. "SDMA_DYNDEP,Dynamic dependency towards DMA clock domain" "?,SDMA_DYNDEP_1" newline rbitfld.long 0x00 8.--10. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "L3INIT_DYNDEP,Dynamic dependency towards L3INIT clock domain" "?,L3INIT_DYNDEP_1" newline rbitfld.long 0x00 6. "RESERVED," "0,1" newline rbitfld.long 0x00 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x00 4. "EMIF_DYNDEP,Dynamic dependency towards EMIF clock domain" "?,EMIF_DYNDEP_1" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x620++0x03 line.long 0x00 "CM_L4CFG_L4_CFG_CLKCTRL,This register manages the L4_CFG clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x628++0x03 line.long 0x00 "CM_L4CFG_SPINLOCK_CLKCTRL,This register manages the SPINLOCK clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x630++0x03 line.long 0x00 "CM_L4CFG_MAILBOX1_CLKCTRL,This register manages the MAILBOX1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x638++0x03 line.long 0x00 "CM_L4CFG_SAR_ROM_CLKCTRL,This register manages the SAR_ROM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x640++0x03 line.long 0x00 "CM_L4CFG_OCP2SCP2_CLKCTRL,This register manages the OCP2SCP2 clocks and the optional clock of USB PHY" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x648++0x03 line.long 0x00 "CM_L4CFG_MAILBOX2_CLKCTRL,This register manages the MAILBOX2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x650++0x03 line.long 0x00 "CM_L4CFG_MAILBOX3_CLKCTRL,This register manages the MAILBOX3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x658++0x03 line.long 0x00 "CM_L4CFG_MAILBOX4_CLKCTRL,This register manages the MAILBOX4 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x660++0x03 line.long 0x00 "CM_L4CFG_MAILBOX5_CLKCTRL,This register manages the MAILBOX5 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x668++0x03 line.long 0x00 "CM_L4CFG_MAILBOX6_CLKCTRL,This register manages the MAILBOX6 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x670++0x03 line.long 0x00 "CM_L4CFG_MAILBOX7_CLKCTRL,This register manages the MAILBOX7 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x678++0x03 line.long 0x00 "CM_L4CFG_MAILBOX8_CLKCTRL,This register manages the MAILBOX8 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x680++0x03 line.long 0x00 "CM_L4CFG_MAILBOX9_CLKCTRL,This register manages the MAILBOX9 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x688++0x03 line.long 0x00 "CM_L4CFG_MAILBOX10_CLKCTRL,This register manages the MAILBOX10 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x690++0x03 line.long 0x00 "CM_L4CFG_MAILBOX11_CLKCTRL,This register manages the MAILBOX11 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x698++0x03 line.long 0x00 "CM_L4CFG_MAILBOX12_CLKCTRL,This register manages the MAILBOX12 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x6A0++0x03 line.long 0x00 "CM_L4CFG_MAILBOX13_CLKCTRL,This register manages the MAILBOX13 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x6A8++0x03 line.long 0x00 "CM_L4CFG_SPARE_SMARTREFLEX_RTC_CLKCTRL,This register manages the SPARE_SMARTREFLEX_RTC clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x6B0++0x03 line.long 0x00 "CM_L4CFG_SPARE_SMARTREFLEX_SDRAM_CLKCTRL,This register manages the SPARE_SMARTREFLEX_SDRAM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x6B8++0x03 line.long 0x00 "CM_L4CFG_SPARE_SMARTREFLEX_WKUP_CLKCTRL,This register manages the SPARE_SMARTREFLEX_WKUP clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x6C0++0x03 line.long 0x00 "CM_L4CFG_IO_DELAY_BLOCK_CLKCTRL,This register manages the IO_DELAY_BLOCK clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x700++0x03 line.long 0x00 "CM_L3INSTR_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," newline bitfld.long 0x00 10. "CLKACTIVITY_L3INSTR_TS_GCLK,This field indicates the state of the L3INSTR_TS_GCLK clock in the domain" "CLKACTIVITY_L3INSTR_TS_GCLK_0,CLKACTIVITY_L3INSTR_TS_GCLK_1" newline bitfld.long 0x00 9. "CLKACTIVITY_L3INSTR_DLL_AGING_GCLK,This field indicates the state of the L3INSTR_DLL_AGING_GCLK clock in the domain" "CLKACTIVITY_L3INSTR_DLL_AGING_GCLK_0,CLKACTIVITY_L3INSTR_DLL_AGING_GCLK_1" newline bitfld.long 0x00 8. "CLKACTIVITY_L3INSTR_L3_GICLK,This field indicates the state of the L3INSTR_L3_GICLK clock in the domain" "CLKACTIVITY_L3INSTR_L3_GICLK_0,CLKACTIVITY_L3INSTR_L3_GICLK_1" newline bitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L3INSTR clock domain" "?,?,?,CLKTRCTRL_3" group.long 0x720++0x03 line.long 0x00 "CM_L3INSTR_L3_MAIN_2_CLKCTRL,This register manages the L3_MAIN_2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x728++0x03 line.long 0x00 "CM_L3INSTR_L3_INSTR_CLKCTRL,This register manages the L3 INSTRUMENTATION clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x740++0x03 line.long 0x00 "CM_L3INSTR_OCP_WP_NOC_CLKCTRL,This register manages the OCP_WP_NOC clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x748++0x03 line.long 0x00 "CM_L3INSTR_DLL_AGING_CLKCTRL,This register manages the DLL_AGING clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x750++0x03 line.long 0x00 "CM_L3INSTR_CTRL_MODULE_BANDGAP_CLKCTRL,This register manages the CTRL_MODULE_BANDGAP clock" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 24.--25. "CLKSEL,Selects the divider value for generating the Thermal Sensor clock from WKUPAON_ICLK source" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline rbitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" tree.end tree "CM_CORE__COREAON" base ad:0x4A008600 group.long 0x00++0x03 line.long 0x00 "CM_COREAON_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline rbitfld.long 0x00 16. "CLKACTIVITY_ABE_GICLK,This field indicates the state of the ABE_GICLK clock input of the domain" "CLKACTIVITY_ABE_GICLK_0,CLKACTIVITY_ABE_GICLK_1" newline rbitfld.long 0x00 15. "CLKACTIVITY_SR_IVAHD_SYS_GFCLK,This field indicates the state of the SR_IVAHD_SYS_GFCLK clock input of the domain" "CLKACTIVITY_SR_IVAHD_SYS_GFCLK_0,CLKACTIVITY_SR_IVAHD_SYS_GFCLK_1" newline rbitfld.long 0x00 14. "CLKACTIVITY_COREAON_IO_SRCOMP_GFCLK,This field indicates the state of the COREAON_IO_SRCOMP_GFCLK clock in the domain" "CLKACTIVITY_COREAON_IO_SRCOMP_GFCLK_0,CLKACTIVITY_COREAON_IO_SRCOMP_GFCLK_1" newline rbitfld.long 0x00 13. "CLKACTIVITY_SR_DSPEVE_SYS_GFCLK,This field indicates the state of the SR_DSPEVE_SYS_GFCLK clock input of the domain" "CLKACTIVITY_SR_DSPEVE_SYS_GFCLK_0,CLKACTIVITY_SR_DSPEVE_SYS_GFCLK_1" newline rbitfld.long 0x00 12. "CLKACTIVITY_COREAON_32K_GFCLK,This field indicates the state of the COREAON_32K_GFCLK clock in the domain" "CLKACTIVITY_COREAON_32K_GFCLK_0,CLKACTIVITY_COREAON_32K_GFCLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_SR_CORE_SYS_GFCLK,This field indicates the state of the SR_CORE_SYS_GFCLK clock input of the domain" "CLKACTIVITY_SR_CORE_SYS_GFCLK_0,CLKACTIVITY_SR_CORE_SYS_GFCLK_1" newline rbitfld.long 0x00 10. "CLKACTIVITY_SR_GPU_SYS_GFCLK,This field indicates the state of the SR_GPU_SYS_GFCLK clock input of the domain" "CLKACTIVITY_SR_GPU_SYS_GFCLK_0,CLKACTIVITY_SR_GPU_SYS_GFCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_SR_MPU_SYS_GFCLK,This field indicates the state of the SR_MPU_SYS_GFCLK clock input of the domain" "CLKACTIVITY_SR_MPU_SYS_GFCLK_0,CLKACTIVITY_SR_MPU_SYS_GFCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_COREAON_L4_GICLK,This field indicates the state of the COREAON_L4_GICLK clock of the domain" "CLKACTIVITY_COREAON_L4_GICLK_0,CLKACTIVITY_COREAON_L4_GICLK_1" newline bitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the COREAON clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x28++0x03 line.long 0x00 "CM_COREAON_SMARTREFLEX_MPU_CLKCTRL,This register manages the SR_MPU clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x38++0x03 line.long 0x00 "CM_COREAON_SMARTREFLEX_CORE_CLKCTRL,This register manages the SR_CORE clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x40++0x03 line.long 0x00 "CM_COREAON_USB_PHY1_CORE_CLKCTRL,This register manages the USB PHY 32KHz clock" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,Optional functional clock control" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0x50++0x03 line.long 0x00 "CM_COREAON_IO_SRCOMP_CLKCTRL,This register manages the clock delivered to the IO Slew rate compensation cells" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "CLKEN_SRCOMP_FCLK,Functional clock control" "CLKEN_SRCOMP_FCLK_0,CLKEN_SRCOMP_FCLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0x58++0x03 line.long 0x00 "CM_COREAON_SMARTREFLEX_GPU_CLKCTRL,This register manages the SR_GPU clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x68++0x03 line.long 0x00 "CM_COREAON_SMARTREFLEX_DSPEVE_CLKCTRL,This register manages the SR_DSPEVE clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x78++0x03 line.long 0x00 "CM_COREAON_SMARTREFLEX_IVAHD_CLKCTRL,This register manages the SR_IVAHD clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x88++0x03 line.long 0x00 "CM_COREAON_USB_PHY2_CORE_CLKCTRL,This register manages the USB PHY 32KHz clock" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,Optional functional clock control" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0x98++0x03 line.long 0x00 "CM_COREAON_USB_PHY3_CORE_CLKCTRL,This register manages the USB PHY 32KHz clock" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,Optional functional clock control" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0xA0++0x03 line.long 0x00 "CM_COREAON_CLKOUTMUX1_CLKCTRL,Used for controlling the CLKOUTMUX 1 gate" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLKOUTMUX1_CLK,Optional functional clock control" "OPTFCLKEN_CLKOUTMUX1_CLK_0,OPTFCLKEN_CLKOUTMUX1_CLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0xB0++0x03 line.long 0x00 "CM_COREAON_CLKOUTMUX2_CLKCTRL,Used for controlling the CLKOUTMUX 2 gate" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLKOUTMUX2_CLK,Optional functional clock control" "OPTFCLKEN_CLKOUTMUX2_CLK_0,OPTFCLKEN_CLKOUTMUX2_CLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0xC0++0x03 line.long 0x00 "CM_COREAON_L3INIT_60M_GFCLK_CLKCTRL,Used for controlling the L3INIT_60M_GFCLK gate" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_L3INIT_60M_GFCLK,Optional functional clock control; used to control the clock of USB2PHY2" "OPTFCLKEN_L3INIT_60M_GFCLK_0,OPTFCLKEN_L3INIT_60M_GFCLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," group.long 0xD0++0x03 line.long 0x00 "CM_COREAON_ABE_GICLK_CLKCTRL,Used for controlling ABE_GICLK gate" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_ABE_GICLK,Optional functional clock control" "OPTFCLKEN_ABE_GICLK_0,OPTFCLKEN_ABE_GICLK_1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," tree.end tree "CM_CORE__CUSTEFUSE" base ad:0x4A009600 group.long 0x00++0x03 line.long 0x00 "CM_CUSTEFUSE_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline rbitfld.long 0x00 9. "CLKACTIVITY_CUSTEFUSE_SYS_GFCLK,This field indicates the state of the Cust_Efuse_SYS_CLK clock input of the domain" "CLKACTIVITY_CUSTEFUSE_SYS_GFCLK_0,CLKACTIVITY_CUSTEFUSE_SYS_GFCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_CUSTEFUSE_L4_GICLK,This field indicates the state of the L4_CUSTEFUSE_GICLK clock input of the domain" "CLKACTIVITY_CUSTEFUSE_L4_GICLK_0,CLKACTIVITY_CUSTEFUSE_L4_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the CUSTEFUSE clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x20++0x03 line.long 0x00 "CM_CUSTEFUSE_EFUSE_CTRL_CUST_CLKCTRL,This register manages the CUSTEFUSE clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE__DSS" base ad:0x4A009100 group.long 0x00++0x0B line.long 0x00 "CM_DSS_CLKSTCTRL,This register enables the DSS domain power state transition" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "CLKACTIVITY_HDMI_PHY_GFCLK,This field indicates the state of the HDMI_PHY_GFCLK clock in the domain" "CLKACTIVITY_HDMI_PHY_GFCLK_0,CLKACTIVITY_HDMI_PHY_GFCLK_1" newline rbitfld.long 0x00 17. "CLKACTIVITY_HDMI_CEC_GFCLK,This field indicates the state of the HDMI_CEC_GFCLK clock in the domain" "CLKACTIVITY_HDMI_CEC_GFCLK_0,CLKACTIVITY_HDMI_CEC_GFCLK_1" rbitfld.long 0x00 16. "CLKACTIVITY_DSS_SYS_GFCLK,This field indicates the state of the DSS_SYS_GFCLK clock in the domain" "CLKACTIVITY_DSS_SYS_GFCLK_0,CLKACTIVITY_DSS_SYS_GFCLK_1" newline rbitfld.long 0x00 15. "CLKACTIVITY_DSS_L4_GICLK,This field indicates the state of the DSS_L4_GICLK clock in the domain" "CLKACTIVITY_DSS_L4_GICLK_0,CLKACTIVITY_DSS_L4_GICLK_1" rbitfld.long 0x00 14. "CLKACTIVITY_SDVENC_GFCLK,This field indicates the state of the SDVENC_GFCLK clock in the domain" "CLKACTIVITY_SDVENC_GFCLK_0,CLKACTIVITY_SDVENC_GFCLK_1" newline rbitfld.long 0x00 13. "CLKACTIVITY_BB2D_GFCLK,This field indicates the state of the BB2D_GFCLK clock in the domain" "CLKACTIVITY_BB2D_GFCLK_0,CLKACTIVITY_BB2D_GFCLK_1" rbitfld.long 0x00 12. "CLKACTIVITY_VIDEO2_DPLL_CLK,This field indicates the state of the VIDEO2_DPLL_CLK clock in the domain" "CLKACTIVITY_VIDEO2_DPLL_CLK_0,CLKACTIVITY_VIDEO2_DPLL_CLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_HDMI_DPLL_CLK,This field indicates the state of the HDMI_DPLL_CLK clock in the domain" "CLKACTIVITY_HDMI_DPLL_CLK_0,CLKACTIVITY_HDMI_DPLL_CLK_1" rbitfld.long 0x00 10. "CLKACTIVITY_VIDEO1_DPLL_CLK,This field indicates the state of the VIDEO1_DPLL_CLK clock in the domain" "CLKACTIVITY_VIDEO1_DPLL_CLK_0,CLKACTIVITY_VIDEO1_DPLL_CLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_DSS_GFCLK,This field indicates the state of the DSS_GFCLK clock in the domain" "CLKACTIVITY_DSS_GFCLK_0,CLKACTIVITY_DSS_GFCLK_1" rbitfld.long 0x00 8. "CLKACTIVITY_DSS_L3_GICLK,This field indicates the state of the DSS_L3_GICLK clock in the domain" "CLKACTIVITY_DSS_L3_GICLK_0,CLKACTIVITY_DSS_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSS clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_DSS_STATICDEP,This register controls the static domain depedencies from DSS domain towards 'target' domains" hexmask.long 0x04 6.--31. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" line.long 0x08 "CM_DSS_DYNAMICDEP,This register controls the dynamic domain depedencies from DSS domain towards 'target' domains" hexmask.long 0x08 6.--31. 1. "RESERVED," bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 domain" "L3MAIN1_DYNDEP_0,?" newline bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "CM_DSS_DSS_CLKCTRL,This register manages the DSS clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" rbitfld.long 0x00 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 13. "OPTFCLKEN_VIDEO2_CLK,Optional functional clock control" "OPTFCLKEN_VIDEO2_CLK_0,OPTFCLKEN_VIDEO2_CLK_1" bitfld.long 0x00 12. "OPTFCLKEN_VIDEO1_CLK,Optional functional clock control" "OPTFCLKEN_VIDEO1_CLK_0,OPTFCLKEN_VIDEO1_CLK_1" newline bitfld.long 0x00 11. "OPTFCLKEN_32KHZ_CLK,Optional functional clock control" "OPTFCLKEN_32KHZ_CLK_0,OPTFCLKEN_32KHZ_CLK_1" bitfld.long 0x00 10. "OPTFCLKEN_HDMI_CLK,Optional functional clock control" "OPTFCLKEN_HDMI_CLK_0,OPTFCLKEN_HDMI_CLK_1" newline bitfld.long 0x00 9. "OPTFCLKEN_48MHZ_CLK,Optional functional clock control" "OPTFCLKEN_48MHZ_CLK_0,OPTFCLKEN_48MHZ_CLK_1" bitfld.long 0x00 8. "OPTFCLKEN_DSSCLK,Optional functional clock control" "OPTFCLKEN_DSSCLK_0,OPTFCLKEN_DSSCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x30++0x03 line.long 0x00 "CM_DSS_BB2D_CLKCTRL,This register manages the BB2D clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x3C++0x03 line.long 0x00 "CM_DSS_SDVENC_CLKCTRL,This register manages the SDVENC clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE__GPU" base ad:0x4A009200 group.long 0x00++0x0B line.long 0x00 "CM_GPU_CLKSTCTRL,This register enables the GPU domain power state transition" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," rbitfld.long 0x00 10. "CLKACTIVITY_GPU_HYD_GCLK,This field indicates the state of the GPU_HYD_GCLK clock in the domain" "CLKACTIVITY_GPU_HYD_GCLK_0,CLKACTIVITY_GPU_HYD_GCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_GPU_CORE_GCLK,This field indicates the state of the GPU_CORE_GCLK clock in the domain" "CLKACTIVITY_GPU_CORE_GCLK_0,CLKACTIVITY_GPU_CORE_GCLK_1" rbitfld.long 0x00 8. "CLKACTIVITY_GPU_L3_GICLK,This field indicates the state of the GPU_L3_GICLK clock in the domain" "CLKACTIVITY_GPU_L3_GICLK_0,CLKACTIVITY_GPU_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the GPU clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_GPU_STATICDEP,This register controls the static domain depedencies from GPU domain towards 'target' domains" hexmask.long 0x04 6.--31. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" line.long 0x08 "CM_GPU_DYNAMICDEP,This register controls the dynamic domain depedencies from GPU domain towards 'target' domains" hexmask.long 0x08 7.--31. 1. "RESERVED," bitfld.long 0x08 6. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" newline bitfld.long 0x08 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x20++0x03 line.long 0x00 "CM_GPU_GPU_CLKCTRL,This register manages the GPU clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 26.--27. "CLKSEL_HYD_CLK,Select the source of the functional clock" "CLKSEL_HYD_CLK_0,CLKSEL_HYD_CLK_1,CLKSEL_HYD_CLK_2,CLKSEL_HYD_CLK_3" newline bitfld.long 0x00 24.--25. "CLKSEL_CORE_CLK,Select the source of the functional clock" "CLKSEL_CORE_CLK_0,CLKSEL_CORE_CLK_1,CLKSEL_CORE_CLK_2,CLKSEL_CORE_CLK_3" rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE__IVA" base ad:0x4A008F00 group.long 0x00++0x0B line.long 0x00 "CM_IVA_CLKSTCTRL,This register enables the IVA domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_IVA_GCLK,This field indicates the state of the IVA_ROOT_CLK clock input of the domain" "CLKACTIVITY_IVA_GCLK_0,CLKACTIVITY_IVA_GCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the IVA clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_IVA_STATICDEP,This register controls the static domain depedencies from IVA domain towards 'target' domains" hexmask.long 0x04 6.--31. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "CM_IVA_DYNAMICDEP,This register controls the dynamic domain depedencies from IVA domain towards 'target' domains" hexmask.long 0x08 6.--31. 1. "RESERVED," bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "CM_IVA_IVA_CLKCTRL,This register manages the IVA clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x28++0x03 line.long 0x00 "CM_IVA_SL2_CLKCTRL,This register manages the SL2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE__L3INIT" base ad:0x4A009300 group.long 0x00++0x0B line.long 0x00 "CM_L3INIT_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," newline rbitfld.long 0x00 24. "CLKACTIVITY_SATA_REF_GFCLK,This field indicates the state of the SATA_REF_GFCLK clock in the domain" "CLKACTIVITY_SATA_REF_GFCLK_0,CLKACTIVITY_SATA_REF_GFCLK_1" newline rbitfld.long 0x00 23. "CLKACTIVITY_L3INIT_32K_GFCLK,This field indicates the state of the L3INIT_32K_FCLK clock in the domain" "CLKACTIVITY_L3INIT_32K_GFCLK_0,CLKACTIVITY_L3INIT_32K_GFCLK_1" newline rbitfld.long 0x00 22. "CLKACTIVITY_L3INIT_960M_GFCLK,This field indicates the state of the L3INIT_960M_GFCLK clock in the domain" "CLKACTIVITY_L3INIT_960M_GFCLK_0,CLKACTIVITY_L3INIT_960M_GFCLK_1" newline rbitfld.long 0x00 21. "CLKACTIVITY_L3INIT_480M_GFCLK,This field indicates the state of the L3INIT_480M_GFCLK clock in the domain" "CLKACTIVITY_L3INIT_480M_GFCLK_0,CLKACTIVITY_L3INIT_480M_GFCLK_1" newline rbitfld.long 0x00 20. "CLKACTIVITY_USB_OTG_SS_REF_CLK,This field indicates the state of the USB_OTG_SS_REF_CLK clock in the domain" "CLKACTIVITY_USB_OTG_SS_REF_CLK_0,CLKACTIVITY_USB_OTG_SS_REF_CLK_1" newline rbitfld.long 0x00 19. "CLKACTIVITY_MLB_SYS_L3_GFCLK,This field indicates the state of the MLB_SYS_L3_GFCLK clock in the domain" "CLKACTIVITY_MLB_SYS_L3_GFCLK_0,CLKACTIVITY_MLB_SYS_L3_GFCLK_1" newline rbitfld.long 0x00 18. "CLKACTIVITY_MLB_SPB_L4_GICLK,This field indicates the state of the MLB_SPB_L4_GICLK clock in the domain" "CLKACTIVITY_MLB_SPB_L4_GICLK_0,CLKACTIVITY_MLB_SPB_L4_GICLK_1" newline rbitfld.long 0x00 17. "CLKACTIVITY_MLB_SHB_L3_GICLK,This field indicates the state of the MLB_SHB_L3_GICLK clock in the domain" "CLKACTIVITY_MLB_SHB_L3_GICLK_0,CLKACTIVITY_MLB_SHB_L3_GICLK_1" newline rbitfld.long 0x00 16. "CLKACTIVITY_MMC2_GFCLK,This field indicates the state of the MMC2 clock in the domain" "CLKACTIVITY_MMC2_GFCLK_0,CLKACTIVITY_MMC2_GFCLK_1" newline rbitfld.long 0x00 15. "CLKACTIVITY_MMC1_GFCLK,This field indicates the state of the MMC1_GFCLK clock in the domain" "CLKACTIVITY_MMC1_GFCLK_0,CLKACTIVITY_MMC1_GFCLK_1" newline rbitfld.long 0x00 14. "CLKACTIVITY_HSI_GFCLK,This field indicates the state of the HSI_GFCLK clock in the domain" "CLKACTIVITY_HSI_GFCLK_0,CLKACTIVITY_HSI_GFCLK_1" newline rbitfld.long 0x00 13. "CLKACTIVITY_USB_DPLL_HS_CLK,This field indicates the state of the USB_DPLL_HS_CLK clock in the domain" "CLKACTIVITY_USB_DPLL_HS_CLK_0,CLKACTIVITY_USB_DPLL_HS_CLK_1" newline rbitfld.long 0x00 12. "CLKACTIVITY_USB_DPLL_CLK,This field indicates the state of the USB_DPLL_CLK clock in the domain" "CLKACTIVITY_USB_DPLL_CLK_0,CLKACTIVITY_USB_DPLL_CLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_L3INIT_48M_GFCLK,This field indicates the state of the INIT_48M_GFCLK clock in the domain" "CLKACTIVITY_L3INIT_48M_GFCLK_0,CLKACTIVITY_L3INIT_48M_GFCLK_1" newline rbitfld.long 0x00 10. "CLKACTIVITY_L3INIT_USB_LFPS_TX_GFCLK,This field indicates the state of the L3INIT_USB_LFPS_TX_GFCLK clock in the domain" "CLKACTIVITY_L3INIT_USB_LFPS_TX_GFCLK_0,CLKACTIVITY_L3INIT_USB_LFPS_TX_GFCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_L3INIT_L4_GICLK,This field indicates the state of the L3INIT_L4_GICLK clock in the domain" "CLKACTIVITY_L3INIT_L4_GICLK_0,CLKACTIVITY_L3INIT_L4_GICLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_L3INIT_L3_GICLK,This field indicates the state of the L3INIT_L3_GICLK clock in the domain" "CLKACTIVITY_L3INIT_L3_GICLK_0,CLKACTIVITY_L3INIT_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L3INIT clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_L3INIT_STATICDEP,This register controls the static domain depedencies from L3INIT domain towards 'target' domains" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" newline hexmask.long.word 0x04 16.--26. 1. "RESERVED," newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON clock domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" newline bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" newline rbitfld.long 0x04 6.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" line.long 0x08 "CM_L3INIT_DYNAMICDEP,This register controls the dynamic domain depedencies from L3INIT domain towards 'target' domains" hexmask.long 0x08 6.--31. 1. "RESERVED," newline bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" newline bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x28++0x03 line.long 0x00 "CM_L3INIT_MMC1_CLKCTRL,This register manages the MMC1 clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 25.--26. "CLKSEL_DIV,MMC1 clock divide ratio" "CLKSEL_DIV_0,CLKSEL_DIV_1,CLKSEL_DIV_2,CLKSEL_DIV_3" newline bitfld.long 0x00 24. "CLKSEL_SOURCE,Selects the source of the functional clock" "CLKSEL_SOURCE_0,CLKSEL_SOURCE_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,MMC optional clock control: 32K CLK" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x30++0x03 line.long 0x00 "CM_L3INIT_MMC2_CLKCTRL,This register manages the MMC2 clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 25.--26. "CLKSEL_DIV,MMC2 clock divide ratio" "CLKSEL_DIV_0,CLKSEL_DIV_1,CLKSEL_DIV_2,CLKSEL_DIV_3" newline bitfld.long 0x00 24. "CLKSEL_SOURCE,Selects the source of the functional clock" "CLKSEL_SOURCE_0,CLKSEL_SOURCE_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,MMC optional clock control: 32K CLK" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x40++0x03 line.long 0x00 "CM_L3INIT_USB_OTG_SS2_CLKCTRL,This register manages the USB_OTG_SS2 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_REFCLK960M,USB_OTG_SS optional clock control: REFCLK960M (960MHz clock)" "OPTFCLKEN_REFCLK960M_0,OPTFCLKEN_REFCLK960M_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x48++0x03 line.long 0x00 "CM_L3INIT_USB_OTG_SS3_CLKCTRL,This register manages the USB_OTG_SS3 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x50++0x03 line.long 0x00 "CM_L3INIT_USB_OTG_SS4_CLKCTRL,This register manages the USB_OTG_SS4 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x58++0x03 line.long 0x00 "CM_L3INIT_MLB_SS_CLKCTRL,This register manages the MLBSS clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x78++0x03 line.long 0x00 "CM_L3INIT_IEEE1500_2_OCP_CLKCTRL,This register manages the IEE1500_2_OCP clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x88++0x03 line.long 0x00 "CM_L3INIT_SATA_CLKCTRL,This register manages the SATA clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_REF_CLK,SATA optional clock control: REF_CLK (from SYS_CLK clock)" "OPTFCLKEN_REF_CLK_0,OPTFCLKEN_REF_CLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xA0++0x07 line.long 0x00 "CM_PCIE_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," newline rbitfld.long 0x00 13. "CLKACTIVITY_PCIE_32K_GFCLK,This field indicates the state of the PCIE_32K_GFCLK clock in the domain" "CLKACTIVITY_PCIE_32K_GFCLK_0,CLKACTIVITY_PCIE_32K_GFCLK_1" newline rbitfld.long 0x00 12. "CLKACTIVITY_PCIE_SYS_GFCLK,This field indicates the state of the PCIE_SYS_GFCLK clock in the domain" "CLKACTIVITY_PCIE_SYS_GFCLK_0,CLKACTIVITY_PCIE_SYS_GFCLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_PCIE_REF_GFCLK,This field indicates the state of the PCIE_REF_GFCLK clock in the domain" "CLKACTIVITY_PCIE_REF_GFCLK_0,CLKACTIVITY_PCIE_REF_GFCLK_1" newline rbitfld.long 0x00 10. "CLKACTIVITY_PCIE_PHY_DIV_GCLK,This field indicates the state of the PCIE_PHY_DIV_GCLK clock in the domain" "CLKACTIVITY_PCIE_PHY_DIV_GCLK_0,CLKACTIVITY_PCIE_PHY_DIV_GCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_PCIE_PHY_GCLK,This field indicates the state of the PCIE_PHY_GCLK clock in the domain" "CLKACTIVITY_PCIE_PHY_GCLK_0,CLKACTIVITY_PCIE_PHY_GCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_PCIE_L3_GICLK,This field indicates the state of the PCIE_L3_GICLK clock in the domain" "CLKACTIVITY_PCIE_L3_GICLK_0,CLKACTIVITY_PCIE_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L3INIT clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_PCIE_STATICDEP,This register controls the static domain depedencies from PCIE domain towards 'target' domains" rbitfld.long 0x04 31. "RESERVED," "0,1" newline rbitfld.long 0x04 30. "ATL_STATDEP,Static dependency towards ATL clock domain" "ATL_STATDEP_0,ATL_STATDEP_1" newline rbitfld.long 0x04 29. "RESERVED," "0,1" newline bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE clock domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" newline bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC clock domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" newline bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU clock domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1 clock domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" newline bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" newline bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" newline bitfld.long 0x04 18. "DSP2_STATDEP,Static dependency towards DSP2 clock domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" newline rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE clock domain" "CUSTEFUSE_STATDEP_0,?" newline rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON clock domain" "COREAON_STATDEP_0,?" newline rbitfld.long 0x04 15. "RESERVED," "0,1" newline bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" newline rbitfld.long 0x04 11. "SDMA_STATDEP,Static dependency towards SDMA clock domain" "SDMA_STATDEP_0,?" newline bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU clock domain" "GPU_STATDEP_0,GPU_STATDEP_1" newline bitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM clock domain" "CAM_STATDEP_0,CAM_STATDEP_1" newline bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS clock domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT clock domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" newline rbitfld.long 0x04 5.--6. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline bitfld.long 0x04 1. "DSP1_STATDEP,Static dependency towards DSP1 clock domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" group.long 0xB0++0x03 line.long 0x00 "CM_PCIE_PCIESS1_CLKCTRL,This register manages the PCESS1 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "OPTFCLKEN_PCIEPHY_CLK_DIV,PCIE PHY optional clock control" "OPTFCLKEN_PCIEPHY_CLK_DIV_0,OPTFCLKEN_PCIEPHY_CLK_DIV_1" newline bitfld.long 0x00 9. "OPTFCLKEN_PCIEPHY_CLK,PCIE PHY optional clock control" "OPTFCLKEN_PCIEPHY_CLK_0,OPTFCLKEN_PCIEPHY_CLK_1" newline bitfld.long 0x00 8. "OPTFCLKEN_32KHZ,PCIE PHY optional clock control" "OPTFCLKEN_32KHZ_0,OPTFCLKEN_32KHZ_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed.Note: In order to disable the APLL_PCIE the user needs to disable PCIe_SSx (where x = 1 or 2) using the CM_PCIE_ PCIESSx_CLKCTRL[1:0] MODULEMODE registers" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xB8++0x03 line.long 0x00 "CM_PCIE_PCIESS2_CLKCTRL,This register manages the PCESS2 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "OPTFCLKEN_PCIEPHY_CLK_DIV,PCIE PHY optional clock control" "OPTFCLKEN_PCIEPHY_CLK_DIV_0,OPTFCLKEN_PCIEPHY_CLK_DIV_1" newline bitfld.long 0x00 9. "OPTFCLKEN_PCIEPHY_CLK,PCIE PHY optional clock control" "OPTFCLKEN_PCIEPHY_CLK_0,OPTFCLKEN_PCIEPHY_CLK_1" newline bitfld.long 0x00 8. "OPTFCLKEN_32KHZ,PCIE PHY optional clock control" "OPTFCLKEN_32KHZ_0,OPTFCLKEN_32KHZ_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed.Note: In order to disable the APLL_PCIE the user needs to disable PCIe_SSx (where x = 1 or 2) using the CM_PCIE_ PCIESSx_CLKCTRL[1:0] MODULEMODE registers" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xC0++0x0B line.long 0x00 "CM_GMAC_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," newline rbitfld.long 0x00 12. "CLKACTIVITY_GMAC_MAIN_CLK,This field indicates the state of the GMAC_MAIN_CLK clock in the domain" "CLKACTIVITY_GMAC_MAIN_CLK_0,CLKACTIVITY_GMAC_MAIN_CLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_GMAC_RFT_CLK,This field indicates the state of the GMAC_RFT_CLK clock in the domain" "CLKACTIVITY_GMAC_RFT_CLK_0,CLKACTIVITY_GMAC_RFT_CLK_1" newline rbitfld.long 0x00 10. "CLKACTIVITY_RMII_50MHZ_CLK,This field indicates the state of the RMII_50MHZ_CLK clock in the domain" "CLKACTIVITY_RMII_50MHZ_CLK_0,CLKACTIVITY_RMII_50MHZ_CLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_RGMII_5MHZ_CLK,This field indicates the state of the RGMII_5MHZ_CLK clock in the domain" "CLKACTIVITY_RGMII_5MHZ_CLK_0,CLKACTIVITY_RGMII_5MHZ_CLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_GMII_250MHZ_CLK,This field indicates the state of the GMII_250MHZ_CLK clock in the domain" "CLKACTIVITY_GMII_250MHZ_CLK_0,CLKACTIVITY_GMII_250MHZ_CLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,WARNING: This bit field must not be programmed for SW_SLEEP or HW_AUTO for EEE mode" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_GMAC_STATICDEP,This register controls the static domain depedencies from GMAC domain towards 'target' domains" rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline hexmask.long.tbyte 0x04 6.--25. 1. "RESERVED," newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "CM_GMAC_DYNAMICDEP,This register controls the dynamic domain depedencies from GMAC domain towards 'target' domains" hexmask.long 0x08 6.--31. 1. "RESERVED," newline bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" newline bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0xD0++0x03 line.long 0x00 "CM_GMAC_GMAC_CLKCTRL,This register manages the GMAC clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 25.--27. "CLKSEL_RFT,Selects the source of the GMAC_RFT_CLK" "CLKSEL_RFT_0,CLKSEL_RFT_1,CLKSEL_RFT_2,CLKSEL_RFT_3,CLKSEL_RFT_4,CLKSEL_RFT_5,CLKSEL_RFT_6,CLKSEL_RFT_7" newline bitfld.long 0x00 24. "CLKSEL_REF,Selects the source of the RMII_50MHZ_CLK functional clock" "CLKSEL_REF_0,CLKSEL_REF_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xE0++0x03 line.long 0x00 "CM_L3INIT_OCP2SCP1_CLKCTRL,This register manages the OCP2SCP1 clocks and the optional clock of USB PHY" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xE8++0x03 line.long 0x00 "CM_L3INIT_OCP2SCP3_CLKCTRL,This register manages the OCP2SCP3 clocks and the optional clock of USB PHY" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xF0++0x03 line.long 0x00 "CM_L3INIT_USB_OTG_SS1_CLKCTRL,This register manages the USB_OTG_SS1 clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_REFCLK960M,USB_OTG_SS optional clock control: REFCLK960M (960MHz clock)" "OPTFCLKEN_REFCLK960M_0,OPTFCLKEN_REFCLK960M_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE__L4PER" base ad:0x4A009700 group.long 0x00++0x03 line.long 0x00 "CM_L4PER_CLKSTCTRL,This register enables the domain power state transition" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "CLKACTIVITY_L4PER_32K_GFCLK,This field indicates the state of the L4PER_32K_FCLK clock in the domain" "CLKACTIVITY_L4PER_32K_GFCLK_0,CLKACTIVITY_L4PER_32K_GFCLK_1" newline rbitfld.long 0x00 26. "CLKACTIVITY_UART5_GFCLK,This field indicates the state of the UART5_GFCLK clock in the domain" "CLKACTIVITY_UART5_GFCLK_0,CLKACTIVITY_UART5_GFCLK_1" rbitfld.long 0x00 25. "CLKACTIVITY_PER_192M_GFCLK,This field indicates the state of the PER_192M_GFCLK clock in the domain" "CLKACTIVITY_PER_192M_GFCLK_0,CLKACTIVITY_PER_192M_GFCLK_1" newline rbitfld.long 0x00 24. "CLKACTIVITY_GPIO_GFCLK,This field indicates the state of the GPIO_GFCLK clock in the domain" "CLKACTIVITY_GPIO_GFCLK_0,CLKACTIVITY_GPIO_GFCLK_1" rbitfld.long 0x00 23. "CLKACTIVITY_MMC4_GFCLK,This field indicates the state of the MMC4_GFCLK clock in the domain" "CLKACTIVITY_MMC4_GFCLK_0,CLKACTIVITY_MMC4_GFCLK_1" newline rbitfld.long 0x00 22. "CLKACTIVITY_MMC3_GFCLK,This field indicates the state of the MMC3_GFCLK clock in the domain" "CLKACTIVITY_MMC3_GFCLK_0,CLKACTIVITY_MMC3_GFCLK_1" rbitfld.long 0x00 21. "CLKACTIVITY_PER_96M_GFCLK,This field indicates the state of the PER_96M_GFCLK clock in the domain" "CLKACTIVITY_PER_96M_GFCLK_0,CLKACTIVITY_PER_96M_GFCLK_1" newline rbitfld.long 0x00 20. "CLKACTIVITY_PER_48M_GFCLK,This field indicates the state of the PER_48M_GFCLK clock in the domain" "CLKACTIVITY_PER_48M_GFCLK_0,CLKACTIVITY_PER_48M_GFCLK_1" rbitfld.long 0x00 19. "CLKACTIVITY_PER_12M_GFCLK,This field indicates the state of the PER_12M_GFCLK clock in the domain" "CLKACTIVITY_PER_12M_GFCLK_0,CLKACTIVITY_PER_12M_GFCLK_1" newline rbitfld.long 0x00 18. "CLKACTIVITY_UART4_GFCLK,This field indicates the state of the UART4_GFCLK clock in the domain" "CLKACTIVITY_UART4_GFCLK_0,CLKACTIVITY_UART4_GFCLK_1" rbitfld.long 0x00 17. "CLKACTIVITY_UART3_GFCLK,This field indicates the state of the UART3_GFCLK clock in the domain" "CLKACTIVITY_UART3_GFCLK_0,CLKACTIVITY_UART3_GFCLK_1" newline rbitfld.long 0x00 16. "CLKACTIVITY_UART2_GFCLK,This field indicates the state of the UART2_GFCLK clock in the domain" "CLKACTIVITY_UART2_GFCLK_0,CLKACTIVITY_UART2_GFCLK_1" rbitfld.long 0x00 15. "CLKACTIVITY_UART1_GFCLK,This field indicates the state of the UART1_GFCLK clock in the domain" "CLKACTIVITY_UART1_GFCLK_0,CLKACTIVITY_UART1_GFCLK_1" newline rbitfld.long 0x00 14. "CLKACTIVITY_TIMER9_GFCLK,This field indicates the state of the DMT9_GFCLK clock in the domain" "CLKACTIVITY_TIMER9_GFCLK_0,CLKACTIVITY_TIMER9_GFCLK_1" rbitfld.long 0x00 13. "CLKACTIVITY_TIMER4_GFCLK,This field indicates the state of the DMT4_GFCLK clock in the domain" "CLKACTIVITY_TIMER4_GFCLK_0,CLKACTIVITY_TIMER4_GFCLK_1" newline rbitfld.long 0x00 12. "CLKACTIVITY_TIMER3_GFCLK,This field indicates the state of the DMT3_GFCLK clock in the domain" "CLKACTIVITY_TIMER3_GFCLK_0,CLKACTIVITY_TIMER3_GFCLK_1" rbitfld.long 0x00 11. "CLKACTIVITY_TIMER2_GFCLK,This field indicates the state of the DMT2_GFCLK clock in the domain" "CLKACTIVITY_TIMER2_GFCLK_0,CLKACTIVITY_TIMER2_GFCLK_1" newline rbitfld.long 0x00 10. "CLKACTIVITY_TIMER11_GFCLK,This field indicates the state of the DMT11_GFCLK clock in the domain" "CLKACTIVITY_TIMER11_GFCLK_0,CLKACTIVITY_TIMER11_GFCLK_1" rbitfld.long 0x00 9. "CLKACTIVITY_TIMER10_GFCLK,This field indicates the state of the DMT10_GFCLK clock in the domain" "CLKACTIVITY_TIMER10_GFCLK_0,CLKACTIVITY_TIMER10_GFCLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_L4PER_L3_GICLK,This field indicates the state of the L4PER_L3_GICLK clock in the domain" "CLKACTIVITY_L4PER_L3_GICLK_0,CLKACTIVITY_L4PER_L3_GICLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L4PER clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x08++0x07 line.long 0x00 "CM_L4PER_DYNAMICDEP,This register controls the dynamic domain depedencies from L4PER domain towards 'target' domains" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 15.--23. 1. "RESERVED," rbitfld.long 0x00 14. "L4SEC_DYNDEP,Dynamic dependency towards L4SEC clock domain" "?,L4SEC_DYNDEP_1" newline rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 8. "DSS_DYNDEP,Dynamic dependency towards DSS clock domain" "?,DSS_DYNDEP_1" newline rbitfld.long 0x00 7. "L3INIT_DYNDEP,Dynamic dependency towards L3INIT clock domain" "?,L3INIT_DYNDEP_1" rbitfld.long 0x00 4.--6. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "IPU_DYNDEP,Dynamic dependency towards IPU clock domain" "?,IPU_DYNDEP_1" rbitfld.long 0x00 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" line.long 0x04 "CM_L4PER2_L4_PER2_CLKCTRL,This register manages the L4_PER2 clocks" hexmask.long.word 0x04 18.--31. 1. "RESERVED," bitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x04 2.--15. 1. "RESERVED," bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x14++0x07 line.long 0x00 "CM_L4PER3_L4_PER3_CLKCTRL,This register manages the L4_PER3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" line.long 0x04 "CM_L4PER2_PRUSS1_CLKCTRL,This register manages the PRU-ICSS clocks" hexmask.long.word 0x04 19.--31. 1. "RESERVED," rbitfld.long 0x04 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x04 2.--15. 1. "RESERVED," newline bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x20++0x03 line.long 0x00 "CM_L4PER2_PRUSS2_CLKCTRL,This register manages the PRU-ICSS clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x28++0x03 line.long 0x00 "CM_L4PER_TIMER10_CLKCTRL,This register manages the TIMER10 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x30++0x03 line.long 0x00 "CM_L4PER_TIMER11_CLKCTRL,This register manages the TIMER11 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x38++0x03 line.long 0x00 "CM_L4PER_TIMER2_CLKCTRL,This register manages the TIMER2 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x40++0x03 line.long 0x00 "CM_L4PER_TIMER3_CLKCTRL,This register manages the TIMER3 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x48++0x03 line.long 0x00 "CM_L4PER_TIMER4_CLKCTRL,This register manages the TIMER4 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x50++0x03 line.long 0x00 "CM_L4PER_TIMER9_CLKCTRL,This register manages the TIMER9 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x58++0x03 line.long 0x00 "CM_L4PER_ELM_CLKCTRL,This register manages the ELM clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x60++0x03 line.long 0x00 "CM_L4PER_GPIO2_CLKCTRL,This register manages the GPIO2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x68++0x03 line.long 0x00 "CM_L4PER_GPIO3_CLKCTRL,This register manages the GPIO3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x70++0x03 line.long 0x00 "CM_L4PER_GPIO4_CLKCTRL,This register manages the GPIO4 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x78++0x03 line.long 0x00 "CM_L4PER_GPIO5_CLKCTRL,This register manages the GPIO5 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x80++0x03 line.long 0x00 "CM_L4PER_GPIO6_CLKCTRL,This register manages the GPIO6 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x88++0x03 line.long 0x00 "CM_L4PER_HDQ1W_CLKCTRL,This register manages the HDQ1W clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x90++0x03 line.long 0x00 "CM_L4PER2_PWMSS2_CLKCTRL,This register manages the PWMSS1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x98++0x03 line.long 0x00 "CM_L4PER2_PWMSS3_CLKCTRL,This register manages the PWMSS2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xA0++0x03 line.long 0x00 "CM_L4PER_I2C1_CLKCTRL,This register manages the I2C1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xA8++0x03 line.long 0x00 "CM_L4PER_I2C2_CLKCTRL,This register manages the I2C2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xB0++0x03 line.long 0x00 "CM_L4PER_I2C3_CLKCTRL,This register manages the I2C3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xB8++0x03 line.long 0x00 "CM_L4PER_I2C4_CLKCTRL,This register manages the I2C4 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0xC0++0x0B line.long 0x00 "CM_L4PER_L4_PER1_CLKCTRL,This register manages the L4_PER1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" line.long 0x04 "CM_L4PER2_PWMSS1_CLKCTRL,This register manages the PWMSS0 clocks" hexmask.long.word 0x04 18.--31. 1. "RESERVED," rbitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x04 2.--15. 1. "RESERVED," bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x08 "CM_L4PER3_TIMER13_CLKCTRL,This register manages the TIMER13 clocks" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x08 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x08 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x08 2.--15. 1. "RESERVED," bitfld.long 0x08 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xD0++0x03 line.long 0x00 "CM_L4PER3_TIMER14_CLKCTRL,This register manages the TIMER14 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xD8++0x03 line.long 0x00 "CM_L4PER3_TIMER15_CLKCTRL,This register manages the TIMER15 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xF0++0x03 line.long 0x00 "CM_L4PER_MCSPI1_CLKCTRL,This register manages the McSPI1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xF8++0x03 line.long 0x00 "CM_L4PER_MCSPI2_CLKCTRL,This register manages the McSPI2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x100++0x03 line.long 0x00 "CM_L4PER_MCSPI3_CLKCTRL,This register manages the McSPI3 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x108++0x03 line.long 0x00 "CM_L4PER_MCSPI4_CLKCTRL,This register manages the McSPI4 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x110++0x03 line.long 0x00 "CM_L4PER_GPIO7_CLKCTRL,This register manages the GPIO7 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x118++0x03 line.long 0x00 "CM_L4PER_GPIO8_CLKCTRL,This register manages the GPIO8 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x120++0x03 line.long 0x00 "CM_L4PER_MMC3_CLKCTRL,This register manages the MMC3 clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 25.--26. "CLKSEL_DIV,Selects the divider value" "CLKSEL_DIV_0,CLKSEL_DIV_1,CLKSEL_DIV_2,CLKSEL_DIV_3" newline bitfld.long 0x00 24. "CLKSEL_MUX,Select the clock for the MMC from DPLL_PER" "CLKSEL_MUX_0,CLKSEL_MUX_1" rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,MMC optional clock control: 32K CLK" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x128++0x03 line.long 0x00 "CM_L4PER_MMC4_CLKCTRL,This register manages the MMC4 clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 25.--26. "CLKSEL_DIV,Selects the divider value" "CLKSEL_DIV_0,CLKSEL_DIV_1,CLKSEL_DIV_2,CLKSEL_DIV_3" newline bitfld.long 0x00 24. "CLKSEL_MUX,Select the clock for the MMC from DPLL_PER" "CLKSEL_MUX_0,CLKSEL_MUX_1" rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "OPTFCLKEN_CLK32K,MMC optional clock control: 32K CLK" "OPTFCLKEN_CLK32K_0,OPTFCLKEN_CLK32K_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x130++0x03 line.long 0x00 "CM_L4PER3_TIMER16_CLKCTRL,This register manages the TIMER16 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x138++0x03 line.long 0x00 "CM_L4PER2_QSPI_CLKCTRL,This register manages the QSPI clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 25.--26. "CLKSEL_DIV,QSPI clock divide ratio" "CLKSEL_DIV_0,CLKSEL_DIV_1,CLKSEL_DIV_2,CLKSEL_DIV_3" newline bitfld.long 0x00 24. "CLKSEL_SOURCE,Selects the source of the functional clock" "CLKSEL_SOURCE_0,CLKSEL_SOURCE_1" rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x140++0x03 line.long 0x00 "CM_L4PER_UART1_CLKCTRL,This register manages the UART1 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x148++0x03 line.long 0x00 "CM_L4PER_UART2_CLKCTRL,This register manages the UART2 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x150++0x03 line.long 0x00 "CM_L4PER_UART3_CLKCTRL,This register manages the UART3 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x158++0x03 line.long 0x00 "CM_L4PER_UART4_CLKCTRL,This register manages the UART4 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x160++0x03 line.long 0x00 "CM_L4PER2_MCASP2_CLKCTRL,This register manages the McASP2 clocks" bitfld.long 0x00 28.--31. "CLKSEL_AHCLKR,Selects reference clock for AHCLKR enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKR_0,CLKSEL_AHCLKR_1,CLKSEL_AHCLKR_2,CLKSEL_AHCLKR_3,CLKSEL_AHCLKR_4,CLKSEL_AHCLKR_5,CLKSEL_AHCLKR_6,CLKSEL_AHCLKR_7,CLKSEL_AHCLKR_8,CLKSEL_AHCLKR_9,CLKSEL_AHCLKR_10,CLKSEL_AHCLKR_11,CLKSEL_AHCLKR_12,CLKSEL_AHCLKR_13,CLKSEL_AHCLKR_14,CLKSEL_AHCLKR_15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x168++0x03 line.long 0x00 "CM_L4PER2_MCASP3_CLKCTRL,This register manages the McASP3 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x170++0x03 line.long 0x00 "CM_L4PER_UART5_CLKCTRL,This register manages the UART5 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x178++0x03 line.long 0x00 "CM_L4PER2_MCASP5_CLKCTRL,This register manages the McASP5 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_ATL_CLK3" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x180++0x0B line.long 0x00 "CM_L4SEC_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_L4SEC_L3_GICLK,This field indicates the state of the L3_SECURE_GICLK clock in the domain" "CLKACTIVITY_L4SEC_L3_GICLK_0,CLKACTIVITY_L4SEC_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the L4PER clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_L4SEC_STATICDEP,This register controls the static domain depedencies from L4SEC domain towards 'target' domains" hexmask.long.tbyte 0x04 14.--31. 1. "RESERVED," bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline hexmask.long.byte 0x04 6.--12. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "CM_L4SEC_DYNAMICDEP,This register controls the dynamic domain depedencies from L4SEC domain towards 'target' domains" hexmask.long 0x08 7.--31. 1. "RESERVED," bitfld.long 0x08 6. "RESERVED," "0,1" newline bitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "L3MAIN1_DYNDEP_0,?" bitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x190++0x03 line.long 0x00 "CM_L4PER2_MCASP8_CLKCTRL,This register manages the McASP8 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x198++0x03 line.long 0x00 "CM_L4PER2_MCASP4_CLKCTRL,This register manages the McASP4 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1A0++0x03 line.long 0x00 "CM_L4SEC_AES1_CLKCTRL,This register manages the AES1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1A8++0x03 line.long 0x00 "CM_L4SEC_AES2_CLKCTRL,This register manages the AES2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1B0++0x03 line.long 0x00 "CM_L4SEC_DES3DES_CLKCTRL,This register manages the DES3DES clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1B8++0x03 line.long 0x00 "CM_L4SEC_FPKA_CLKCTRL,This register manages the FPKA clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1C0++0x03 line.long 0x00 "CM_L4SEC_RNG_CLKCTRL,This register manages the RNG clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1C8++0x03 line.long 0x00 "CM_L4SEC_SHA2MD51_CLKCTRL,This register manages the SHA2MD51 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1D0++0x03 line.long 0x00 "CM_L4PER2_UART7_CLKCTRL,This register manages the UART7 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x1D8++0x03 line.long 0x00 "CM_L4SEC_DMA_CRYPTO_CLKCTRL,This register manages the DMA_CRYPTO clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," bitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x1E0++0x03 line.long 0x00 "CM_L4PER2_UART8_CLKCTRL,This register manages the UART8 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1E8++0x03 line.long 0x00 "CM_L4PER2_UART9_CLKCTRL,This register manages the UART9 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1F0++0x03 line.long 0x00 "CM_L4PER2_DCAN2_CLKCTRL,This register manages the DCAN2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x1F8++0x1F line.long 0x00 "CM_L4SEC_SHA2MD52_CLKCTRL,This register manages the SHA2MD52 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x04 "CM_L4PER2_CLKSTCTRL,This register enables the domain power state transition" rbitfld.long 0x04 31. "CLKACTIVITY_MCASP8_AUX_GFCLK,This field indicates the state of the MCASP8_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP8_AUX_GFCLK_0,CLKACTIVITY_MCASP8_AUX_GFCLK_1" rbitfld.long 0x04 30. "CLKACTIVITY_MCASP8_AHCLKX,This field indicates the state of the MCASP8_AHCLKX clock in the domain" "CLKACTIVITY_MCASP8_AHCLKX_0,CLKACTIVITY_MCASP8_AHCLKX_1" newline rbitfld.long 0x04 29. "CLKACTIVITY_MCASP7_AUX_GFCLK,This field indicates the state of the MCASP7_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP7_AUX_GFCLK_0,CLKACTIVITY_MCASP7_AUX_GFCLK_1" rbitfld.long 0x04 28. "CLKACTIVITY_MCASP7_AHCLKX,This field indicates the state of the MCASP7_AHCLKX clock in the domain" "CLKACTIVITY_MCASP7_AHCLKX_0,CLKACTIVITY_MCASP7_AHCLKX_1" newline rbitfld.long 0x04 27. "CLKACTIVITY_MCASP6_AUX_GFCLK,This field indicates the state of the MCASP6_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP6_AUX_GFCLK_0,CLKACTIVITY_MCASP6_AUX_GFCLK_1" rbitfld.long 0x04 26. "CLKACTIVITY_MCASP6_AHCLKX,This field indicates the state of the MCASP6_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP6_AHCLKX_0,CLKACTIVITY_MCASP6_AHCLKX_1" newline rbitfld.long 0x04 25. "CLKACTIVITY_MCASP5_AHCLKX,This field indicates the state of the MCASP5_AHCLKX clock in the domain" "CLKACTIVITY_MCASP5_AHCLKX_0,CLKACTIVITY_MCASP5_AHCLKX_1" rbitfld.long 0x04 24. "CLKACTIVITY_MCASP5_AUX_GFCLK,This field indicates the state of the MCASP5_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP5_AUX_GFCLK_0,CLKACTIVITY_MCASP5_AUX_GFCLK_1" newline rbitfld.long 0x04 23. "CLKACTIVITY_MCASP4_AUX_GFCLK,This field indicates the state of the MCASP4_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP4_AUX_GFCLK_0,CLKACTIVITY_MCASP4_AUX_GFCLK_1" rbitfld.long 0x04 22. "CLKACTIVITY_MCASP4_AHCLKX,This field indicates the state of the MCASP4_AHCLKX clock in the domain" "CLKACTIVITY_MCASP4_AHCLKX_0,CLKACTIVITY_MCASP4_AHCLKX_1" newline rbitfld.long 0x04 21. "CLKACTIVITY_MCASP3_AUX_GFCLK,This field indicates the state of the MCASP3_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP3_AUX_GFCLK_0,CLKACTIVITY_MCASP3_AUX_GFCLK_1" rbitfld.long 0x04 20. "CLKACTIVITY_MCASP3_AHCLKX,This field indicates the state of the MCASP3_AHCLKX clock in the domain" "CLKACTIVITY_MCASP3_AHCLKX_0,CLKACTIVITY_MCASP3_AHCLKX_1" newline rbitfld.long 0x04 19. "CLKACTIVITY_MCASP2_AUX_GFCLK,This field indicates the state of the MCASP2_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP2_AUX_GFCLK_0,CLKACTIVITY_MCASP2_AUX_GFCLK_1" rbitfld.long 0x04 18. "CLKACTIVITY_MCASP2_AHCLKR,This field indicates the state of the MCASP2_AHCLKR clock in the domain" "CLKACTIVITY_MCASP2_AHCLKR_0,CLKACTIVITY_MCASP2_AHCLKR_1" newline rbitfld.long 0x04 17. "CLKACTIVITY_MCASP2_AHCLKX,This field indicates the state of the MCASP2_AHCLKX clock in the domain" "CLKACTIVITY_MCASP2_AHCLKX_0,CLKACTIVITY_MCASP2_AHCLKX_1" rbitfld.long 0x04 16. "CLKACTIVITY_L4PER2_L3_GICLK,This field indicates the state of the L4PER2_L3_GICLK clock in the domain" "CLKACTIVITY_L4PER2_L3_GICLK_0,CLKACTIVITY_L4PER2_L3_GICLK_1" newline rbitfld.long 0x04 15. "CLKACTIVITY_DCAN2_SYS_CLK,This field indicates the state of the DCAN2_SYS_CLK clock in the domain" "CLKACTIVITY_DCAN2_SYS_CLK_0,CLKACTIVITY_DCAN2_SYS_CLK_1" rbitfld.long 0x04 14. "CLKACTIVITY_ICSS_IEP_CLK,This field indicates the state of the ICSS_IEP_CLK clock in the domain" "CLKACTIVITY_ICSS_IEP_CLK_0,CLKACTIVITY_ICSS_IEP_CLK_1" newline rbitfld.long 0x04 13. "CLKACTIVITY_PER_192M_GFCLK,This field indicates the state of the PER_192M_GFCLK clock in the domain" "CLKACTIVITY_PER_192M_GFCLK_0,CLKACTIVITY_PER_192M_GFCLK_1" rbitfld.long 0x04 12. "CLKACTIVITY_QSPI_GFCLK,This field indicates the state of the QSPI_GFCLK clock in the domain" "CLKACTIVITY_QSPI_GFCLK_0,CLKACTIVITY_QSPI_GFCLK_1" newline rbitfld.long 0x04 11. "CLKACTIVITY_UART9_GFCLK,This field indicates the state of the UART9_GFCLK clock in the domain" "CLKACTIVITY_UART9_GFCLK_0,CLKACTIVITY_UART9_GFCLK_1" rbitfld.long 0x04 10. "CLKACTIVITY_UART8_GFCLK,This field indicates the state of the UART8_GFCLK clock in the domain" "CLKACTIVITY_UART8_GFCLK_0,CLKACTIVITY_UART8_GFCLK_1" newline rbitfld.long 0x04 9. "CLKACTIVITY_UART7_GFCLK,This field indicates the state of the UART7_GFCLK clock in the domain" "CLKACTIVITY_UART7_GFCLK_0,CLKACTIVITY_UART7_GFCLK_1" rbitfld.long 0x04 8. "CLKACTIVITY_ICSS_CLK,This field indicates the state of the ICSS_CLK clock in the domain" "CLKACTIVITY_ICSS_CLK_0,CLKACTIVITY_ICSS_CLK_1" newline rbitfld.long 0x04 7. "RESERVED," "0,1" rbitfld.long 0x04 3.--6. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 2. "RESERVED," "0,1" bitfld.long 0x04 0.--1. "CLKTRCTRL,Controls the clock state transition of the L4PER clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x08 "CM_L4PER2_DYNAMICDEP,This register controls the dynamic domain depedencies from L4PER2 domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 23. "RESERVED," "0,1" rbitfld.long 0x08 22. "GMAC_DYNDEP,Dynamic dependency towards GMAC clock domain" "?,GMAC_DYNDEP_1" newline hexmask.long.word 0x08 13.--21. 1. "RESERVED," rbitfld.long 0x08 12. "L4CFG_DYNDEP,Dynamic dependency towards L4CFG clock domain" "?,L4CFG_DYNDEP_1" newline rbitfld.long 0x08 8.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x08 7. "L3INIT_DYNDEP,Dynamic dependency towards L3INIT clock domain" "?,L3INIT_DYNDEP_1" newline rbitfld.long 0x08 6. "ATL_DYNDEP,Dynamic Dependency towards ATL clock domain" "0,1" rbitfld.long 0x08 4.--5. "RESERVED," "0,1,2,3" newline rbitfld.long 0x08 3. "IPU_DYNDEP,Dynamic dependency towards IPU clock domain" "?,IPU_DYNDEP_1" rbitfld.long 0x08 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" line.long 0x0C "CM_L4PER2_MCASP6_CLKCTRL,This register manages the McASP6 clocks" rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_ATL_CLK3" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x0C 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x0C 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x0C 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x0C 2.--15. 1. "RESERVED," newline bitfld.long 0x0C 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x10 "CM_L4PER2_MCASP7_CLKCTRL,This register manages the McASP7 clocks" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_ATL_CLK3" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x10 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x10 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x10 2.--15. 1. "RESERVED," newline bitfld.long 0x10 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x14 "CM_L4PER2_STATICDEP,This register controls the static domain depedencies from L4PER2 domain towards 'target' domains" hexmask.long.byte 0x14 24.--31. 1. "RESERVED," bitfld.long 0x14 23. "IPU1_STATDEP,Static dependency towards IPU1 clock domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" newline rbitfld.long 0x14 19.--22. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 18. "DSP2_STATDEP,Static dependency towards DSP2 clock domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" newline hexmask.long.word 0x14 6.--17. 1. "RESERVED," rbitfld.long 0x14 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline rbitfld.long 0x14 2.--4. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 1. "DSP1_STATDEP,Static dependency towards DSP1 clock domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" newline bitfld.long 0x14 0. "IPU2_STATDEP,Static dependency towards IPU2 clock domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x18 "CM_L4PER3_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x18 13.--31. 1. "RESERVED," rbitfld.long 0x18 12. "CLKACTIVITY_TIMER16_GFCLK,This field indicates the state of the DMT16_GFCLK clock in the domain" "CLKACTIVITY_TIMER16_GFCLK_0,CLKACTIVITY_TIMER16_GFCLK_1" newline rbitfld.long 0x18 11. "CLKACTIVITY_TIMER15_GFCLK,This field indicates the state of the DMT15_GFCLK clock in the domain" "CLKACTIVITY_TIMER15_GFCLK_0,CLKACTIVITY_TIMER15_GFCLK_1" rbitfld.long 0x18 10. "CLKACTIVITY_TIMER14_GFCLK,This field indicates the state of the DMT14_GFCLK clock in the domain" "CLKACTIVITY_TIMER14_GFCLK_0,CLKACTIVITY_TIMER14_GFCLK_1" newline rbitfld.long 0x18 9. "CLKACTIVITY_TIMER13_GFCLK,This field indicates the state of the DMT13_GFCLK clock in the domain" "CLKACTIVITY_TIMER13_GFCLK_0,CLKACTIVITY_TIMER13_GFCLK_1" rbitfld.long 0x18 8. "CLKACTIVITY_L4PER3_L3_GICLK,This field indicates the state of the L4PER2_L3_GICLK clock in the domain" "CLKACTIVITY_L4PER3_L3_GICLK_0,CLKACTIVITY_L4PER3_L3_GICLK_1" newline rbitfld.long 0x18 6.--7. "RESERVED," "0,1,2,3" rbitfld.long 0x18 2.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--1. "CLKTRCTRL,Controls the clock state transition of the L4PER clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x1C "CM_L4PER3_DYNAMICDEP,This register controls the dynamic domain depedencies from L4PER3 domain towards 'target' domains" rbitfld.long 0x1C 31. "VPE_DYNDEP,Dynamic dependency towards VPE clock domain" "?,VPE_DYNDEP_1" rbitfld.long 0x1C 28.--30. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x1C 23. "RTC_DYNDEP,Dynamic dependency towards RTC clock domain" "?,RTC_DYNDEP_1" newline hexmask.long.word 0x1C 13.--22. 1. "RESERVED," rbitfld.long 0x1C 12. "L4CFG_DYNDEP,Dynamic dependency towards L4CFG clock domain" "?,L4CFG_DYNDEP_1" newline rbitfld.long 0x1C 10.--11. "RESERVED," "0,1,2,3" rbitfld.long 0x1C 9. "CAM_DYNDEP,Dynamic dependency towards CAM clock domain" "?,CAM_DYNDEP_1" newline rbitfld.long 0x1C 8. "RESERVED," "0,1" rbitfld.long 0x1C 7. "L3INIT_DYNDEP,Dynamic dependency towards L3INIT clock domain" "?,L3INIT_DYNDEP_1" newline rbitfld.long 0x1C 6. "RESERVED," "0,1" rbitfld.long 0x1C 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x1C 4. "RESERVED," "0,1" rbitfld.long 0x1C 3. "IPU_DYNDEP,Dynamic dependency towards IPU clock domain" "?,IPU_DYNDEP_1" newline rbitfld.long 0x1C 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" tree.end tree "CM_CORE__OCP_SOCKET" base ad:0x4A008000 rgroup.long 0x00++0x03 line.long 0x00 "REVISION_CM_CORE,This register contains the IP revision code for the CM_CORE part of the PRCM" group.long 0x40++0x03 line.long 0x00 "CM_CM_CORE_PROFILING_CLKCTRL,This register manages the CM_CORE_PROFILING clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0xF0++0x03 line.long 0x00 "CM_CORE_DEBUG_CFG,This register is used to configure the CM_CORE's 32-bit debug output" hexmask.long.byte 0x00 24.--31. 1. "SEL3,Internal signal block select for debug word byte-3" hexmask.long.byte 0x00 16.--23. 1. "SEL2,Internal signal block select for debug word byte-2" hexmask.long.byte 0x00 8.--15. 1. "SEL1,Internal signal block select for debug word byte-1" hexmask.long.byte 0x00 0.--7. 1. "SEL0,Internal signal block select for debug word byte-0" tree.end tree "CM_CORE__RESTORE" base ad:0x4A009E00 group.long 0x18++0x03 line.long 0x00 "CM_L3MAIN1_CLKSTCTRL_RESTORE,Second address map for register" group.long 0x20++0x03 line.long 0x00 "CM_L4CFG_CLKSTCTRL_RESTORE,Second address map for register" group.long 0x28++0x17 line.long 0x00 "CM_L4PER_CLKSTCTRL_RESTORE,Second address map for register" line.long 0x04 "CM_L3INIT_CLKSTCTRL_RESTORE,Second address map for register" line.long 0x08 "CM_L3INSTR_L3_MAIN_2_CLKCTRL_RESTORE,Second address map for register" line.long 0x0C "CM_L3INSTR_L3_INSTR_CLKCTRL_RESTORE,Second address map for register" line.long 0x10 "CM_L3INSTR_OCP_WP_NOC_CLKCTRL_RESTORE,Second address map for register" line.long 0x14 "CM_CM_CORE_PROFILING_CLKCTRL_RESTORE,Second address map for register" group.long 0x48++0x03 line.long 0x00 "CM_L3MAIN1_DYNAMICDEP_RESTORE,Second address map for register" group.long 0x58++0x0B line.long 0x00 "CM_L4CFG_DYNAMICDEP_RESTORE,Second address map for register" line.long 0x04 "CM_L4PER_DYNAMICDEP_RESTORE,Second address map for register" line.long 0x08 "CM_COREAON_IO_SRCOMP_CLKCTRL_RESTORE,Second address map for register CM_COREAON_IO_SRCOMP_CLKCTRL" group.long 0x6C++0x03 line.long 0x00 "CM_DMA_STATICDEP_RESTORE,Second address map for register" tree.end tree "CM_CORE_AON__CKGEN" base ad:0x4A005100 group.long 0x00++0x03 line.long 0x00 "CM_CLKSEL_CORE,CORE module clock selection" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKSEL_L4,Selects L4 interconnect clock (L4_clk)" "CLKSEL_L4_0,CLKSEL_L4_1" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "CLKSEL_L3,Selects L3 interconnect clock (L3_clk)" "CLKSEL_L3_0,CLKSEL_L3_1" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "CM_CLKSEL_ABE,ABE module clock selection" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 10. "SLIMBUS1_CLK_GATE,Gating control for SLIMBUS_CLK clock tree in ABE" "SLIMBUS1_CLK_GATE_0,SLIMBUS1_CLK_GATE_1" newline rbitfld.long 0x00 9. "RESERVED," "0,1" bitfld.long 0x00 8. "PAD_CLKS_GATE,Gating control for PAD_CLKS clock tree in ABE" "PAD_CLKS_GATE_0,PAD_CLKS_GATE_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKSEL_OPP,Selects the OPP divider ABE domain" "CLKSEL_OPP_0,CLKSEL_OPP_1,CLKSEL_OPP_2,CLKSEL_OPP_3" group.long 0x10++0x03 line.long 0x00 "CM_DLL_CTRL,Special register for DLL control" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "DLL_OVERRIDE,Control if DLL lock and code outputs are overriden or not" "DLL_OVERRIDE_0,DLL_OVERRIDE_1" group.long 0x20++0x53 line.long 0x00 "CM_CLKMODE_DPLL_CORE,This register allows controlling the DPLL modes" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x00 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x00 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x00 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x00 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x00 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x00 9. "RESERVED," "0,1" newline bitfld.long 0x00 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x00 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x04 "CM_IDLEST_DPLL_CORE,This register allows monitoring DPLL activity" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x04 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x04 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x08 "CM_AUTOIDLE_DPLL_CORE,This register provides automatic control over the DPLL activity" hexmask.long 0x08 3.--31. 1. "RESERVED," bitfld.long 0x08 0.--2. "AUTO_DPLL_MODE,DPLL automatic control" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x0C "CM_CLKSEL_DPLL_CORE,This register provides controls over the DPLL" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," bitfld.long 0x0C 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x0C 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x0C 21. "RESERVED," "0,1" newline bitfld.long 0x0C 20. "DPLL_CLKOUTHIF_CLKSEL,Selects the source of the DPLL CLKOUTHIF clock" "DPLL_CLKOUTHIF_CLKSEL_0,DPLL_CLKOUTHIF_CLKSEL_1" rbitfld.long 0x0C 19. "RESERVED," "0,1" newline hexmask.long.word 0x0C 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x0C 7. "RESERVED," "0,1" newline hexmask.long.byte 0x0C 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x10 "CM_DIV_M2_DPLL_CORE,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," rbitfld.long 0x10 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x10 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x14 "CM_DIV_M3_DPLL_CORE,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x14 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0.--4. "DIVHS,DPLL M3 post-divider factor (1 to 31)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x18 "CM_DIV_H11_DPLL_CORE,This register provides controls over the CLKOUT1 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,HSDIVIDER1 CLKOUT1 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 0.--5. "DIVHS,DPLL (H11+1) post-divider factor (1 to 63)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x1C "CM_DIV_H12_DPLL_CORE,This register provides controls over the CLKOUT2 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x1C 10.--31. 1. "RESERVED,Reserved" rbitfld.long 0x1C 9. "CLKST,HSDIVIDER1 CLKOUT2 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x1C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x1C 0.--5. "DIVHS,This field programs the H12 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x20 "CM_DIV_H13_DPLL_CORE,This register provides controls over the CLKOUT3 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x20 10.--31. 1. "RESERVED,Reserved" rbitfld.long 0x20 9. "CLKST,HSDIVIDER1 CLKOUT3 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x20 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x20 0.--5. "DIVHS,This field programs the H13 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x24 "CM_DIV_H14_DPLL_CORE,This register provides controls over the CLKOUT4 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x24 10.--31. 1. "RESERVED," rbitfld.long 0x24 9. "CLKST,HSDIVIDER1 CLKOUT4 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x24 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x24 0.--5. "DIVHS,This field programs the H14 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x28 "CM_SSC_DELTAMSTEP_DPLL_CORE,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x28 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x28 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x2C "CM_SSC_MODFREQDIV_DPLL_CORE,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x2C 11.--31. 1. "RESERVED," bitfld.long 0x2C 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x2C 7. "RESERVED," "0,1" hexmask.long.byte 0x2C 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x30 "CM_DIV_H21_DPLL_CORE,This register provides controls over the CLKOUT1 o/p of the 2nd HSDIVIDER" hexmask.long.tbyte 0x30 10.--31. 1. "RESERVED," rbitfld.long 0x30 9. "CLKST,HSDIVIDER2 CLKOUT2 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x30 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x30 0.--5. "DIVHS,DPLL (H21+1) post-divider factor (1 to 63)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x34 "CM_DIV_H22_DPLL_CORE,This register provides controls over the CLKOUT2 o/p of the 2nd HSDIVIDER" hexmask.long.tbyte 0x34 10.--31. 1. "RESERVED," rbitfld.long 0x34 9. "CLKST,HSDIVIDER2 CLKOUT2 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x34 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x34 0.--5. "DIVHS,This field programs the H22 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x38 "CM_DIV_H23_DPLL_CORE,This register provides controls over the CLKOUT3 o/p of the 2nd HSDIVIDER" hexmask.long.tbyte 0x38 10.--31. 1. "RESERVED," rbitfld.long 0x38 9. "CLKST,HSDIVIDER2 CLKOUT3 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x38 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x38 0.--5. "DIVHS,This field programs the H23 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x3C "CM_DIV_H24_DPLL_CORE,This register provides controls over the CLKOUT4 o/p of the 2nd HSDIVIDER" hexmask.long.tbyte 0x3C 10.--31. 1. "RESERVED," rbitfld.long 0x3C 9. "CLKST,HSDIVIDER2 CLKOUT4 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x3C 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x3C 0.--5. "DIVHS,This field programs the H24 post-divider factor (1 to 63) of DPLL_CORE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x40 "CM_CLKMODE_DPLL_MPU,This register allows controlling the DPLL modes" hexmask.long.word 0x40 16.--31. 1. "RESERVED," bitfld.long 0x40 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x40 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x40 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x40 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x40 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x40 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" bitfld.long 0x40 9. "RESERVED," "0,1" newline bitfld.long 0x40 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" bitfld.long 0x40 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x40 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x44 "CM_IDLEST_DPLL_MPU,This register allows monitoring DPLL activity" hexmask.long 0x44 5.--31. 1. "RESERVED," bitfld.long 0x44 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x44 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x44 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x48 "CM_AUTOIDLE_DPLL_MPU,This register provides automatic control over the DPLL activity" hexmask.long 0x48 3.--31. 1. "RESERVED," bitfld.long 0x48 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x4C "CM_CLKSEL_DPLL_MPU,This register provides controls over the DPLL" hexmask.long.byte 0x4C 24.--31. 1. "RESERVED," rbitfld.long 0x4C 23. "DPLL_BYP_CLKSEL,Only CLKINPULOW bypass clock supported for this PLL" "0,1" newline bitfld.long 0x4C 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,DCC_EN_1" rbitfld.long 0x4C 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x4C 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x4C 7. "RESERVED," "0,1" newline hexmask.long.byte 0x4C 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x50 "CM_DIV_M2_DPLL_MPU,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x50 10.--31. 1. "RESERVED," rbitfld.long 0x50 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x50 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x50 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_MPU" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" group.long 0x88++0x07 line.long 0x00 "CM_SSC_DELTAMSTEP_DPLL_MPU,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x00 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x04 "CM_SSC_MODFREQDIV_DPLL_MPU,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED," bitfld.long 0x04 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" hexmask.long.byte 0x04 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" group.long 0x9C++0x1B line.long 0x00 "CM_BYPCLK_DPLL_MPU,Control MPU PLL BYPASS clock" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "CLKSEL,Select the DPLL MPU bypass clock" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3" line.long 0x04 "CM_CLKMODE_DPLL_IVA,This register allows controlling the DPLL modes" hexmask.long.word 0x04 16.--31. 1. "RESERVED," bitfld.long 0x04 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x04 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x04 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x04 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x04 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x04 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x04 9. "RESERVED," "0,1" newline bitfld.long 0x04 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x04 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x08 "CM_IDLEST_DPLL_IVA,This register allows monitoring DPLL activity" hexmask.long 0x08 5.--31. 1. "RESERVED," bitfld.long 0x08 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x08 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x08 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x0C "CM_AUTOIDLE_DPLL_IVA,This register provides automatic control over the DPLL activity" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x10 "CM_CLKSEL_DPLL_IVA,This register provides controls over the DPLL" hexmask.long.byte 0x10 24.--31. 1. "RESERVED," bitfld.long 0x10 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x10 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x10 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x10 7. "RESERVED," "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x14 "CM_DIV_M2_DPLL_IVA,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x14 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_IVA" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x18 "CM_DIV_M3_DPLL_IVA,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 0.--4. "DIVHS,DPLL M3 post-divider factor (1 to 31)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" group.long 0xC8++0x07 line.long 0x00 "CM_SSC_DELTAMSTEP_DPLL_IVA,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x00 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x04 "CM_SSC_MODFREQDIV_DPLL_IVA,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED," bitfld.long 0x04 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" hexmask.long.byte 0x04 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" group.long 0xDC++0x1B line.long 0x00 "CM_BYPCLK_DPLL_IVA,Control IVA PLL BYPASS clock" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "CLKSEL,Select the DPLL IVA bypass clock" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3" line.long 0x04 "CM_CLKMODE_DPLL_ABE,This register allows controlling the DPLL modes" hexmask.long.word 0x04 16.--31. 1. "RESERVED," bitfld.long 0x04 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x04 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x04 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x04 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" bitfld.long 0x04 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,DPLL_REGM4XEN_1" newline bitfld.long 0x04 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x04 9. "RESERVED," "0,1" newline bitfld.long 0x04 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x04 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x08 "CM_IDLEST_DPLL_ABE,This register allows monitoring DPLL activity" hexmask.long 0x08 5.--31. 1. "RESERVED," bitfld.long 0x08 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x08 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x08 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x0C "CM_AUTOIDLE_DPLL_ABE,This register provides automatic control over the DPLL activity" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x10 "CM_CLKSEL_DPLL_ABE,This register provides controls over the DPLL" hexmask.long.byte 0x10 24.--31. 1. "RESERVED," rbitfld.long 0x10 23. "DPLL_BYP_CLKSEL,Only CLKINPULOW bypass clock supported for this PLL" "0,1" newline rbitfld.long 0x10 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x10 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x10 7. "RESERVED," "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x14 "CM_DIV_M2_DPLL_ABE,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED," rbitfld.long 0x14 11. "CLKX2ST,DPLL CLKOUTX2 status" "CLKX2ST_0,CLKX2ST_1" newline rbitfld.long 0x14 10. "RESERVED," "0,1" rbitfld.long 0x14 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x14 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_ABE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x18 "CM_DIV_M3_DPLL_ABE,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 0.--4. "DIVHS,This field programs the M3 post-divider factor (1 to 31) of DPLL_ABE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" group.long 0x108++0x4F line.long 0x00 "CM_SSC_DELTAMSTEP_DPLL_ABE,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x00 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x04 "CM_SSC_MODFREQDIV_DPLL_ABE,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED," bitfld.long 0x04 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" hexmask.long.byte 0x04 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x08 "CM_CLKMODE_DPLL_DDR,This register allows controlling the DPLL modes" hexmask.long.word 0x08 16.--31. 1. "RESERVED," bitfld.long 0x08 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x08 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "0,1" rbitfld.long 0x08 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "0,1" newline bitfld.long 0x08 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x08 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x08 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x08 9. "RESERVED," "0,1" newline bitfld.long 0x08 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x08 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x08 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x0C "CM_IDLEST_DPLL_DDR,This register allows monitoring DPLL activity" hexmask.long 0x0C 5.--31. 1. "RESERVED," bitfld.long 0x0C 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x0C 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x0C 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x10 "CM_AUTOIDLE_DPLL_DDR,This register provides automatic control over the DPLL activity" hexmask.long 0x10 3.--31. 1. "RESERVED," bitfld.long 0x10 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x14 "CM_CLKSEL_DPLL_DDR,This register provides controls over the DPLL" hexmask.long.byte 0x14 24.--31. 1. "RESERVED," bitfld.long 0x14 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline bitfld.long 0x14 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,DCC_EN_1" rbitfld.long 0x14 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x14 7. "RESERVED," "0,1" newline hexmask.long.byte 0x14 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x18 "CM_DIV_M2_DPLL_DDR,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_DDR" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x1C "CM_DIV_M3_DPLL_DDR,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x1C 10.--31. 1. "RESERVED," rbitfld.long 0x1C 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x1C 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x1C 0.--4. "DIVHS,This field programs the M3 post-divider factor (1 to 31) of DPLL_DDR" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x20 "CM_DIV_H11_DPLL_DDR,This register provides controls over the CLKOUT1 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x20 10.--31. 1. "RESERVED," rbitfld.long 0x20 9. "CLKST,HSDIVIDER1 CLKOUT1 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x20 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x20 0.--5. "DIVHS,This field programs the H11 post-divider factor (1 to 63) of DPLL_DDR" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x24 "CM_SSC_DELTAMSTEP_DPLL_DDR,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x24 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x24 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x28 "CM_SSC_MODFREQDIV_DPLL_DDR,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x28 11.--31. 1. "RESERVED," bitfld.long 0x28 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x28 7. "RESERVED," "0,1" hexmask.long.byte 0x28 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x2C "CM_CLKMODE_DPLL_DSP,This register allows controlling the DPLL modes" hexmask.long.word 0x2C 16.--31. 1. "RESERVED," bitfld.long 0x2C 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x2C 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x2C 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x2C 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x2C 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x2C 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x2C 9. "RESERVED," "0,1" newline bitfld.long 0x2C 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x2C 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x30 "CM_IDLEST_DPLL_DSP,This register allows monitoring DPLL activity" hexmask.long 0x30 5.--31. 1. "RESERVED," bitfld.long 0x30 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x30 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x30 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x34 "CM_AUTOIDLE_DPLL_DSP,This register provides automatic control over the DPLL activity" hexmask.long 0x34 3.--31. 1. "RESERVED," bitfld.long 0x34 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x38 "CM_CLKSEL_DPLL_DSP,This register provides controls over the DPLL" hexmask.long.byte 0x38 24.--31. 1. "RESERVED," bitfld.long 0x38 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x38 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x38 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x38 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x38 7. "RESERVED," "0,1" newline hexmask.long.byte 0x38 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x3C "CM_DIV_M2_DPLL_DSP,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x3C 10.--31. 1. "RESERVED," rbitfld.long 0x3C 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x3C 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x3C 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_DSP" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x40 "CM_DIV_M3_DPLL_DSP,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x40 10.--31. 1. "RESERVED," rbitfld.long 0x40 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x40 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x40 0.--4. "DIVHS,This field programs the M3 post-divider factor (1 to 31) of DPLL_DSP" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x44 "CM_SSC_DELTAMSTEP_DPLL_DSP,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x44 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x44 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x48 "CM_SSC_MODFREQDIV_DPLL_DSP,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x48 11.--31. 1. "RESERVED," bitfld.long 0x48 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x48 7. "RESERVED," "0,1" hexmask.long.byte 0x48 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x4C "CM_BYPCLK_DPLL_DSP,Control IVA PLL BYPASS clock" hexmask.long 0x4C 2.--31. 1. "RESERVED," bitfld.long 0x4C 0.--1. "CLKSEL,Select the DPLL IVA bypass clock" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3" group.long 0x160++0x07 line.long 0x00 "CM_SHADOW_FREQ_CONFIG1,Shadow register to program new DPLL configuration affecting EMIF and GPMC (L3 clock) functional frequency during DVFS" hexmask.long.word 0x00 19.--31. 1. "RESERVED," bitfld.long 0x00 16.--18. "DPLL_DDR_DPLL_EN,Shadow register forCM_CLKMODE_DPLL_DDR.DPLL_EN" "DPLL_DDR_DPLL_EN_0,DPLL_DDR_DPLL_EN_1,DPLL_DDR_DPLL_EN_2,DPLL_DDR_DPLL_EN_3,DPLL_DDR_DPLL_EN_4,DPLL_DDR_DPLL_EN_5,DPLL_DDR_DPLL_EN_6,DPLL_DDR_DPLL_EN_7" newline bitfld.long 0x00 11.--15. "DPLL_DDR_M2_DIV,Shadow register forCM_DIV_M2_DPLL_DDR.DIVHS" "DPLL_DDR_M2_DIV_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" hexmask.long.byte 0x00 4.--10. 1. "RESERVED," newline bitfld.long 0x00 3. "DLL_RESET,Specify if DLL should be reset or not during the frequency change hardware sequence" "DLL_RESET_0,DLL_RESET_1" bitfld.long 0x00 2. "DLL_OVERRIDE,Shadow register forCM_DLL_CTRL.DLL_OVERRIDE.The main register is automatically loaded with the shadow register value after EMIF IDLE if the FREQ_UPDATE field is set to '1'" "DLL_OVERRIDE_0,DLL_OVERRIDE_1" newline rbitfld.long 0x00 1. "RESERVED," "0,1" bitfld.long 0x00 0. "FREQ_UPDATE,Writing '1' indicates that a new configuration is available" "0,1" line.long 0x04 "CM_SHADOW_FREQ_CONFIG2,Shadow register to program new DPLL configuration affecting GPMC (L3 clock) functional frequency during DVFS" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," bitfld.long 0x04 2.--7. "DPLL_CORE_H12_DIV,Shadow register forCM_DIV_H12_DPLL_CORE.DIVHS" "DPLL_CORE_H12_DIV_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x04 1. "CLKSEL_L3,Shadow register forCM_CLKSEL_CORE.CLKSEL_L3" "CLKSEL_L3_0,CLKSEL_L3_1" bitfld.long 0x04 0. "GPMC_FREQ_UPDATE,Controls whether or not GPMC has to be put automatically into idle during the frequency change operation" "GPMC_FREQ_UPDATE_0,GPMC_FREQ_UPDATE_1" group.long 0x170++0x03 line.long 0x00 "CM_DYN_DEP_PRESCAL,Control the time unit of the sliding window for dynamic dependencies (auto-sleep feature)" hexmask.long 0x00 6.--31. 1. "RESERVED," bitfld.long 0x00 0.--5. "PRESCAL,Time unit is equal to (PRESCAL + 1) L4 clock cycles" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x180++0x77 line.long 0x00 "CM_RESTORE_ST,Automatic restore status" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 2. "PHASE2B_COMPLETED,Indicates if restore phase 2b is completed" "0,1" newline bitfld.long 0x00 1. "PHASE2A_COMPLETED,Indicates if restore phase 2a is completed" "0,1" bitfld.long 0x00 0. "PHASE1_COMPLETED,Indicates if restore phase 1 is completed" "0,1" line.long 0x04 "CM_CLKMODE_DPLL_EVE,This register allows controlling the DPLL modes" hexmask.long.word 0x04 16.--31. 1. "RESERVED," bitfld.long 0x04 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x04 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x04 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x04 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x04 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x04 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x04 9. "RESERVED," "0,1" newline bitfld.long 0x04 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x04 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x08 "CM_IDLEST_DPLL_EVE,This register allows monitoring DPLL activity" hexmask.long 0x08 5.--31. 1. "RESERVED," bitfld.long 0x08 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x08 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x08 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x0C "CM_AUTOIDLE_DPLL_EVE,This register provides automatic control over the DPLL activity" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x10 "CM_CLKSEL_DPLL_EVE,This register provides controls over the DPLL" hexmask.long.byte 0x10 24.--31. 1. "RESERVED," bitfld.long 0x10 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x10 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x10 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x10 7. "RESERVED," "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x14 "CM_DIV_M2_DPLL_EVE,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x14 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_EVE" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x18 "CM_DIV_M3_DPLL_EVE,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," rbitfld.long 0x18 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x18 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x18 0.--4. "DIVHS,DPLL M3 post-divider factor (1 to 31)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x1C "CM_SSC_DELTAMSTEP_DPLL_EVE,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x20 "CM_SSC_MODFREQDIV_DPLL_EVE,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x20 11.--31. 1. "RESERVED," bitfld.long 0x20 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x20 7. "RESERVED," "0,1" hexmask.long.byte 0x20 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x24 "CM_BYPCLK_DPLL_EVE,Control IVA PLL BYPASS clock" hexmask.long 0x24 2.--31. 1. "RESERVED," bitfld.long 0x24 0.--1. "CLKSEL,Select the DPLL IVA bypass clock" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3" line.long 0x28 "CM_CLKMODE_DPLL_GMAC,This register allows controlling the DPLL modes" hexmask.long.word 0x28 16.--31. 1. "RESERVED," bitfld.long 0x28 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x28 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x28 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x28 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x28 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x28 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x28 9. "RESERVED," "0,1" newline bitfld.long 0x28 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x28 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x28 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x2C "CM_IDLEST_DPLL_GMAC,This register allows monitoring DPLL activity" hexmask.long 0x2C 5.--31. 1. "RESERVED," bitfld.long 0x2C 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x2C 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x2C 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x30 "CM_AUTOIDLE_DPLL_GMAC,This register provides automatic control over the DPLL activity" hexmask.long 0x30 3.--31. 1. "RESERVED," bitfld.long 0x30 0.--2. "AUTO_DPLL_MODE,DPLL automatic control;" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x34 "CM_CLKSEL_DPLL_GMAC,This register provides controls over the DPLL" hexmask.long.byte 0x34 24.--31. 1. "RESERVED," bitfld.long 0x34 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline bitfld.long 0x34 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,DCC_EN_1" rbitfld.long 0x34 21. "RESERVED," "0,1" newline bitfld.long 0x34 20. "DPLL_CLKOUTHIF_CLKSEL,Selects the source of the DPLL CLKOUTHIF clock" "DPLL_CLKOUTHIF_CLKSEL_0,DPLL_CLKOUTHIF_CLKSEL_1" rbitfld.long 0x34 19. "RESERVED," "0,1" newline hexmask.long.word 0x34 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x34 7. "RESERVED," "0,1" newline hexmask.long.byte 0x34 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x38 "CM_DIV_M2_DPLL_GMAC,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x38 10.--31. 1. "RESERVED," rbitfld.long 0x38 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x38 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x38 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_GMAC" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x3C "CM_DIV_M3_DPLL_GMAC,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x3C 10.--31. 1. "RESERVED," rbitfld.long 0x3C 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x3C 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x3C 0.--4. "DIVHS,This field programs the M3 post-divider factor (1 to 31) of DPLL_GMAC" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x40 "CM_DIV_H11_DPLL_GMAC,This register provides controls over the CLKOUT1 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x40 10.--31. 1. "RESERVED," rbitfld.long 0x40 9. "CLKST,HSDIVIDER1 CLKOUT1 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x40 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x40 0.--5. "DIVHS,This field programs the H11 post-divider factor (1 to 63) of DPLL_GMAC" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x44 "CM_DIV_H12_DPLL_GMAC,This register provides controls over the CLKOUT2 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x44 10.--31. 1. "RESERVED,Reserved" rbitfld.long 0x44 9. "CLKST,HSDIVIDER1 CLKOUT2 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x44 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x44 0.--5. "DIVHS,This field programs the H12 post-divider factor (1 to 63) of DPLL_GMAC" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x48 "CM_DIV_H13_DPLL_GMAC,This register provides controls over the CLKOUT3 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x48 10.--31. 1. "RESERVED,Reserved" rbitfld.long 0x48 9. "CLKST,HSDIVIDER1 CLKOUT3 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x48 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x48 0.--5. "DIVHS,This field programs the H13 post-divider factor (1 to 63) of DPLL_GMAC" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_63" line.long 0x4C "CM_DIV_H14_DPLL_GMAC,This register provides controls over the CLKOUT4 o/p of the HSDIVIDER1" hexmask.long.tbyte 0x4C 10.--31. 1. "RESERVED," rbitfld.long 0x4C 9. "CLKST,HSDIVIDER1 CLKOUT4 status" "CLKST_0,CLKST_1" newline rbitfld.long 0x4C 6.--8. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x4C 0.--5. "DIVHS,DPLL (H14+1) post-divider factor (1 to 63)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x50 "CM_SSC_DELTAMSTEP_DPLL_GMAC,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x50 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x50 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x54 "CM_SSC_MODFREQDIV_DPLL_GMAC,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x54 11.--31. 1. "RESERVED," bitfld.long 0x54 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x54 7. "RESERVED," "0,1" hexmask.long.byte 0x54 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" line.long 0x58 "CM_CLKMODE_DPLL_GPU,This register allows controlling the DPLL modes" hexmask.long.word 0x58 16.--31. 1. "RESERVED," bitfld.long 0x58 15. "DPLL_SSC_TYPE,Select between Triangular and SquareWave Spread Spectrum Clocking" "DPLL_SSC_TYPE_0,DPLL_SSC_TYPE_1" newline bitfld.long 0x58 14. "DPLL_SSC_DOWNSPREAD,Control if only low frequency spread is required" "DPLL_SSC_DOWNSPREAD_0,DPLL_SSC_DOWNSPREAD_1" rbitfld.long 0x58 13. "DPLL_SSC_ACK,Acknowledgement from the DPLL regarding start and stop of Spread Spectrum Clocking feature" "DPLL_SSC_ACK_0,DPLL_SSC_ACK_1" newline bitfld.long 0x58 12. "DPLL_SSC_EN,Enable or disable Spread Spectrum Clocking" "DPLL_SSC_EN_0,DPLL_SSC_EN_1" rbitfld.long 0x58 11. "DPLL_REGM4XEN,Enable the REGM4XEN mode of the DPLL" "DPLL_REGM4XEN_0,?" newline bitfld.long 0x58 10. "DPLL_LPMODE_EN,Set the DPLL in Low Power mode" "DPLL_LPMODE_EN_0,DPLL_LPMODE_EN_1" rbitfld.long 0x58 9. "RESERVED," "0,1" newline bitfld.long 0x58 8. "DPLL_DRIFTGUARD_EN,This bit allows to enable or disable the automatic recalibration feature of the DPLL" "DPLL_DRIFTGUARD_EN_0,DPLL_DRIFTGUARD_EN_1" rbitfld.long 0x58 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x58 0.--2. "DPLL_EN,DPLL control" "DPLL_EN_0,DPLL_EN_1,DPLL_EN_2,DPLL_EN_3,DPLL_EN_4,DPLL_EN_5,DPLL_EN_6,DPLL_EN_7" line.long 0x5C "CM_IDLEST_DPLL_GPU,This register allows monitoring DPLL activity" hexmask.long 0x5C 5.--31. 1. "RESERVED," bitfld.long 0x5C 4. "ST_DPLL_INIT,DPLL init status (for debug purpose)" "ST_DPLL_INIT_0,ST_DPLL_INIT_1" newline bitfld.long 0x5C 1.--3. "ST_DPLL_MODE,DPLL mode status (for debug purpose)" "ST_DPLL_MODE_0,ST_DPLL_MODE_1,ST_DPLL_MODE_2,ST_DPLL_MODE_3,ST_DPLL_MODE_4,ST_DPLL_MODE_5,ST_DPLL_MODE_6,ST_DPLL_MODE_7" bitfld.long 0x5C 0. "ST_DPLL_CLK,DPLL lock status" "ST_DPLL_CLK_0,ST_DPLL_CLK_1" line.long 0x60 "CM_AUTOIDLE_DPLL_GPU,This register provides automatic control over the DPLL activity" hexmask.long 0x60 3.--31. 1. "RESERVED," bitfld.long 0x60 0.--2. "AUTO_DPLL_MODE,DPLL automatic control" "AUTO_DPLL_MODE_0,AUTO_DPLL_MODE_1,AUTO_DPLL_MODE_2,AUTO_DPLL_MODE_3,AUTO_DPLL_MODE_4,AUTO_DPLL_MODE_5,AUTO_DPLL_MODE_6,AUTO_DPLL_MODE_7" line.long 0x64 "CM_CLKSEL_DPLL_GPU,This register provides controls over the DPLL" hexmask.long.byte 0x64 24.--31. 1. "RESERVED," bitfld.long 0x64 23. "DPLL_BYP_CLKSEL,Allows control of the BYPASS clock of the PLL and the associated HSDIVIDER" "CLKINP is selected as the BYPASS clock for..,CLKINPULOW is selected as the BYPASS clock for.." newline rbitfld.long 0x64 22. "DCC_EN,Duty-cycle corrector for high frequency clock" "DCC_EN_0,?" rbitfld.long 0x64 21. "RESERVED," "0,1" newline bitfld.long 0x64 20. "DPLL_CLKOUTHIF_CLKSEL,Selects the source of the DPLL CLKOUTHIF clock" "DPLL_CLKOUTHIF_CLKSEL_0,DPLL_CLKOUTHIF_CLKSEL_1" rbitfld.long 0x64 19. "RESERVED," "0,1" newline hexmask.long.word 0x64 8.--18. 1. "DPLL_MULT,DPLL multiplier factor (2 to 2047)" rbitfld.long 0x64 7. "RESERVED," "0,1" newline hexmask.long.byte 0x64 0.--6. 1. "DPLL_DIV,DPLL divider factor (0 to 127) (equal to input N of DPLL; actual division factor is N+1)" line.long 0x68 "CM_DIV_M2_DPLL_GPU,This register provides controls over the M2 divider of the DPLL" hexmask.long.tbyte 0x68 10.--31. 1. "RESERVED," rbitfld.long 0x68 9. "CLKST,DPLL CLKOUT status" "CLKST_0,CLKST_1" newline rbitfld.long 0x68 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x68 0.--4. "DIVHS,This field programs the M2 post-divider factor (1 to 31) of DPLL_GPU" "DIVHS_0,DIVHS_1,DIVHS_2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,DIVHS_31" line.long 0x6C "CM_DIV_M3_DPLL_GPU,This register provides controls over the M3 divider of the DPLL" hexmask.long.tbyte 0x6C 10.--31. 1. "RESERVED," rbitfld.long 0x6C 9. "CLKST,DPLL CLKOUTHIF status" "CLKST_0,CLKST_1" newline rbitfld.long 0x6C 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x6C 0.--4. "DIVHS,DPLL M3 post-divider factor (1 to 31)" "DIVHS_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x70 "CM_SSC_DELTAMSTEP_DPLL_GPU,Control the DeltaMStep parameter for Spread Spectrum Clocking" hexmask.long.word 0x70 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x70 0.--19. 1. "DELTAMSTEP,DeltaMStep is split into fractional and integer part" line.long 0x74 "CM_SSC_MODFREQDIV_DPLL_GPU,Control the Modulation Frequency (Fm) for Spread Spectrum Clocking" hexmask.long.tbyte 0x74 11.--31. 1. "RESERVED," bitfld.long 0x74 8.--10. "MODFREQDIV_EXPONENT,Set the Exponent component of MODFREQDIV factor" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x74 7. "RESERVED," "0,1" hexmask.long.byte 0x74 0.--6. 1. "MODFREQDIV_MANTISSA,Set the Mantissa component of MODFREQDIV factor" tree.end tree "CM_CORE_AON__DSP1" base ad:0x4A005400 group.long 0x00++0x0B line.long 0x00 "CM_DSP1_CLKSTCTRL,This register enables the DSP domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_DSP1_GFCLK,This field indicates the state of the DSP_ROOT_CLK clock in the domain" "CLKACTIVITY_DSP1_GFCLK_0,CLKACTIVITY_DSP1_GFCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_DSP1_STATICDEP,This register controls the static domain depedencies from DSP domain towards 'target' domains" rbitfld.long 0x04 31. "RESERVED," "0,1" rbitfld.long 0x04 30. "ATL_STATDEP,Static dependency towards ATL Clock Domain" "ATL_STATDEP_0,ATL_STATDEP_1" newline bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE Clock Domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE Clock Domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 Clock Domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 Clock Domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC Clock Domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU Clock Domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1 Clock Domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 Clock Domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" newline bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 Clock Domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 Clock Domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 Clock Domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" bitfld.long 0x04 18. "DSP2_STATDEP,Static dependency towards DSP2 Clock Domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" newline rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE Clock Domain" "CUSTEFUSE_STATDEP_0,?" rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON Clock Domain" "COREAON_STATDEP_0,?" newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON Clock Domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC Clock Domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1Clock Domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" rbitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG Clock Domain" "L4CFG_STATDEP_0,?" newline rbitfld.long 0x04 11. "RESERVED," "0,1" bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU Clock Domain" "GPU_STATDEP_0,GPU_STATDEP_1" newline bitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM Clock Domain" "CAM_STATDEP_0,CAM_STATDEP_1" bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS Clock Domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT Clock Domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" rbitfld.long 0x04 6. "RESERVED," "0,1" newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 Clock Domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF Clock Domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA Clock Domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline rbitfld.long 0x04 1. "RESERVED," "0,1" bitfld.long 0x04 0. "IPU2_STATDEP,Static dependency towards IPU2 Clock Domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x08 "CM_DSP1_DYNAMICDEP,This register controls the dynamic domain depedencies from DSP domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x08 6.--23. 1. "RESERVED," rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "CM_DSP1_DSP1_CLKCTRL,This register manages the DSP clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__DSP2" base ad:0x4A005600 group.long 0x00++0x0B line.long 0x00 "CM_DSP2_CLKSTCTRL,This register enables the DSP domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_DSP2_GFCLK,This field indicates the state of the DSP_ROOT_CLK clock in the domain" "CLKACTIVITY_DSP2_GFCLK_0,CLKACTIVITY_DSP2_GFCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_DSP2_STATICDEP,This register controls the static domain depedencies from DSP domain towards 'target' domains" rbitfld.long 0x04 31. "RESERVED," "0,1" rbitfld.long 0x04 30. "ATL_STATDEP,Static dependency towards ATL Clock Domain" "ATL_STATDEP_0,ATL_STATDEP_1" newline bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE Clock Domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE Clock Domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 Clock Domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 Clock Domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC Clock Domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU Clock Domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1Clock Domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 Clock Domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" newline bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 Clock Domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 Clock Domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 Clock Domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" rbitfld.long 0x04 18. "RESERVED," "0,1" newline rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE Clock Domain" "CUSTEFUSE_STATDEP_0,?" rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON Clock Domain" "COREAON_STATDEP_0,?" newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON Clock Domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC Clock Domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 Clock Domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" rbitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG Clock Domain" "L4CFG_STATDEP_0,?" newline rbitfld.long 0x04 11. "RESERVED," "0,1" bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU Clock Domain" "GPU_STATDEP_0,GPU_STATDEP_1" newline bitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM Clock Domain" "CAM_STATDEP_0,CAM_STATDEP_1" bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS Clock Domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT Clock Domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" rbitfld.long 0x04 6. "RESERVED," "0,1" newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 Clock Domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF Clock Domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA Clock Domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline bitfld.long 0x04 1. "DSP1_STATDEP,Static dependency towards DSP1Clock Domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" bitfld.long 0x04 0. "IPU2_STATDEP,Static dependency towards IPU2 Clock Domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x08 "CM_DSP2_DYNAMICDEP,This register controls the dynamic domain depedencies from DSP domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x08 6.--23. 1. "RESERVED," rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "CM_DSP2_DSP2_CLKCTRL,This register manages the DSP clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__EVE1" base ad:0x4A005640 group.long 0x00++0x07 line.long 0x00 "CM_EVE1_CLKSTCTRL,This register enables the EVE domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_EVE1_GFCLK,This field indicates the state of the EVE1_GFCLK clock in the domain" "CLKACTIVITY_EVE1_GFCLK_0,CLKACTIVITY_EVE1_GFCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_EVE1_STATICDEP,This register controls the static domain depedencies from EVE1 domain towards 'target' domains" hexmask.long.word 0x04 23.--31. 1. "RESERVED," bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x03 line.long 0x00 "CM_EVE1_EVE1_CLKCTRL,This register manages the EVE clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__EVE2" base ad:0x4A005680 group.long 0x00++0x07 line.long 0x00 "CM_EVE2_CLKSTCTRL,This register enables the EVE domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_EVE2_GFCLK,This field indicates the state of the EVE2_GFCLK clock in the domain" "CLKACTIVITY_EVE2_GFCLK_0,CLKACTIVITY_EVE2_GFCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_EVE2_STATICDEP,This register controls the static domain depedencies from EVE2 domain towards 'target' domains" hexmask.long.word 0x04 23.--31. 1. "RESERVED," bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline rbitfld.long 0x04 20. "RESERVED," "0,1" bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" hexmask.long.word 0x04 6.--18. 1. "RESERVED," newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x03 line.long 0x00 "CM_EVE2_EVE2_CLKCTRL,This register manages the EVE clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__EVE3" base ad:0x4A0056C0 group.long 0x00++0x07 line.long 0x00 "CM_EVE3_CLKSTCTRL,This register enables the EVE domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_EVE3_GFCLK,This field indicates the state of the EVE3_GFCLK clock in the domain" "CLKACTIVITY_EVE3_GFCLK_0,CLKACTIVITY_EVE3_GFCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_EVE3_STATICDEP,This register controls the static domain depedencies from EVE3 domain towards 'target' domains" hexmask.long.word 0x04 23.--31. 1. "RESERVED," bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" rbitfld.long 0x04 21. "RESERVED," "0,1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" hexmask.long.word 0x04 6.--18. 1. "RESERVED," newline rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x03 line.long 0x00 "CM_EVE3_EVE3_CLKCTRL,This register manages the clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__EVE4" base ad:0x4A005700 group.long 0x00++0x07 line.long 0x00 "CM_EVE4_CLKSTCTRL,This register enables the EVE domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_EVE4_GFCLK,This field indicates the state of the EVE3_GFCLK clock in the domain" "CLKACTIVITY_EVE4_GFCLK_0,CLKACTIVITY_EVE4_GFCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_EVE4_STATICDEP,This register controls the static domain depedencies from EVE4 domain towards 'target' domains" hexmask.long.word 0x04 22.--31. 1. "RESERVED," bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" hexmask.long.word 0x04 6.--18. 1. "RESERVED," rbitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x03 line.long 0x00 "CM_EVE4_EVE4_CLKCTRL,This register manages the EVE clocks" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__INSTR" base ad:0x4A005F00 rgroup.long 0x00++0x03 line.long 0x00 "CMI_IDENTICATION,CM profiling identification register" group.long 0x10++0x07 line.long 0x00 "CMI_SYS_CONFIG,CM profiling system configuartion register" hexmask.long 0x00 6.--31. 1. "RESERVED," rbitfld.long 0x00 4.--5. "RESERVED," "0,1,2,3" bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local tartget state management mode" "0,1,2,3" rbitfld.long 0x00 1. "RESERVED," "0,1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "0,1" line.long 0x04 "CMI_STATUS,CM profiling status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "FIFOEMPTY,PM Profiling buffer empty" "0,1" hexmask.long.byte 0x04 0.--7. 1. "RESERVED," group.long 0x24++0x0F line.long 0x00 "CMI_CONFIGURATION,CM profiling configuration register" bitfld.long 0x00 30.--31. "CLAIM_3,Ownership" "0,1,2,3" bitfld.long 0x00 29. "CLAIM_2,Debugger override qualifier" "0,1" rbitfld.long 0x00 28. "CLAIM_1,Current owner" "0,1" rbitfld.long 0x00 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 23. "RESERVED," "0,1" newline hexmask.long.byte 0x00 16.--22. 1. "RESERVED," bitfld.long 0x00 15. "MOD_ACT_EN,When HIGH the CM Module Activity collection is enabled" "0,1" hexmask.long.byte 0x00 8.--14. 1. "RESERVED," bitfld.long 0x00 7. "EVT_CAPT_EN,When HIGH the CM events capture is enabled" "0,1" hexmask.long.byte 0x00 0.--6. 1. "RESERVED," line.long 0x04 "CMI_CLASS_FILTERING,CM profiling class filtering register" bitfld.long 0x04 31. "SNAP_CAPT_EN_1F,Snapshot capture enable - Class-ID = 0x1F" "0,1" bitfld.long 0x04 30. "SNAP_CAPT_EN_1E," "0,1" bitfld.long 0x04 29. "SNAP_CAPT_EN_1D," "0,1" bitfld.long 0x04 28. "SNAP_CAPT_EN_1C," "0,1" bitfld.long 0x04 27. "SNAP_CAPT_EN_1B," "0,1" newline bitfld.long 0x04 26. "SNAP_CAPT_EN_1A," "0,1" bitfld.long 0x04 25. "SNAP_CAPT_EN_19," "0,1" bitfld.long 0x04 24. "SNAP_CAPT_EN_18," "0,1" bitfld.long 0x04 23. "SNAP_CAPT_EN_17," "0,1" bitfld.long 0x04 22. "SNAP_CAPT_EN_16," "0,1" newline bitfld.long 0x04 21. "SNAP_CAPT_EN_15," "0,1" bitfld.long 0x04 20. "SNAP_CAPT_EN_14," "0,1" bitfld.long 0x04 19. "SNAP_CAPT_EN_13," "0,1" bitfld.long 0x04 18. "SNAP_CAPT_EN_12," "0,1" bitfld.long 0x04 17. "SNAP_CAPT_EN_11," "0,1" newline bitfld.long 0x04 16. "SNAP_CAPT_EN_10,Snapshot capture enable - Class-ID = 0x10" "0,1" hexmask.long.word 0x04 4.--15. 1. "RESERVED," bitfld.long 0x04 3. "SNAP_CAPT_EN_03,Snapshot capture enable - Class-ID = 0x03 [0x23]" "0,1" bitfld.long 0x04 2. "SNAP_CAPT_EN_02,Snapshot capture enable - Class-ID = 0x02 [0x22]" "0,1" bitfld.long 0x04 1. "SNAP_CAPT_EN_01,Snapshot capture enable - Class-ID = 0x01 [0x21]" "0,1" newline bitfld.long 0x04 0. "SNAP_CAPT_EN_00,Snapshot capture enable - Class-ID = 0x00 [0x20]" "0,1" line.long 0x08 "CMI_TRIGGERING,CM profiling triggering control register" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 1. "TRIG_STOP_EN,Enable stop capturing CM events from external trigger detection" "0,1" bitfld.long 0x08 0. "TRIG_START_EN,Enable start capturing CM events from external trigger detection" "0,1" line.long 0x0C "CMI_SAMPLING,CM profiling sampling window register" hexmask.long.word 0x0C 20.--31. 1. "RESERVED," bitfld.long 0x0C 16.--19. "FCLK_DIV_FACOR,FunClk divide factor ranging from 1 to 16" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x0C 8.--15. 1. "RESERVED," hexmask.long.byte 0x0C 0.--7. 1. "SAMP_WIND_SIZE,CM events sampling window size" tree.end tree "CM_CORE_AON__IPU" base ad:0x4A005500 group.long 0x00++0x0B line.long 0x00 "CM_IPU1_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_IPU1_GFCLK,This field indicates the state of the IPU1_GFCLK clock in the domain" "CLKACTIVITY_IPU1_GFCLK_0,CLKACTIVITY_IPU1_GFCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the IPU1 clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_IPU1_STATICDEP,This register controls the static domain depedencies from IPU domain towards 'target' domains" rbitfld.long 0x04 31. "RESERVED," "0,1" rbitfld.long 0x04 30. "ATL_STATDEP,Static dependency towards ATL clock domain" "ATL_STATDEP_0,ATL_STATDEP_1" newline bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE clock domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE clock domain" "VPE_STATDEP_0,VPE_STATDEP_1" newline bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" newline bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC clock domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU clock domain" "IPU_STATDEP_0,IPU_STATDEP_1" newline rbitfld.long 0x04 23. "RESERVED," "0,1" bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" newline bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" newline bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" bitfld.long 0x04 18. "DSP2_STATDEP,Static dependency towards DSP2 clock domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" newline rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE clock domain" "CUSTEFUSE_STATDEP_0,?" rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON clock domain" "COREAON_STATDEP_0,?" newline bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON clock domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" newline bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER1 clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" newline rbitfld.long 0x04 11. "SDMA_STATDEP,Static dependency towards DMA clock domain" "SDMA_STATDEP_0,?" bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU clock domain" "GPU_STATDEP_0,GPU_STATDEP_1" newline rbitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM clock domain" "CAM_STATDEP_0,?" bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS clock domain" "DSS_STATDEP_0,DSS_STATDEP_1" newline bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT clock domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "L3MAIN1_STATDEP_0,L3MAIN1_STATDEP_1" bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" newline rbitfld.long 0x04 3. "RESERVED," "0,1" bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" newline bitfld.long 0x04 1. "DSP1_STATDEP,Static dependency towards DSP clock domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" bitfld.long 0x04 0. "IPU2_STATDEP,Static dependency towards IPU2 clock domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x08 "CM_IPU1_DYNAMICDEP,This register controls the dynamic domain depedencies from IPU domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x08 6.--23. 1. "RESERVED," rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x20++0x03 line.long 0x00 "CM_IPU1_IPU1_CLKCTRL,This register manages the IPU1 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects the timer functional clock" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x40++0x03 line.long 0x00 "CM_IPU_CLKSTCTRL,This register enables the ABE domain power state transition" hexmask.long.word 0x00 19.--31. 1. "RESERVED," rbitfld.long 0x00 18. "CLKACTIVITY_MCASP1_AHCLKR,This field indicates the state of the MCASP1_AHCLKR clock in the domain" "CLKACTIVITY_MCASP1_AHCLKR_0,CLKACTIVITY_MCASP1_AHCLKR_1" newline rbitfld.long 0x00 17. "CLKACTIVITY_MCASP1_AHCLKX,This field indicates the state of the MCASP1_AHCLKX clock in the domain" "CLKACTIVITY_MCASP1_AHCLKX_0,CLKACTIVITY_MCASP1_AHCLKX_1" rbitfld.long 0x00 16. "CLKACTIVITY_MCASP1_AUX_GFCLK,This field indicates the state of the MCASP1_AUX_GFCLK clock in the domain" "CLKACTIVITY_MCASP1_AUX_GFCLK_0,CLKACTIVITY_MCASP1_AUX_GFCLK_1" newline rbitfld.long 0x00 15. "RESERVED," "0,1" rbitfld.long 0x00 14. "CLKACTIVITY_UART6_GFCLK,This field indicates the state of the UART6_GFCLK clock in the domain" "CLKACTIVITY_UART6_GFCLK_0,CLKACTIVITY_UART6_GFCLK_1" newline rbitfld.long 0x00 13. "CLKACTIVITY_IPU_96M_GFCLK,This field indicates the state of the IPU_96M_GFCLK clock in the domain" "CLKACTIVITY_IPU_96M_GFCLK_0,CLKACTIVITY_IPU_96M_GFCLK_1" rbitfld.long 0x00 12. "CLKACTIVITY_TIMER8_GFCLK,This field indicates the state of the TIMER8_GFCLK clock in the domain" "CLKACTIVITY_TIMER8_GFCLK_0,CLKACTIVITY_TIMER8_GFCLK_1" newline rbitfld.long 0x00 11. "CLKACTIVITY_TIMER7_GFCLK,This field indicates the state of the TIMER7_GFCLK clock in the domain" "CLKACTIVITY_TIMER7_GFCLK_0,CLKACTIVITY_TIMER7_GFCLK_1" rbitfld.long 0x00 10. "CLKACTIVITY_TIMER6_GFCLK,This field indicates the state of the TIMER6_GFCLK clock in the domain" "CLKACTIVITY_TIMER6_GFCLK_0,CLKACTIVITY_TIMER6_GFCLK_1" newline rbitfld.long 0x00 9. "CLKACTIVITY_TIMER5_GFCLK,This field indicates the state of the TIMER5_GFCLK functional clock in the domain" "CLKACTIVITY_TIMER5_GFCLK_0,CLKACTIVITY_TIMER5_GFCLK_1" rbitfld.long 0x00 8. "CLKACTIVITY_IPU_L3_GICLK,This field indicates the state of the IPU_L3_GICLK interface clock in the domain" "CLKACTIVITY_IPU_L3_GICLK_0,CLKACTIVITY_IPU_L3_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the ABE clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" group.long 0x50++0x03 line.long 0x00 "CM_IPU_MCASP1_CLKCTRL,This register manages the McASP clocks" bitfld.long 0x00 28.--31. "CLKSEL_AHCLKR,Selects reference clock for AHCLKR enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKR_0,CLKSEL_AHCLKR_1,CLKSEL_AHCLKR_2,CLKSEL_AHCLKR_3,CLKSEL_AHCLKR_4,CLKSEL_AHCLKR_5,CLKSEL_AHCLKR_6,CLKSEL_AHCLKR_7,CLKSEL_AHCLKR_8,CLKSEL_AHCLKR_9,CLKSEL_AHCLKR_10,CLKSEL_AHCLKR_11,CLKSEL_AHCLKR_12,CLKSEL_AHCLKR_13,CLKSEL_AHCLKR_14,CLKSEL_AHCLKR_15" bitfld.long 0x00 24.--27. "CLKSEL_AHCLKX,Selects reference clock for AHCLKX enum=SEL_FUNC_24M_GFCLK" "CLKSEL_AHCLKX_0,CLKSEL_AHCLKX_1,CLKSEL_AHCLKX_2,CLKSEL_AHCLKX_3,CLKSEL_AHCLKX_4,CLKSEL_AHCLKX_5,CLKSEL_AHCLKX_6,CLKSEL_AHCLKX_7,CLKSEL_AHCLKX_8,CLKSEL_AHCLKX_9,CLKSEL_AHCLKX_10,CLKSEL_AHCLKX_11,CLKSEL_AHCLKX_12,CLKSEL_AHCLKX_13,CLKSEL_AHCLKX_14,CLKSEL_AHCLKX_15" newline bitfld.long 0x00 22.--23. "CLKSEL_AUX_CLK,Selects the source of the AUX clock" "CLKSEL_AUX_CLK_0,CLKSEL_AUX_CLK_1,CLKSEL_AUX_CLK_2,CLKSEL_AUX_CLK_3" rbitfld.long 0x00 18.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x58++0x03 line.long 0x00 "CM_IPU_TIMER5_CLKCTRL,This register manages the TIMER5 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x60++0x03 line.long 0x00 "CM_IPU_TIMER6_CLKCTRL,This register manages the TIMER6 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x68++0x03 line.long 0x00 "CM_IPU_TIMER7_CLKCTRL,This register manages the TIMER7 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x70++0x03 line.long 0x00 "CM_IPU_TIMER8_CLKCTRL,This register manages the TIMER8 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Selects the timer functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,CLKSEL_11,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x78++0x03 line.long 0x00 "CM_IPU_I2C5_CLKCTRL,This register manages the I2C5 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x80++0x03 line.long 0x00 "CM_IPU_UART6_CLKCTRL,This register manages the UART6 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__MPU" base ad:0x4A005300 group.long 0x00++0x0B line.long 0x00 "CM_MPU_CLKSTCTRL,This register enables the MPU domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_MPU_GCLK,This field indicates the state of the MPU_DPLL_CLK clock in the domain" "CLKACTIVITY_MPU_GCLK_0,CLKACTIVITY_MPU_GCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the MPU clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_MPU_STATICDEP,This register controls the static domain depedencies from MPU domain towards 'target' domains" rbitfld.long 0x04 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x04 29. "PCIE_STATDEP,Static dependency towards PCIE clock domain" "PCIE_STATDEP_0,PCIE_STATDEP_1" newline bitfld.long 0x04 28. "VPE_STATDEP,Static dependency towards VPE clock domain" "VPE_STATDEP_0,VPE_STATDEP_1" bitfld.long 0x04 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" newline bitfld.long 0x04 26. "L4PER2_STATDEP,Static dependency towards L4PER2 clock domain" "L4PER2_STATDEP_0,L4PER2_STATDEP_1" bitfld.long 0x04 25. "GMAC_STATDEP,Static dependency towards GMAC clock domain" "GMAC_STATDEP_0,GMAC_STATDEP_1" newline bitfld.long 0x04 24. "IPU_STATDEP,Static dependency towards IPU clock domain" "IPU_STATDEP_0,IPU_STATDEP_1" bitfld.long 0x04 23. "IPU1_STATDEP,Static dependency towards IPU1 clock domain" "IPU1_STATDEP_0,IPU1_STATDEP_1" newline bitfld.long 0x04 22. "EVE4_STATDEP,Static dependency towards EVE4 clock domain" "EVE4_STATDEP_0,EVE4_STATDEP_1" bitfld.long 0x04 21. "EVE3_STATDEP,Static dependency towards EVE3 clock domain" "EVE3_STATDEP_0,EVE3_STATDEP_1" newline bitfld.long 0x04 20. "EVE2_STATDEP,Static dependency towards EVE2 clock domain" "EVE2_STATDEP_0,EVE2_STATDEP_1" bitfld.long 0x04 19. "EVE1_STATDEP,Static dependency towards EVE1 clock domain" "EVE1_STATDEP_0,EVE1_STATDEP_1" newline bitfld.long 0x04 18. "DSP2_STATDEP,Static dependency towards DSP2 clock domain" "DSP2_STATDEP_0,DSP2_STATDEP_1" rbitfld.long 0x04 17. "CUSTEFUSE_STATDEP,Static dependency towards CUSTEFUSE clock domain" "CUSTEFUSE_STATDEP_0,?" newline rbitfld.long 0x04 16. "COREAON_STATDEP,Static dependency towards COREAON clock domain" "COREAON_STATDEP_0,?" bitfld.long 0x04 15. "WKUPAON_STATDEP,Static dependency towards WKUPAON clock domain" "WKUPAON_STATDEP_0,WKUPAON_STATDEP_1" newline bitfld.long 0x04 14. "L4SEC_STATDEP,Static dependency towards L4SEC clock domain" "L4SEC_STATDEP_0,L4SEC_STATDEP_1" bitfld.long 0x04 13. "L4PER_STATDEP,Static dependency towards L4PER clock domain" "L4PER_STATDEP_0,L4PER_STATDEP_1" newline bitfld.long 0x04 12. "L4CFG_STATDEP,Static dependency towards L4CFG clock domain" "L4CFG_STATDEP_0,L4CFG_STATDEP_1" rbitfld.long 0x04 11. "SDMA_STATDEP,Static dependency towards SDMA clock domain" "SDMA_STATDEP_0,?" newline bitfld.long 0x04 10. "GPU_STATDEP,Static dependency towards GPU clock domain" "GPU_STATDEP_0,GPU_STATDEP_1" bitfld.long 0x04 9. "CAM_STATDEP,Static dependency towards CAM clock domain" "CAM_STATDEP_0,CAM_STATDEP_1" newline bitfld.long 0x04 8. "DSS_STATDEP,Static dependency towards DSS clock domain" "DSS_STATDEP_0,DSS_STATDEP_1" bitfld.long 0x04 7. "L3INIT_STATDEP,Static dependency towards L3INIT clock domain" "L3INIT_STATDEP_0,L3INIT_STATDEP_1" newline rbitfld.long 0x04 6. "RESERVED," "0,1" bitfld.long 0x04 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN clock domain" "L3MAIN1_STATDEP_0,L3MAIN1_STATDEP_1" newline bitfld.long 0x04 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "IVA_STATDEP,Static dependency towards IVA clock domain" "IVA_STATDEP_0,IVA_STATDEP_1" bitfld.long 0x04 1. "DSP1_STATDEP,Static dependency towards DSP1 clock domain" "DSP1_STATDEP_0,DSP1_STATDEP_1" newline bitfld.long 0x04 0. "IPU2_STATDEP,Static dependency towards IPU2 clock domain" "IPU2_STATDEP_0,IPU2_STATDEP_1" line.long 0x08 "CM_MPU_DYNAMICDEP,This register controls the dynamic domain depedencies from MPU domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x08 6.--23. 1. "RESERVED," rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 4. "EMIF_DYNDEP,Dynamic dependency towards EMIF clock domain" "?,EMIF_DYNDEP_1" rbitfld.long 0x08 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x20++0x03 line.long 0x00 "CM_MPU_MPU_CLKCTRL,This register manages the MPU clocks" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 26. "CLKSEL_ABE_DIV_MODE,Selects the ratio for MPU - ABE async bridge versus MPU DPLL clock" "CLKSEL_ABE_DIV_MODE_0,CLKSEL_ABE_DIV_MODE_1" newline bitfld.long 0x00 24.--25. "CLKSEL_EMIF_DIV_MODE,Selects the ratio for MPU - L3 async bridge versus MPU DPLL clock" "CLKSEL_EMIF_DIV_MODE_0,CLKSEL_EMIF_DIV_MODE_1,CLKSEL_EMIF_DIV_MODE_2,CLKSEL_EMIF_DIV_MODE_3" rbitfld.long 0x00 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," rbitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x28++0x03 line.long 0x00 "CM_MPU_MPU_MPU_DBG_CLKCTRL,This register manages the MPU_MPU_DBG clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" tree.end tree "CM_CORE_AON__OCP_SOCKET" base ad:0x4A005000 rgroup.long 0x00++0x03 line.long 0x00 "REVISION_CM_CORE_AON,This register contains the IP revision code for the CM_CORE_AON part of the PRCM" group.long 0x40++0x03 line.long 0x00 "CM_CM_CORE_AON_PROFILING_CLKCTRL,This register manages the CM_CORE_AON_PROFILING clock" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0xEC++0x03 line.long 0x00 "CM_CORE_AON_DEBUG_OUT,This register is used to monitor the CM_COREAON's 32 bit HEDEBUG BUS [warm reset insensitive]" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0xF0)++0x03 line.long 0x00 "CM_CORE_AON_DEBUG_CFG$1,This register is used to configure the CM_CORE_AON's 32-bit debug output" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "SEL0,Internal signal block select for debug word byte-0" repeat.end tree.end tree "CM_CORE_AON__RESTORE" base ad:0x4A005E00 group.long 0x00++0x53 line.long 0x00 "CM_CLKSEL_CORE_RESTORE,Second address map for register" line.long 0x04 "CM_DIV_M2_DPLL_CORE_RESTORE,Second address map for register" line.long 0x08 "CM_DIV_M3_DPLL_CORE_RESTORE,Second address map for register CM_DIV_M3_DPLL_CORE" line.long 0x0C "CM_DIV_H11_DPLL_CORE_RESTORE,Second address map for register CM_DIV_H11_DPLL_CORE" line.long 0x10 "CM_DIV_H12_DPLL_CORE_RESTORE,Second address map for register" line.long 0x14 "CM_DIV_H13_DPLL_CORE_RESTORE,Second address map for register" line.long 0x18 "CM_DIV_H14_DPLL_CORE_RESTORE,Second address map for register" line.long 0x1C "CM_DIV_H21_DPLL_CORE_RESTORE,Second address map for register CM_DIV_H21_DPLL_CORE" line.long 0x20 "CM_DIV_H22_DPLL_CORE_RESTORE,Second address map for register" line.long 0x24 "CM_DIV_H23_DPLL_CORE_RESTORE,Second address map for register" line.long 0x28 "CM_DIV_H24_DPLL_CORE_RESTORE,Second address map for register" line.long 0x2C "CM_CLKSEL_DPLL_CORE_RESTORE,Second address map for register" line.long 0x30 "CM_SSC_DELTAMSTEP_DPLL_CORE_RESTORE,Second address map for register CM_SSC_DELTAMSTEP_DPLL_CORE" line.long 0x34 "CM_SSC_MODFREQDIV_DPLL_CORE_RESTORE,Second address map for register CM_SSC_MODFREQDIV_DPLL_CORE" line.long 0x38 "CM_CLKMODE_DPLL_CORE_RESTORE,Second address map for register" line.long 0x3C "CM_SHADOW_FREQ_CONFIG2_RESTORE,Second address map for register" line.long 0x40 "CM_SHADOW_FREQ_CONFIG1_RESTORE,Second address map for register" line.long 0x44 "CM_AUTOIDLE_DPLL_CORE_RESTORE,Second address map for register" line.long 0x48 "CM_MPU_CLKSTCTRL_RESTORE,Second address map for register" line.long 0x4C "CM_CM_CORE_AON_PROFILING_CLKCTRL_RESTORE,Second address map for register" line.long 0x50 "CM_DYN_DEP_PRESCAL_RESTORE,Second address map for register" tree.end tree "CM_CORE_AON__RTC" base ad:0x4A005740 group.long 0x00++0x07 line.long 0x00 "CM_RTC_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," rbitfld.long 0x00 10. "CLKACTIVITY_RTC_AUX_CLK,This field indicates the state of the RTC_AUX_CLK in the domain" "CLKACTIVITY_RTC_AUX_CLK_0,CLKACTIVITY_RTC_AUX_CLK_1" newline rbitfld.long 0x00 9. "RESERVED," "0,1" rbitfld.long 0x00 8. "CLKACTIVITY_RTC_L4_GICLK,This field indicates the state of the RTC_L4_GICLK clock in the domain" "CLKACTIVITY_RTC_L4_GICLK_0,CLKACTIVITY_RTC_L4_GICLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the WKUPAON clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_RTC_RTCSS_CLKCTRL,This register manages the RTC clocks" hexmask.long.word 0x04 18.--31. 1. "RESERVED," rbitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x04 2.--15. 1. "RESERVED," bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "CM_CORE_AON__VPE" base ad:0x4A005760 group.long 0x00++0x0B line.long 0x00 "CM_VPE_CLKSTCTRL,This register enables the VPE domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_VPE_GCLK,This field indicates the state of the VPE_GCLK clock in the domain" "CLKACTIVITY_VPE_GCLK_0,CLKACTIVITY_VPE_GCLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the DSP clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_VPE_VPE_CLKCTRL,This register manages the VPE clocks" hexmask.long.word 0x04 19.--31. 1. "RESERVED," rbitfld.long 0x04 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" rbitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x04 2.--15. 1. "RESERVED," bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x08 "CM_VPE_STATICDEP,This register controls the static domain depedencies from VPE domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 27. "L4PER3_STATDEP,Static dependency towards L4PER3 clock domain" "L4PER3_STATDEP_0,L4PER3_STATDEP_1" hexmask.long.tbyte 0x08 6.--26. 1. "RESERVED," newline rbitfld.long 0x08 5. "L3MAIN1_STATDEP,Static dependency towards L3MAIN1 clock domain" "?,L3MAIN1_STATDEP_1" bitfld.long 0x08 4. "EMIF_STATDEP,Static dependency towards EMIF clock domain" "EMIF_STATDEP_0,EMIF_STATDEP_1" rbitfld.long 0x08 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "CM_CORE_AON_TARG" base ad:0x4A006000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "CM_CORE_TARG" base ad:0x4A00A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "CORE_PRM" base ad:0x4AE06700 group.long 0x00++0x07 line.long 0x00 "PM_CORE_PWRSTCTRL,This register controls the CORE power state to reach upon a domain sleep transition" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," rbitfld.long 0x00 22.--23. "IPU_UNICACHE_ONSTATE,IPU UNICACHE bank state when domain is ON" "?,?,?,IPU_UNICACHE_ONSTATE_3" newline rbitfld.long 0x00 20.--21. "IPU_L2RAM_ONSTATE,IPU L2 bank state when domain is ON" "?,?,?,IPU_L2RAM_ONSTATE_3" hexmask.long.byte 0x00 12.--19. 1. "RESERVED," newline bitfld.long 0x00 11. "IPU_UNICACHE_RETSTATE,IPU UNICACHE bank state when domain is RETENTION" "IPU_UNICACHE_RETSTATE_0,IPU_UNICACHE_RETSTATE_1" bitfld.long 0x00 10. "IPU_L2RAM_RETSTATE,IPU L2 bank state when domain is RETENTION" "IPU_L2RAM_RETSTATE_0,IPU_L2RAM_RETSTATE_1" newline rbitfld.long 0x00 5.--9. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,LOGICRETSTATE_1" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_CORE_PWRSTST,This register provides a status on the current CORE power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED," rbitfld.long 0x04 10.--11. "IPU_UNICACHE_STATEST,IPU UNICACHE bank state status" "IPU_UNICACHE_STATEST_0,IPU_UNICACHE_STATEST_1,IPU_UNICACHE_STATEST_2,IPU_UNICACHE_STATEST_3" newline rbitfld.long 0x04 8.--9. "IPU_L2RAM_STATEST,IPU L2 bank state status" "IPU_L2RAM_STATEST_0,IPU_L2RAM_STATEST_1,IPU_L2RAM_STATEST_2,IPU_L2RAM_STATEST_3" rbitfld.long 0x04 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x50++0x03 line.long 0x00 "PM_L3MAIN1_OCMC_RAM1_WKDEP,This register controls wakeup dependency based on OCMC_RAM1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_OCMC_RAM1_EVE4,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_EVE4_0,WKUPDEP_OCMC_RAM1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_OCMC_RAM1_EVE3,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_EVE3_0,WKUPDEP_OCMC_RAM1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_OCMC_RAM1_EVE2,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_EVE2_0,WKUPDEP_OCMC_RAM1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_OCMC_RAM1_EVE1,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_EVE1_0,WKUPDEP_OCMC_RAM1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_OCMC_RAM1_DSP2,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_DSP2_0,WKUPDEP_OCMC_RAM1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_OCMC_RAM1_IPU1,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_IPU1_0,WKUPDEP_OCMC_RAM1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_OCMC_RAM1_DSP1,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_DSP1_0,WKUPDEP_OCMC_RAM1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_OCMC_RAM1_IPU2,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_IPU2_0,WKUPDEP_OCMC_RAM1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_OCMC_RAM1_MPU,Wakeup dependency from OCMC_RAM1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM1_MPU_0,WKUPDEP_OCMC_RAM1_MPU_1" group.long 0x58++0x03 line.long 0x00 "PM_L3MAIN1_OCMC_RAM2_WKDEP,This register controls wakeup dependency based on OCMC_RAM2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_OCMC_RAM2_EVE4,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_EVE4_0,WKUPDEP_OCMC_RAM2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_OCMC_RAM2_EVE3,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_EVE3_0,WKUPDEP_OCMC_RAM2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_OCMC_RAM2_EVE2,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_EVE2_0,WKUPDEP_OCMC_RAM2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_OCMC_RAM2_EVE1,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_EVE1_0,WKUPDEP_OCMC_RAM2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_OCMC_RAM2_DSP2,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_DSP2_0,WKUPDEP_OCMC_RAM2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_OCMC_RAM2_IPU1,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_IPU1_0,WKUPDEP_OCMC_RAM2_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_OCMC_RAM2_DSP1,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_DSP1_0,WKUPDEP_OCMC_RAM2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_OCMC_RAM2_IPU2,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_IPU2_0,WKUPDEP_OCMC_RAM2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_OCMC_RAM2_MPU,Wakeup dependency from OCMC_RAM2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM2_MPU_0,WKUPDEP_OCMC_RAM2_MPU_1" group.long 0x60++0x03 line.long 0x00 "PM_L3MAIN1_OCMC_RAM3_WKDEP,This register controls wakeup dependency based on OCMC_RAM3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_OCMC_RAM3_EVE4,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_EVE4_0,WKUPDEP_OCMC_RAM3_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_OCMC_RAM3_EVE3,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_EVE3_0,WKUPDEP_OCMC_RAM3_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_OCMC_RAM3_EVE2,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_EVE2_0,WKUPDEP_OCMC_RAM3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_OCMC_RAM3_EVE1,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_EVE1_0,WKUPDEP_OCMC_RAM3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_OCMC_RAM3_DSP2,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_DSP2_0,WKUPDEP_OCMC_RAM3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_OCMC_RAM3_IPU1,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_IPU1_0,WKUPDEP_OCMC_RAM3_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_OCMC_RAM3_DSP1,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_DSP1_0,WKUPDEP_OCMC_RAM3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_OCMC_RAM3_IPU2,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_IPU2_0,WKUPDEP_OCMC_RAM3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_OCMC_RAM3_MPU,Wakeup dependency from OCMC_RAM3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_OCMC_RAM3_MPU_0,WKUPDEP_OCMC_RAM3_MPU_1" group.long 0x70++0x03 line.long 0x00 "PM_L3MAIN1_TPCC_WKDEP,This register controls wakeup dependency based on TPCC service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TPCC_EVE4,Wakeup dependency from TPCC module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_EVE4_0,WKUPDEP_TPCC_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TPCC_EVE3,Wakeup dependency from TPCC module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_EVE3_0,WKUPDEP_TPCC_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TPCC_EVE2,Wakeup dependency from TPCC module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_EVE2_0,WKUPDEP_TPCC_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TPCC_EVE1,Wakeup dependency from TPCC module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_EVE1_0,WKUPDEP_TPCC_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TPCC_DSP2,Wakeup dependency from TPCC module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_DSP2_0,WKUPDEP_TPCC_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TPCC_IPU1,Wakeup dependency from TPCC module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_IPU1_0,WKUPDEP_TPCC_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TPCC_DSP1,Wakeup dependency from TPCC module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_DSP1_0,WKUPDEP_TPCC_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TPCC_IPU2,Wakeup dependency from TPCC module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_IPU2_0,WKUPDEP_TPCC_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TPCC_MPU,Wakeup dependency from TPCC module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPCC_MPU_0,WKUPDEP_TPCC_MPU_1" group.long 0x78++0x03 line.long 0x00 "PM_L3MAIN1_TPTC1_WKDEP,This register controls wakeup dependency based on TPTC service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TPTC1_EVE4,Wakeup dependency from TPTC module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_EVE4_0,WKUPDEP_TPTC1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TPTC1_EVE3,Wakeup dependency from TPTC module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_EVE3_0,WKUPDEP_TPTC1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TPTC1_EVE2,Wakeup dependency from TPTC module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_EVE2_0,WKUPDEP_TPTC1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TPTC1_EVE1,Wakeup dependency from TPTC module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_EVE1_0,WKUPDEP_TPTC1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TPTC1_DSP2,Wakeup dependency from TPTC module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_DSP2_0,WKUPDEP_TPTC1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TPTC1_IPU1,Wakeup dependency from TPTC module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_IPU1_0,WKUPDEP_TPTC1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TPTC1_DSP1,Wakeup dependency from TPTC module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_DSP1_0,WKUPDEP_TPTC1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TPTC1_IPU2,Wakeup dependency from TPTC module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_IPU2_0,WKUPDEP_TPTC1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TPTC1_MPU,Wakeup dependency from TPTC module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC1_MPU_0,WKUPDEP_TPTC1_MPU_1" group.long 0x80++0x03 line.long 0x00 "PM_L3MAIN1_TPTC2_WKDEP,This register controls wakeup dependency based on TPTC service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TPTC2_EVE4,Wakeup dependency from TPTC module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_EVE4_0,WKUPDEP_TPTC2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TPTC2_EVE3,Wakeup dependency from TPTC module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_EVE3_0,WKUPDEP_TPTC2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TPTC2_EVE2,Wakeup dependency from TPTC module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_EVE2_0,WKUPDEP_TPTC2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TPTC2_EVE1,Wakeup dependency from TPTC module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_EVE1_0,WKUPDEP_TPTC2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TPTC2_DSP2,Wakeup dependency from TPTC module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_DSP2_0,WKUPDEP_TPTC2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TPTC2_IPU1,Wakeup dependency from TPTC module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_IPU1_0,WKUPDEP_TPTC2_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TPTC2_DSP1,Wakeup dependency from TPTC module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_DSP1_0,WKUPDEP_TPTC2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TPTC2_IPU2,Wakeup dependency from TPTC module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_IPU2_0,WKUPDEP_TPTC2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TPTC2_MPU,Wakeup dependency from TPTC module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TPTC2_MPU_0,WKUPDEP_TPTC2_MPU_1" group.long 0x210++0x07 line.long 0x00 "RM_IPU2_RSTCTRL,This register controls the release of the IPU2 sub-system resets" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 2. "RST_IPU,IPU system reset control" "RST_IPU_0,RST_IPU_1" newline bitfld.long 0x00 1. "RST_CPU1,IPU Cortex M4 CPU1 reset control" "RST_CPU1_0,RST_CPU1_1" bitfld.long 0x00 0. "RST_CPU0,IPU Cortex M4 CPU0 reset control" "RST_CPU0_0,RST_CPU0_1" line.long 0x04 "RM_IPU2_RSTST,This register logs the different reset sources of the IPU2 SS" hexmask.long 0x04 7.--31. 1. "RESERVED," bitfld.long 0x04 6. "RST_ICECRUSHER_CPU1,Cortex M4 CPU1 has been reset due to IPU ICECRUSHER1 reset source" "RST_ICECRUSHER_CPU1_0,RST_ICECRUSHER_CPU1_1" newline bitfld.long 0x04 5. "RST_ICECRUSHER_CPU0,Cortex M4 CPU0 has been reset due to IPU ICECRUSHER0 reset source" "RST_ICECRUSHER_CPU0_0,RST_ICECRUSHER_CPU0_1" bitfld.long 0x04 4. "RST_EMULATION_CPU1,Cortex M4 CPU1 has been reset due to emulation reset source for example assert reset command initiated by the icepick module" "RST_EMULATION_CPU1_0,RST_EMULATION_CPU1_1" newline bitfld.long 0x04 3. "RST_EMULATION_CPU0,Cortex M4 CPU0 has been reset due to emulation reset source for example assert reset command initiated by the icepick module" "RST_EMULATION_CPU0_0,RST_EMULATION_CPU0_1" bitfld.long 0x04 2. "RST_IPU,IPU system software reset status" "RST_IPU_0,RST_IPU_1" newline bitfld.long 0x04 1. "RST_CPU1,IPU Cortex-M4 CPU1 software reset status" "RST_CPU1_0,RST_CPU1_1" bitfld.long 0x04 0. "RST_CPU0,IPU Cortex-M4 CPU0 software reset status" "RST_CPU0_0,RST_CPU0_1" group.long 0x224++0x03 line.long 0x00 "RM_IPU2_IPU2_CONTEXT,This register contains dedicated IPU2 context statuses" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "LOSTMEM_IPU_L2RAM,Specify if memory-based context in IPU_L2RAM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_IPU_L2RAM_0,LOSTMEM_IPU_L2RAM_1" newline bitfld.long 0x00 8. "LOSTMEM_IPU_UNICACHE,Specify if memory-based context in IPU_UNICACHE memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_IPU_UNICACHE_0,LOSTMEM_IPU_UNICACHE_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "COUNTER_32K_TARG" base ad:0x4AE05000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "CPDMA" base ad:0x48484800 rgroup.long 0x00++0x0B line.long 0x00 "CPDMA_TX_IDVER,CPDMA_REGS TX revision register" line.long 0x04 "CPDMA_TX_CONTROL,CPDMA_REGS TX control register" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "TX_EN,TX Enable" "Disabled,Enabled" line.long 0x08 "CPDMA_TX_TEARDOWN,CPDMA_REGS TX teardown register" rbitfld.long 0x08 31. "TX_TDN_RDY,Tx Teardown Ready - read as zero but is always assumed to be one (unused)" "0,1" newline hexmask.long 0x08 3.--30. 1. "RESERVED," newline bitfld.long 0x08 0.--2. "TX_TDN_CH,Tx Teardown" "0,1,2,3,4,5,6,7" rgroup.long 0x10++0x3F line.long 0x00 "CPDMA_RX_IDVER,CPDMA_REGS RX revision register" line.long 0x04 "CPDMA_RX_CONTROL,CPDMA_REGS RX control register" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "RX_EN,RX DMA Enable" "Disabled,Enabled" line.long 0x08 "CPDMA_RX_TEARDOWN,CPDMA_REGS RX teardown register" rbitfld.long 0x08 31. "RX_TDN_RDY,Teardown Ready - read as zero but is always assumed to be one (unused)" "0,1" newline hexmask.long 0x08 3.--30. 1. "RESERVED," newline bitfld.long 0x08 0.--2. "RX_TDN_CH,Rx Teardown Channel -Receive channel teardown is commanded by writing the encoded value of the receive channel to be torn down" "0,1,2,3,4,5,6,7" line.long 0x0C "CPDMA_SOFT_RESET,CPDMA_REGS soft reset register" hexmask.long 0x0C 1.--31. 1. "RESERVED," newline bitfld.long 0x0C 0. "SOFT_RESET,Software reset - Writing a one to this bit causes the CPDMA logic to be reset" "0,1" line.long 0x10 "CPDMA_DMACONTROL,CPDMA_REGS CPDMA control register" hexmask.long.word 0x10 16.--31. 1. "RESERVED," newline abitfld.long 0x10 8.--15. "TX_RLIM,Transmit Rate Limit Channel Bus" "0x00=no rate-limited channels,0x80=channel 7 is rate-limited,0xC0=channels 7 downto 6 are rate-limited,0xE0=channels 7 downto 5 are rate-limited,0xF0=channels 7 downto 4 are rate-limited,0xF8=channels 7 downto 3 are rate-limited,0xFC=channels 7 downto 2 are rate-limited,0xFE=channels 7 downto 1 are rate-limited,0xFF=channels 7 downto 0 are rate-limited all.." newline rbitfld.long 0x10 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 4. "RX_CEF,RX Copy Error Frames Enable - Enables DMA overrun frames to be transferred to memory (up to the point of overrun)" "Frames containing overrun errors are filtered,Frames containing overrun errors are transferred.." newline bitfld.long 0x10 3. "CMD_IDLE,Command Idle" "Idle not commanded,Idle Commanded (read IDLE in CPDMA_DMASTATUS)" newline bitfld.long 0x10 2. "RX_OFFLEN_BLOCK,Receive Offset/Length word write block" "Do not block the DMA writes to the receive..,Block all CPDMA DMA controller writes to the.." newline bitfld.long 0x10 1. "RX_OWNERSHIP,Receive Ownership Write Bit Value" "The CPDMA writes the receive ownership bit to..,The CPDMA writes the receive ownership bit to.." newline bitfld.long 0x10 0. "TX_PTYPE,Transmit Queue Priority Type" "The queue uses a round robin scheme to select..,The queue uses a fixed (channel 7 highest.." line.long 0x14 "CPDMA_DMASTATUS,CPDMA_REGS CPDMA status register" bitfld.long 0x14 31. "IDLE,Idle Status Bit - Indicates when set that the CPDMA is not transferring a packet on transmit or receive" "0,1" newline hexmask.long.byte 0x14 24.--30. 1. "RESERVED," newline bitfld.long 0x14 20.--23. "TX_HOST_ERR_CODE,TX Host Error Code - This field is set to indicate CPDMA detected TX DMA related host errors" "No error,SOP error,Ownership bit not set in SOP buffer,Zero Next Buffer Descriptor Pointer Without EOP,Zero Buffer Pointer,Zero Buffer Length,Packet Length Error (sum of buffers is less than..,?..." newline bitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "TX_ERR_CH,TX Host Error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 12.--15. "RX_HOST_ERR_CODE,RX Host Error Code - This field is set to indicate CPDMA detected RX DMA related host errors" "No error,reserved,Ownership bit not set in input buffer,reserved,Zero Buffer Pointer,Zero buffer length on non-SOP descriptor,SOP buffer length not greater than offset 0x7 -..,?..." newline bitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "RX_ERR_CH,RX Host Error" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED," line.long 0x18 "CPDMA_RX_BUFFER_OFFSET,CPDMA_REGS receive buffer offset" hexmask.long.word 0x18 16.--31. 1. "RESERVED," newline hexmask.long.word 0x18 0.--15. 1. "RX_BUFFER_OFFSET,Receive Buffer Offset Value - The RX_BUFFER_OFFSET will be written by the port into each frame SOP buffer descriptor buffer_offset field" line.long 0x1C "CPDMA_EMCONTROL,CPDMA_REGS emulation control" hexmask.long 0x1C 2.--31. 1. "RESERVED," newline bitfld.long 0x1C 1. "SOFT,Emulation Soft Bit" "0,1" newline bitfld.long 0x1C 0. "FREE,Emulation Free Bit" "0,1" line.long 0x20 "CPDMA_TX_PRI0_RATE,CPDMA_REGS transmit (ingress) priority 0 rate" rbitfld.long 0x20 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x20 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x20 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x20 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x24 "CPDMA_TX_PRI1_RATE,CPDMA_REGS transmit (ingress) priority 1 rate" rbitfld.long 0x24 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x24 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x24 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x24 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x28 "CPDMA_TX_PRI2_RATE,CPDMA_REGS transmit (ingress) priority 2 rate" rbitfld.long 0x28 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x28 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x28 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x28 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x2C "CPDMA_TX_PRI3_RATE,CPDMA_REGS transmit (ingress) priority 3 rate" rbitfld.long 0x2C 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x2C 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x2C 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x2C 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x30 "CPDMA_TX_PRI4_RATE,CPDMA_REGS transmit (ingress) priority 4 rate" rbitfld.long 0x30 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x30 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x30 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x30 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x34 "CPDMA_TX_PRI5_RATE,CPDMA_REGS transmit (ingress) priority 5 rate" rbitfld.long 0x34 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x34 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x34 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x34 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x38 "CPDMA_TX_PRI6_RATE,CPDMA_REGS TRANSMIT (INGRESS) PRIORITY 6 RATE" rbitfld.long 0x38 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x38 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x38 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x38 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" line.long 0x3C "CPDMA_TX_PRI7_RATE,CPDMA_REGS transmit (ingress) priority 7 rate" rbitfld.long 0x3C 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x3C 16.--29. 1. "PRIN_IDLE_CNT,Priority ( 7:0) idle count" newline rbitfld.long 0x3C 14.--15. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x3C 0.--13. 1. "PRIN_SEND_CNT,Priority ( 7:0) send count" rgroup.long 0x80++0x17 line.long 0x00 "CPDMA_TX_INTSTAT_RAW,CPDMA_INT TX interrupt status register (raw value)" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline bitfld.long 0x00 7. "TX7_PEND,TX7_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 6. "TX6_PEND,TX6_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 5. "TX5_PEND,TX5_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 4. "TX4_PEND,TX4_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 3. "TX3_PEND,TX3_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 2. "TX2_PEND,TX2_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 1. "TX1_PEND,TX1_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 0. "TX0_PEND,TX0_PEND raw int read (before mask)" "0,1" line.long 0x04 "CPDMA_TX_INTSTAT_MASKED,CPDMA_INT TX interrupt status register (masked value)" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline bitfld.long 0x04 7. "TX7_PEND,TX7_PEND masked interrupt" "0,1" newline bitfld.long 0x04 6. "TX6_PEND,TX6_PEND masked interrupt" "0,1" newline bitfld.long 0x04 5. "TX5_PEND,TX5_PEND masked interrupt" "0,1" newline bitfld.long 0x04 4. "TX4_PEND,TX4_PEND masked interrupt" "0,1" newline bitfld.long 0x04 3. "TX3_PEND,TX3_PEND masked interrupt" "0,1" newline bitfld.long 0x04 2. "TX2_PEND,TX2_PEND masked interrupt" "0,1" newline bitfld.long 0x04 1. "TX1_PEND,TX1_PEND masked interrupt" "0,1" newline bitfld.long 0x04 0. "TX0_PEND,TX0_PEND masked interrupt" "0,1" line.long 0x08 "CPDMA_TX_INTMASK_SET,CPDMA_INT TX interrupt mask set register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline bitfld.long 0x08 7. "TX7_MASK,TX Channel 7 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 6. "TX6_MASK,TX Channel 6 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 5. "TX5_MASK,TX Channel 5 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 4. "TX4_MASK,TX Channel 4 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 3. "TX3_MASK,TX Channel 3 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 2. "TX2_MASK,TX Channel 2 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 1. "TX1_MASK,TX Channel 1 Mask - Write one to enable interrupt" "0,1" newline bitfld.long 0x08 0. "TX0_MASK,TX Channel 0 Mask - Write one to enable interrupt" "0,1" line.long 0x0C "CPDMA_TX_INTMASK_CLEAR,CPDMA_INT TX Interrupt mask clear register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," newline bitfld.long 0x0C 7. "TX7_MASK,TX Channel 7 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 6. "TX6_MASK,TX Channel 6 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 5. "TX5_MASK,TX Channel 5 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 4. "TX4_MASK,TX Channel 4 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 3. "TX3_MASK,TX Channel 3 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 2. "TX2_MASK,TX Channel 2 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 1. "TX1_MASK,TX Channel 1 Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x0C 0. "TX0_MASK,TX Channel 0 Mask - Write one to disable interrupt" "0,1" line.long 0x10 "CPDMA_IN_VECTOR,CPDMA_INT input vector (read only)" line.long 0x14 "CPDMA_EOI_VECTOR,CPDMA_INT end of interrupt vector" hexmask.long 0x14 5.--31. 1. "RESERVED," newline bitfld.long 0x14 0.--4. "DMA_EOI_VECTOR,DMA End of Interrupt Vector - The EOI_VECTOR( 4:0) pins reflect the value written to this location one MAIN_CLK cycle after a write to this location" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0xA0++0x5F line.long 0x00 "CPDMA_RX_INTSTAT_RAW,CPDMA_INT RX Interrupt status register (raw value)" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline bitfld.long 0x00 15. "RX7_THRESH_PEND,RX7_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 14. "RX6_THRESH_PEND,RX6_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 13. "RX5_THRESH_PEND,RX5_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 12. "RX4_THRESH_PEND,RX4_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 11. "RX3_THRESH_PEND,RX3_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 10. "RX2_THRESH_PEND,RX2_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 9. "RX1_THRESH_PEND,RX1_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 8. "RX0_THRESH_PEND,RX0_THRESH_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 7. "RX7_PEND,RX7_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 6. "RX6_PEND,RX6_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 5. "RX5_PEND,RX5_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 4. "RX4_PEND,RX4_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 3. "RX3_PEND,RX3_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 2. "RX2_PEND,RX2_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 1. "RX1_PEND,RX1_PEND raw int read (before mask)" "0,1" newline bitfld.long 0x00 0. "RX0_PEND,RX0_PEND raw int read (before mask)" "0,1" line.long 0x04 "CPDMA_RX_INTSTAT_MASKED,CPDMA_INT RX interrupt status register (masked value)" hexmask.long.word 0x04 16.--31. 1. "RESERVED," newline bitfld.long 0x04 15. "RX7_THRESH_PEND,RX7_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 14. "RX6_THRESH_PEND,RX6_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 13. "RX5_THRESH_PEND,RX5_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 12. "RX4_THRESH_PEND,RX4_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 11. "RX3_THRESH_PEND,RX3_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 10. "RX2_THRESH_PEND,RX2_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 9. "RX1_THRESH_PEND,RX1_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 8. "RX0_THRESH_PEND,RX0_THRESH_PEND masked int" "0,1" newline bitfld.long 0x04 7. "RX7_PEND,RX7_PEND masked int" "0,1" newline bitfld.long 0x04 6. "RX6_PEND,RX6_PEND masked int" "0,1" newline bitfld.long 0x04 5. "RX5_PEND,RX5_PEND masked int" "0,1" newline bitfld.long 0x04 4. "RX4_PEND,RX4_PEND masked int" "0,1" newline bitfld.long 0x04 3. "RX3_PEND,RX3_PEND masked int" "0,1" newline bitfld.long 0x04 2. "RX2_PEND,RX2_PEND masked int" "0,1" newline bitfld.long 0x04 1. "RX1_PEND,RX1_PEND masked int" "0,1" newline bitfld.long 0x04 0. "RX0_PEND,RX0_PEND masked int" "0,1" line.long 0x08 "CPDMA_RX_INTMASK_SET,CPDMA_INT RX interrupt mask set register" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline bitfld.long 0x08 15. "RX7_THRESH_PEND_MASK,RX Channel 7 Threshold Pending Int" "0,1" newline bitfld.long 0x08 14. "RX6_THRESH_PEND_MASK,RX Channel 6 Threshold Pending Int" "0,1" newline bitfld.long 0x08 13. "RX5_THRESH_PEND_MASK,RX Channel 5 Threshold Pending Int" "0,1" newline bitfld.long 0x08 12. "RX4_THRESH_PEND_MASK,RX Channel 4 Threshold Pending Int" "0,1" newline bitfld.long 0x08 11. "RX3_THRESH_PEND_MASK,RX Channel 3 Threshold Pending Int" "0,1" newline bitfld.long 0x08 10. "RX2_THRESH_PEND_MASK,RX Channel 2 Threshold Pending Int" "0,1" newline bitfld.long 0x08 9. "RX1_THRESH_PEND_MASK,RX Channel 1 Threshold Pending Int" "0,1" newline bitfld.long 0x08 8. "RX0_THRESH_PEND_MASK,RX Channel 0 Threshold Pending Int" "0,1" newline bitfld.long 0x08 7. "RX7_PEND_MASK,RX Channel 7 Pending Int" "0,1" newline bitfld.long 0x08 6. "RX6_PEND_MASK,RX Channel 6 Pending Int" "0,1" newline bitfld.long 0x08 5. "RX5_PEND_MASK,RX Channel 5 Pending Int" "0,1" newline bitfld.long 0x08 4. "RX4_PEND_MASK,RX Channel 4 Pending Int" "0,1" newline bitfld.long 0x08 3. "RX3_PEND_MASK,RX Channel 3 Pending Int" "0,1" newline bitfld.long 0x08 2. "RX2_PEND_MASK,RX Channel 2 Pending Int" "0,1" newline bitfld.long 0x08 1. "RX1_PEND_MASK,RX Channel 1 Pending Int" "0,1" newline bitfld.long 0x08 0. "RX0_PEND_MASK,RX Channel 0 Pending Int" "0,1" line.long 0x0C "CPDMA_RX_INTMASK_CLEAR,CPDMA_INT RX interrupt mask clear register" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," newline bitfld.long 0x0C 15. "RX7_THRESH_PEND_MASK,RX Channel 7 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 14. "RX6_THRESH_PEND_MASK,RX Channel 6 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 13. "RX5_THRESH_PEND_MASK,RX Channel 5 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 12. "RX4_THRESH_PEND_MASK,RX Channel 4 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 11. "RX3_THRESH_PEND_MASK,RX Channel 3 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 10. "RX2_THRESH_PEND_MASK,RX Channel 2 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 9. "RX1_THRESH_PEND_MASK,RX Channel 1 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 8. "RX0_THRESH_PEND_MASK,RX Channel 0 Threshold Pending Int" "0,1" newline bitfld.long 0x0C 7. "RX7_PEND_MASK,RX Channel 7 Pending Int" "0,1" newline bitfld.long 0x0C 6. "RX6_PEND_MASK,RX Channel 6 Pending Int" "0,1" newline bitfld.long 0x0C 5. "RX5_PEND_MASK,RX Channel 5 Pending Int" "0,1" newline bitfld.long 0x0C 4. "RX4_PEND_MASK,RX Channel 4 Pending Int" "0,1" newline bitfld.long 0x0C 3. "RX3_PEND_MASK,RX Channel 3 Pending Int" "0,1" newline bitfld.long 0x0C 2. "RX2_PEND_MASK,RX Channel 2 Pending Int" "0,1" newline bitfld.long 0x0C 1. "RX1_PEND_MASK,RX Channel 1 Pending Int" "0,1" newline bitfld.long 0x0C 0. "RX0_PEND_MASK,RX Channel 0 Pending Int" "0,1" line.long 0x10 "CPDMA_DMA_INTSTAT_RAW,CPDMA_INT DMA interrupt status register (raw value)" hexmask.long 0x10 2.--31. 1. "RESERVED," newline bitfld.long 0x10 1. "HOST_PEND,Host Pending Interrupt - raw int read (before mask)" "0,1" newline bitfld.long 0x10 0. "STAT_PEND,Statistics Pending Interrupt - raw int read (before mask)" "0,1" line.long 0x14 "CPDMA_DMA_INTSTAT_MASKED,CPDMA_INT DMA interrupt status register (masked value)" hexmask.long 0x14 2.--31. 1. "RESERVED," newline bitfld.long 0x14 1. "HOST_PEND,Host Pending Interrupt - masked interrupt" "0,1" newline bitfld.long 0x14 0. "STAT_PEND,Statistics Pending Interrupt - masked interrupt" "0,1" line.long 0x18 "CPDMA_DMA_INTMASK_SET,CPDMA_INT DMA interrupt mask set register" hexmask.long 0x18 2.--31. 1. "RESERVED," newline bitfld.long 0x18 1. "HOST_ERR_INT_MASK,Host Error Interrupt Mask - Write one to enable interrupt" "0,1" newline rbitfld.long 0x18 0. "STAT_INT_MASK,Statistics Interrupt Mask - Write one to enable interrupt" "0,1" line.long 0x1C "CPDMA_DMA_INTMASK_CLEAR,CPDMA_INT DMA interrupt mask clear register" hexmask.long 0x1C 2.--31. 1. "RESERVED," newline bitfld.long 0x1C 1. "HOST_ERR_INT_MASK,Host Error Interrupt Mask - Write one to disable interrupt" "0,1" newline bitfld.long 0x1C 0. "STAT_INT_MASK,Statistics Interrupt Mask - Write one to disable interrupt" "0,1" line.long 0x20 "CPDMA_RX0_PENDTHRESH,CPDMA_INT receive threshold pending register channel 0" hexmask.long.tbyte 0x20 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x20 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x24 "CPDMA_RX1_PENDTHRESH,CPDMA_INT receive threshold pending register channel 1" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x24 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x28 "CPDMA_RX2_PENDTHRESH,CPDMA_INT receive threshold pending register channel 2" hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x28 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x2C "CPDMA_RX3_PENDTHRESH,CPDMA_INT receive threshold pending register channel 3" hexmask.long.tbyte 0x2C 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x2C 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x30 "CPDMA_RX4_PENDTHRESH,CPDMA_INT receive threshold pending register channel 4" hexmask.long.tbyte 0x30 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x30 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x34 "CPDMA_RX5_PENDTHRESH,CPDMA_INT receive threshold pending register channel 5" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x34 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x38 "CPDMA_RX6_PENDTHRESH,CPDMA_INT receive threshold pending register channel 6" hexmask.long.tbyte 0x38 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x38 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x3C "CPDMA_RX7_PENDTHRESH,CPDMA_INT receive threshold pending register channel 7" hexmask.long.tbyte 0x3C 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x3C 0.--7. 1. "RX_PENDTHRESH,Rx Flow Threshold - This field contains the threshold value for issuing receive threshold pending interrupts (when enabled)" line.long 0x40 "CPDMA_RX0_FREEBUFFER,CPDMA_INT receive free buffer register channel 0" hexmask.long.word 0x40 16.--31. 1. "RESERVED," newline hexmask.long.word 0x40 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x44 "CPDMA_RX1_FREEBUFFER,CPDMA_INT receive free buffer register channel 1" hexmask.long.word 0x44 16.--31. 1. "RESERVED," newline hexmask.long.word 0x44 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x48 "CPDMA_RX2_FREEBUFFER,CPDMA_INT receive free buffer register channel 2" hexmask.long.word 0x48 16.--31. 1. "RESERVED," newline hexmask.long.word 0x48 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x4C "CPDMA_RX3_FREEBUFFER,CPDMA_INT receive free buffer register channel 3" hexmask.long.word 0x4C 16.--31. 1. "RESERVED," newline hexmask.long.word 0x4C 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x50 "CPDMA_RX4_FREEBUFFER,CPDMA_INT receive free buffer register channel 4" hexmask.long.word 0x50 16.--31. 1. "RESERVED," newline hexmask.long.word 0x50 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x54 "CPDMA_RX5_FREEBUFFER,CPDMA_INT receive free buffer register channel 5" hexmask.long.word 0x54 16.--31. 1. "RESERVED," newline hexmask.long.word 0x54 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x58 "CPDMA_RX6_FREEBUFFER,CPDMA_INT receive free buffer register channel 6" hexmask.long.word 0x58 16.--31. 1. "RESERVED," newline hexmask.long.word 0x58 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" line.long 0x5C "CPDMA_RX7_FREEBUFFER,CPDMA_INT receive free buffer register channel 7" hexmask.long.word 0x5C 16.--31. 1. "RESERVED," newline hexmask.long.word 0x5C 0.--15. 1. "RX_FREEBUFFER,Rx Free Buffer Count - This field contains the count of free buffers available" tree.end tree "CPTS" base ad:0x48484C00 rgroup.long 0x00++0x07 line.long 0x00 "CPTS_IDVER,CPTS revision" line.long 0x04 "CPTS_CONTROL,Time sync control register" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED," bitfld.long 0x04 11. "HW4_TS_PUSH_EN,Hardware push 4 enable" "0,1" bitfld.long 0x04 10. "HW3_TS_PUSH_EN,Hardware push 3 enable" "0,1" bitfld.long 0x04 9. "HW2_TS_PUSH_EN,Hardware push 2 enable" "0,1" newline bitfld.long 0x04 8. "HW1_TS_PUSH_EN,Hardware push 1 enable" "0,1" rbitfld.long 0x04 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 1. "INT_TEST,Interrupt Test - When set this bit allows the raw interrupt to be written to facilitate interrupt test" "0,1" bitfld.long 0x04 0. "CPTS_EN,Time Sync Enable - When disabled (cleared to zero) the RCLK domain is held in reset" "Time Sync Disabled,Time Sync Enabled" group.long 0x0C++0x0B line.long 0x00 "CPTS_TS_PUSH,Time stamp event push register" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "TS_PUSH,Time stamp event push - When a logic high is written to this bit a time stamp event is pushed onto the event FIFO" "0,1" line.long 0x04 "CPTS_TS_LOAD_VAL,Time stamp load value register" line.long 0x08 "CPTS_TS_LOAD_EN,Time stamp load enable register" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "TS_LOAD_EN,Time Stamp Load - Writing a one to this bit enables the time stamp value to be written via theCPTS_TS_LOAD_VAL register" "0,1" group.long 0x20++0x0B line.long 0x00 "CPTS_INTSTAT_RAW,Time sync interrupt status raw register" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "TS_PEND_RAW,TS_PEND_RAW int read (before enable)" "0,1" line.long 0x04 "CPTS_INTSTAT_MASKED,Time sync interrupt status masked register" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "TS_PEND,TS_PEND masked interrupt read (after enable)" "0,1" line.long 0x08 "CPTS_INT_ENABLE,Time sync interrupt enable register" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "TS_PEND_EN,TS_PEND masked interrupt enable" "0,1" group.long 0x30++0x0B line.long 0x00 "CPTS_EVENT_POP,Event interrupt pop register" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "EVENT_POP,Event Pop - When a logic high is written to this bit an event is popped off the event FIFO" "0,1" line.long 0x04 "CPTS_EVENT_LOW,Lower 32-bits of the event value" line.long 0x08 "CPTS_EVENT_HIGH,Upper 32-bits of the event value" bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 24.--28. "PORT_NUMBER,Port Number - indicates the port number of an ethernet event or the hardware push pin number (1 to 4)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 20.--23. "EVENT_TYPE,Time Sync Event Type" "Time Stamp Push Event,Time Stamp Rollover Event,Time Stamp Half Rollover Event,Hardware Time Stamp Push Event,Ethernet Receive Event,Ethernet Transmit Event,?..." bitfld.long 0x08 16.--19. "MESSAGE_TYPE,Message type - The message type value that was contained in an ethernet transmit or receive time sync packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x08 0.--15. 1. "SEQUENCE_ID,Sequence ID - The 16-bit sequence id is the value that was contained in an ethernet transmit or receivetime sync packet" tree.end tree "CTRL_MODULE_CORE" base ad:0x4A002000 rgroup.long 0x134++0x03 line.long 0x00 "CTRL_CORE_STATUS,Control Module Status Register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 6.--8. "DEVICE_TYPE,Device type captured at reset time" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x148++0x03 line.long 0x00 "CTRL_CORE_SEC_ERR_STATUS_FUNC_1,Firewall Error Status functional Register 1" bitfld.long 0x00 31. "EVE4_FW_ERROR,EVE4 firewall" "No error firewall,Error from firewall" newline bitfld.long 0x00 30. "EVE3_FW_ERROR,EVE3 firewall" "No error firewall,Error from firewall" newline bitfld.long 0x00 29. "EVE2_FW_ERROR,EVE2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 28. "EVE1_FW_ERROR,EVE1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 23. "BB2D_FW_ERROR,BB2D firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 22. "L4_WAKEUP_FW_ERROR,L4 wakeup firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 18. "DEBUGSS_FW_ERROR,DebugSS firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 17. "L4_CONFIG_FW_ERROR,L4 config firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 16. "L4_PERIPH1_FW_ERROR,L4 periph1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 14. "DSS_FW_ERROR,DSS firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 13. "GPU_FW_ERROR,GPU firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 7.--12. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 6. "IVAHD_SL2_FW_ERROR,IVAHD SL2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 5. "IPU1_FW_ERROR,IPU1 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 4. "IVAHD_FW_ERROR,IVAHD firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 3. "EMIF_FW_ERROR,EMIF firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 2. "GPMC_FW_ERROR,GPMC firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 1. "L3RAM1_FW_ERROR,L3RAM1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 0. "RESERVED," "0,1" group.long 0x150++0x03 line.long 0x00 "CTRL_CORE_SEC_ERR_STATUS_DEBUG_1,Firewall Error Status Debug Register 1" bitfld.long 0x00 31. "EVE4_DBGFW_ERROR,EVE4 firewall" "No error firewall,Error from firewall" newline bitfld.long 0x00 30. "EVE3_DBGFW_ERROR,EVE3 firewall" "No error firewall,Error from firewall" newline bitfld.long 0x00 29. "EVE2_DBGFW_ERROR,EVE2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 28. "EVE1_DBGFW_ERROR,EVE1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 23. "BB2D_DBGFW_ERROR,BB2D firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 22. "L4_WAKEUP_DBGFW_ERROR,L4 wakeup firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 19.--21. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 18. "DEBUGSS_DBGFW_ERROR,DebugSS firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 17. "L4_CONFIG_DBGFW_ERROR,L4 config firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 16. "L4_PERIPH1_DBGFW_ERROR,L4 periph1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 14. "DSS_DBGFW_ERROR,DSS debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 13. "GPU_DBGFW_ERROR,GPU debug firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 7.--12. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 6. "IVAHD_SL2_DBGFW_ERROR,IVAHD SL2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 5. "IPU1_DBGFW_ERROR,IPU1 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 4. "IVAHD_DBGFW_ERROR,IVAHD debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 3. "EMIF_DBGFW_ERROR,EMIF debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 2. "GPMC_DBGFW_ERROR,GPMC debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 1. "L3RAM1_DBGFW_ERROR,L3RAM1 debug firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 0. "RESERVED," "0,1" group.long 0x15C++0x03 line.long 0x00 "CTRL_CORE_MPU_FORCEWRNP,FORCE WRITE NON POSTED" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "MPU_FORCEWRNP,Force mpu write non posted transactions" "disable force wrnp,force wrnp" rgroup.long 0x194++0x5B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_0,Standard Fuse OPP VDD_GPU [31:0]" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_1,Standard Fuse OPP VDD_GPU [63:32]" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_2,Standard Fuse OPP VDD_GPU [95:64]" line.long 0x0C "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_3,Standard Fuse OPP VDD_GPU [127:96]" line.long 0x10 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_4,Standard Fuse OPP VDD_GPU [159:128]" line.long 0x14 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_5,Standard Fuse OPP VDD_GPU [191:160]" line.long 0x18 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_0,Standard Fuse OPP VDD_MPU [31:0]" line.long 0x1C "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_1,Standard Fuse OPP VDD_MPU [63:32]" line.long 0x20 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_2,Standard Fuse OPP VDD_MPU [95:64]" line.long 0x24 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_3,Standard Fuse OPP VDD_MPU [127:96]" line.long 0x28 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_4,Standard Fuse OPP VDD_MPU [159:128]" line.long 0x2C "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_5,Standard Fuse OPP VDD_MPU [191:160]" line.long 0x30 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_6,Standard Fuse OPP VDD_MPU [223:192]" line.long 0x34 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_7,Standard Fuse OPP VDD_MPU [255:224]" line.long 0x38 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_0,Standard Fuse OPP VDD_CORE [31:0]" line.long 0x3C "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_1,Standard Fuse OPP VDD_CORE [63:32]" line.long 0x40 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_2,Standard Fuse OPP VDD_CORE [95:64]" line.long 0x44 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_3,Standard Fuse OPP VDD_CORE [127:96]" line.long 0x48 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_4,Standard Fuse OPP VDD_CORE [159:128]" line.long 0x4C "CTRL_CORE_STD_FUSE_OPP_BGAP_GPU,Trim values for GPU associated temperature sensor and bandgap" hexmask.long.byte 0x4C 24.--31. 1. "STD_FUSE_OPP_BGAP_GPU_0,Trim values for GPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x4C 16.--23. 1. "STD_FUSE_OPP_BGAP_GPU_1,Trim values for GPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x4C 8.--15. 1. "STD_FUSE_OPP_BGAP_GPU_2,Trim values for GPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x4C 0.--7. 1. "STD_FUSE_OPP_BGAP_GPU_3,Trim values for GPU associated temperature sensor and bandgap" line.long 0x50 "CTRL_CORE_STD_FUSE_OPP_BGAP_MPU,Trim values for MPU associated temperature sensor and bandgap" hexmask.long.byte 0x50 24.--31. 1. "STD_FUSE_OPP_BGAP_MPU_0,Trim values for MPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x50 16.--23. 1. "STD_FUSE_OPP_BGAP_MPU_1,Trim values for MPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x50 8.--15. 1. "STD_FUSE_OPP_BGAP_MPU_2,Trim values for MPU associated temperature sensor and bandgap" newline hexmask.long.byte 0x50 0.--7. 1. "STD_FUSE_OPP_BGAP_MPU_3,Trim values for MPU associated temperature sensor and bandgap" line.long 0x54 "CTRL_CORE_STD_FUSE_OPP_BGAP_CORE,Trim values for CORE associated temperature sensor and bandgap" hexmask.long.byte 0x54 24.--31. 1. "STD_FUSE_OPP_BGAP_CORE_0,Trim values for CORE associated temperature sensor and bandgap" newline hexmask.long.byte 0x54 16.--23. 1. "STD_FUSE_OPP_BGAP_CORE_1,Trim values for CORE associated temperature sensor and bandgap" newline hexmask.long.byte 0x54 8.--15. 1. "STD_FUSE_OPP_BGAP_CORE_2,Trim values for CORE associated temperature sensor and bandgap" newline hexmask.long.byte 0x54 0.--7. 1. "STD_FUSE_OPP_BGAP_CORE_3,Trim values for CORE associated temperature sensor and bandgap" line.long 0x58 "CTRL_CORE_STD_FUSE_OPP_BGAP_MPU23,Standard Fuse OPP BGAP" hexmask.long.word 0x58 16.--31. 1. "STD_FUSE_OPP_BGAP_MPU3," newline hexmask.long.word 0x58 0.--15. 1. "STD_FUSE_OPP_BGAP_MPU2," rgroup.long 0x220++0x57 line.long 0x00 "CTRL_CORE_STD_FUSE_MPK_0,Standard Fuse keys" line.long 0x04 "CTRL_CORE_STD_FUSE_MPK_1,Standard Fuse keys" line.long 0x08 "CTRL_CORE_STD_FUSE_MPK_2,Standard Fuse keys" line.long 0x0C "CTRL_CORE_STD_FUSE_MPK_3,Standard Fuse keys" line.long 0x10 "CTRL_CORE_STD_FUSE_MPK_4,Standard Fuse keys" line.long 0x14 "CTRL_CORE_STD_FUSE_MPK_5,Standard Fuse keys" line.long 0x18 "CTRL_CORE_STD_FUSE_MPK_6,Standard Fuse keys" line.long 0x1C "CTRL_CORE_STD_FUSE_MPK_7,Standard Fuse keys" line.long 0x20 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_0,Standard Fuse OPP VDD_GPU [31:0]" line.long 0x24 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_1,Standard Fuse OPP VDD_GPU [63:32]" line.long 0x28 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_2,Standard Fuse OPP VDD_GPU [95:64]" line.long 0x2C "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_3,Standard Fuse OPP VDD_GPU [127:96]" line.long 0x30 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_4,Standard Fuse OPP VDD_GPU [159:128]" line.long 0x34 "CTRL_CORE_STD_FUSE_OPP_VDD_GPU_LVT_5,Standard Fuse OPP VDD_GPU [191:160]" line.long 0x38 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_0,Standard Fuse OPP VDD_MPU [31:0]" line.long 0x3C "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_1,Standard Fuse OPP VDD_MPU [63:32]" line.long 0x40 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_2,Standard Fuse OPP VDD_MPU [95:64]" line.long 0x44 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_3,Standard Fuse OPP VDD_MPU [127:96]" line.long 0x48 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_4,Standard Fuse OPP VDD_MPU [159:128]" line.long 0x4C "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_5,Standard Fuse OPP VDD_MPU [191:160]" line.long 0x50 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_6,Standard Fuse OPP VDD_MPU [223:192]" line.long 0x54 "CTRL_CORE_STD_FUSE_OPP_VDD_MPU_LVT_7,Standard Fuse OPP VDD_MPU [255:224]" rgroup.long 0x2BC++0x1B line.long 0x00 "CTRL_CORE_CUST_FUSE_SWRV_0,Customer Fuse keys" line.long 0x04 "CTRL_CORE_CUST_FUSE_SWRV_1,Customer Fuse keys" line.long 0x08 "CTRL_CORE_CUST_FUSE_SWRV_2,Customer Fuse keys" line.long 0x0C "CTRL_CORE_CUST_FUSE_SWRV_3,Customer Fuse keys" line.long 0x10 "CTRL_CORE_CUST_FUSE_SWRV_4,Customer Fuse keys" line.long 0x14 "CTRL_CORE_CUST_FUSE_SWRV_5,Customer Fuse keys" line.long 0x18 "CTRL_CORE_CUST_FUSE_SWRV_6,Customer Fuse keys" rgroup.long 0x300++0x03 line.long 0x00 "CTRL_CORE_DEV_CONF,This register is used to power down the USB2_PHY1" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "USBPHY_PD,Power down the entire USB2_PHY1 (data common module and UTMI)" "Normal operation,Power down the USB2_PHY1" rgroup.long 0x32C++0x0B line.long 0x00 "CTRL_CORE_TEMP_SENSOR_MPU,Control VBGAPTS temperature sensor and thermal comparator shutdown register" hexmask.long.tbyte 0x00 12.--31. 1. "RESERVED," newline bitfld.long 0x00 11. "BGAP_TMPSOFF_MPU,This bit indicates the temperature sensor state" "0,1" newline bitfld.long 0x00 10. "BGAP_EOCZ_MPU,ADC End of Conversion" "0,1" newline hexmask.long.word 0x00 0.--9. 1. "BGAP_DTEMP_MPU,Temperature data from the ADC" line.long 0x04 "CTRL_CORE_TEMP_SENSOR_GPU,Control VBGAPTS temperature sensor and thermal comparator shutdown register" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED," newline bitfld.long 0x04 11. "BGAP_TMPSOFF_GPU,This bit indicates the temperature sensor state" "0,1" newline bitfld.long 0x04 10. "BGAP_EOCZ_GPU,ADC End of Conversion" "0,1" newline hexmask.long.word 0x04 0.--9. 1. "BGAP_DTEMP_GPU,Temperature data from the ADC" line.long 0x08 "CTRL_CORE_TEMP_SENSOR_CORE,Control VBGAPTS temperature sensor and thermal comparator shutdown register" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," newline bitfld.long 0x08 11. "BGAP_TMPSOFF_CORE,This bit indicates the temperature sensor state" "0,1" newline bitfld.long 0x08 10. "BGAP_EOCZ_CORE,ADC End of Conversion" "0,1" newline hexmask.long.word 0x08 0.--9. 1. "BGAP_DTEMP_CORE,Temperature data from the ADC" group.long 0x358++0x0B line.long 0x00 "CTRL_CORE_CORTEX_M4_MMUADDRTRANSLTR,Cortex M4 register" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x00 0.--19. 1. "CORTEX_M4_MMUADDRTRANSLTR,Used to save the mmu address boot" line.long 0x04 "CTRL_CORE_CORTEX_M4_MMUADDRLOGICTR," hexmask.long.word 0x04 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x04 0.--19. 1. "CORTEX_M4_MMUADDRLOGICTR," line.long 0x08 "CTRL_CORE_HWOBS_CONTROL,HW observability control" hexmask.long.word 0x08 19.--31. 1. "RESERVED," newline bitfld.long 0x08 14.--18. "HWOBS_CLKDIV_SEL_2,Clock divider selection on po_hwobs(2)" "?,output is not divided,output is divided by 2,?,output is divided by 4,?,?,?,output is divided by 8,?,?,?,?,?,?,?,output is divided by 16,?..." newline bitfld.long 0x08 9.--13. "HWOBS_CLKDIV_SEL_1,Clock divider selection on po_hwobs(1)" "?,output is not divided,output is divided by 2,?,output is divided by 4,?,?,?,output is divided by 8,?,?,?,?,?,?,?,output is divided by 16,?..." newline rbitfld.long 0x08 8. "RESERVED," "0,1" newline bitfld.long 0x08 3.--7. "HWOBS_CLKDIV_SEL,Clock divider selection on po_hwobs(0)" "?,output is not divided,output is divided by 2,?,output is divided by 4,?,?,?,output is divided by 8,?,?,?,?,?,?,?,output is divided by 16,?..." newline bitfld.long 0x08 2. "HWOBS_ALL_ZERO_MODE,Used to gate observable signals" "hw observability ports are not gated,hw observability ports are all set to 0" newline bitfld.long 0x08 1. "HWOBS_ALL_ONE_MODE,Used to gate observable signals" "hw observability ports are not gated,hw observability ports are all set to 1" newline bitfld.long 0x08 0. "HWOBS_MACRO_ENABLE,Used to gate observable signals coming from macros using" "hw observability ports from macros are gated and..,hw observability ports from macros are not gated" group.long 0x370++0x07 line.long 0x00 "CTRL_CORE_PHY_POWER_USB,phy_power_usb" hexmask.long.word 0x00 22.--31. 1. "USB_PWRCTL_CLK_FREQ,Frequency of SYSCLK1 in MHz (rounded)" newline hexmask.long.byte 0x00 14.--21. 1. "USB_PWRCTL_CLK_CMD,Powers up/down the USB3_PHY_TX and USB3_PHY_RX modules" newline hexmask.long.word 0x00 0.--13. 1. "RESERVED,Reserved" line.long 0x04 "CTRL_CORE_PHY_POWER_SATA,phy_power_sata" hexmask.long.word 0x04 22.--31. 1. "SATA_PWRCTL_CLK_FREQ,Frequency of SYSCLK1 in MHz (rounded)" newline abitfld.long 0x04 14.--21. "SATA_PWRCTL_CLK_CMD,Powers up/down the SATA_PHY_TX and SATA_PHY_RX modules" "0x00=Powers down SATA_PHY_TX and SATA_PHY_RX,0x01=Powers up SATA_PHY_RX,0x02=Powers up SATA_PHY_TX,0x03=Powers up SATA_PHY_TX and SATA_PHY_RX.." newline hexmask.long.word 0x04 0.--13. 1. "RESERVED,Reserved" group.long 0x380++0x1B line.long 0x00 "CTRL_CORE_BANDGAP_MASK_1,bgap_mask" bitfld.long 0x00 30.--31. "SIDLEMODE,sidlemode for bandgap" "No Idle,Force Idle,Smart Idle,Reserved" newline bitfld.long 0x00 27.--29. "COUNTER_DELAY,Counter delay" "Imediat,Delay of 1ms,Delay of 10ms,Delay of 100ms,Delay of 250ms,Delay of 500ms,?..." newline rbitfld.long 0x00 24.--26. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 23. "FREEZE_CORE,Freeze the FIFO CORE" "No operation,Freeze the FIFO" newline bitfld.long 0x00 22. "FREEZE_GPU,Freeze the FIFO GPU" "No operation,Freeze the FIFO" newline bitfld.long 0x00 21. "FREEZE_MPU,Freeze the FIFO MPU" "No operation,Freeze the FIFO" newline bitfld.long 0x00 20. "CLEAR_CORE,Reset the FIFO CORE" "No operation,Reset the FIFO" newline bitfld.long 0x00 19. "CLEAR_GPU,Reset the FIFO GPU" "No operation,Reset the FIFO" newline bitfld.long 0x00 18. "CLEAR_MPU,Reset the FIFO MPU" "No operation,Reset the FIFO" newline hexmask.long.word 0x00 6.--17. 1. "RESERVED," newline bitfld.long 0x00 5. "MASK_HOT_CORE,Mask for hot event CORE" "hot event is masked,hot event is not masked" newline bitfld.long 0x00 4. "MASK_COLD_CORE,Mask for cold event CORE" "cold event is masked,cold event is not masked" newline bitfld.long 0x00 3. "MASK_HOT_GPU,Mask for hot event GPU" "hot event is masked,hot event is not masked" newline bitfld.long 0x00 2. "MASK_COLD_GPU,Mask for cold event GPU" "cold event is masked,cold event is not masked" newline bitfld.long 0x00 1. "MASK_HOT_MPU,Mask for hot event MPU" "hot event is masked,hot event is not masked" newline bitfld.long 0x00 0. "MASK_COLD_MPU,Mask for cold event MPU" "cold event is masked,cold event is not masked" line.long 0x04 "CTRL_CORE_BANDGAP_THRESHOLD_MPU,BGAP THRESHOLD MPU" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 16.--25. 1. "THOLD_HOT_MPU,Value for the high temperature threshold" newline rbitfld.long 0x04 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 0.--9. 1. "THOLD_COLD_MPU,Value for the low temperature threshold" line.long 0x08 "CTRL_CORE_BANDGAP_THRESHOLD_GPU,BGAP THRESHOLD MM" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 16.--25. 1. "THOLD_HOT_GPU,Value for the high temperature threshold" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 0.--9. 1. "THOLD_COLD_GPU,Value for the low temperature threshold" line.long 0x0C "CTRL_CORE_BANDGAP_THRESHOLD_CORE,BGAP THRESHOLD CORE" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 16.--25. 1. "THOLD_HOT_CORE,Value for the high temperature threshold" newline rbitfld.long 0x0C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 0.--9. 1. "THOLD_COLD_CORE,Value for the low temperature threshold" line.long 0x10 "CTRL_CORE_BANDGAP_TSHUT_MPU,BGAP TSHUT THRESHOLD MPU" bitfld.long 0x10 31. "RESERVED,Software should not modify this bit" "0,1" newline rbitfld.long 0x10 26.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 16.--25. 1. "TSHUT_HOT_MPU,tshut value hot Software should not modify this bit field" newline rbitfld.long 0x10 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 0.--9. 1. "TSHUT_COLD_MPU,tshut value cold Software should not modify this bit field" line.long 0x14 "CTRL_CORE_BANDGAP_TSHUT_GPU,BGAP TSHUT THRESHOLD GPU" bitfld.long 0x14 31. "RESERVED,Software should not modify this bit" "0,1" newline rbitfld.long 0x14 26.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 16.--25. 1. "TSHUT_HOT_GPU,tshut value hot Software should not modify this bit field" newline rbitfld.long 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--9. 1. "TSHUT_COLD_GPU,tshut value cold Software should not modify this bit field" line.long 0x18 "CTRL_CORE_BANDGAP_TSHUT_CORE,BGAP TSHUT THRESHOLD CORE" bitfld.long 0x18 31. "RESERVED,Software should not modify this bit" "0,1" newline rbitfld.long 0x18 26.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x18 16.--25. 1. "TSHUT_HOT_CORE,tshut value hot Software should not modify this bit field" newline rbitfld.long 0x18 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 0.--9. 1. "TSHUT_COLD_CORE,tshut value cold Software should not modify this bit field" rgroup.long 0x3A8++0x07 line.long 0x00 "CTRL_CORE_BANDGAP_STATUS_1,BGAP STATUS" bitfld.long 0x00 31. "ALERT,Alert temperature when '1'" "0,1" newline hexmask.long 0x00 6.--30. 1. "RESERVED," newline bitfld.long 0x00 5. "HOT_CORE,Event for hot temperature mpu bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 4. "COLD_CORE,Event for cold temperature mpu bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 3. "HOT_GPU,Event for hot temperature gpu bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 2. "COLD_GPU,Event for cold temperature gpu bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 1. "HOT_MPU,Event for hot temperature core bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 0. "COLD_MPU,Event for cold temperature core bandgap when '1'" "event not detected,event detected" line.long 0x04 "CTRL_CORE_SATA_EXT_MODE,SATA EXTENDED MODE" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "SATA_EXTENDED_MODE,sata extended mode" "no extended mode,extended mode" rgroup.long 0x3C0++0x03 line.long 0x00 "CTRL_CORE_DTEMP_MPU_0,TAGGED TEMPERATURE MPU DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_MPU_0,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_MPU_0,temperature" rgroup.long 0x3D0++0x07 line.long 0x00 "CTRL_CORE_DTEMP_MPU_4,TAGGED TEMPERATURE MPU DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_MPU_4,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_MPU_4,temperature" line.long 0x04 "CTRL_CORE_DTEMP_GPU_0,TAGGED TEMPERATURE GPU DOMAIN" hexmask.long.tbyte 0x04 10.--31. 1. "DTEMP_TAG_GPU_0,tag" newline hexmask.long.word 0x04 0.--9. 1. "DTEMP_TEMPERATURE_GPU_0,temperature" rgroup.long 0x3E4++0x07 line.long 0x00 "CTRL_CORE_DTEMP_GPU_4,TAGGED TEMPERATURE GPU DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_GPU_4,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_GPU_4,temperature" line.long 0x04 "CTRL_CORE_DTEMP_CORE_0,TAGGED TEMPERATURE CORE DOMAIN" hexmask.long.tbyte 0x04 10.--31. 1. "DTEMP_TAG_CORE_0,tag" newline hexmask.long.word 0x04 0.--9. 1. "DTEMP_TEMPERATURE_CORE_0,temperature" rgroup.long 0x3F8++0x07 line.long 0x00 "CTRL_CORE_DTEMP_CORE_4,TAGGED TEMPERATURE CORE DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_CORE_4,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_CORE_4,temperature" line.long 0x04 "CTRL_CORE_SMA_SW_0,OCP Spare Register" hexmask.long.word 0x04 19.--31. 1. "RESERVED," newline bitfld.long 0x04 18. "SATA_PLL_SOFT_RESET,Software reset control for SATA PLL" "0,1" newline hexmask.long.word 0x04 3.--17. 1. "RESERVED," newline bitfld.long 0x04 2. "ISOLATE,This bit is used during the isolation/de-isolation sequence described in Isolation Requirements" "0,1" newline bitfld.long 0x04 1. "EMIF2_CKE_GATING_CTRL,Forces the EMIF2 CKE pad to tri-state" "The CKE pad is not in tri-state and can be..,The CKE pad is in tri-state" newline bitfld.long 0x04 0. "EMIF1_CKE_GATING_CTRL,Forces the EMIF1 CKE pad to tri-state" "The CKE pad is not in tri-state and can be..,The CKE pad is in tri-state" group.long 0x414++0x03 line.long 0x00 "CTRL_CORE_SEC_ERR_STATUS_FUNC_2,Firewall Error Status functional Register 2" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 26. "TC1_EDMA_FW_ERROR,EDMA TC1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 23.--25. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22. "QSPI_FW_ERROR,QSPI firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 21. "PRUSS2_FW_ERROR,PRU-ICSS2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 20. "PRUSS1_FW_ERROR,PRU-ICSS1 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 17. "TPCC_EDMA_FW_ERROR,EDMA TPCC firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 16. "TC0_EDMA_FW_ERROR,EDMA TC0 firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 13. "MCASP3_FW_ERROR,McASP3 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 12. "MCASP2_FW_ERROR,McASP2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 11. "MCASP1_FW_ERROR,McASP1 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 10. "VCP2_FW_ERROR,VCP2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 9. "VCP1_FW_ERROR,VCP1 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 8. "PCIESS2_FW_ERROR,PCIeSS2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 7. "PCIESS1_FW_ERROR,PCIeSS1 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 6. "IPU2_FW_ERROR,IPU2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 5. "L4_PERIPH3_FW_ERROR,L4 periph3 init firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 4. "L4_PERIPH2_FW_ERROR,L4 periph2 init firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 3. "L3RAM3_FW_ERROR,L3RAM3 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 2. "L3RAM2_FW_ERROR,L3RAM2 target firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 1. "DSP2_FW_ERROR,DSP2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 0. "DSP1_FW_ERROR,DSP1 firewall" "No error from firewall,Error from firewall" group.long 0x41C++0x37 line.long 0x00 "CTRL_CORE_SEC_ERR_STATUS_DEBUG_2,Firewall Error Status debug Register 2" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 26. "TC1_EDMA_DBGFW_ERROR,EDMA TC1 debug firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 23.--25. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22. "QSPI_DBGFW_ERROR,QSPI debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 21. "PRUSS2_DBGFW_ERROR,PRU-ICSS2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 20. "PRUSS1_DBGFW_ERROR,PRU-ICSS1 debug firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 17. "TPCC_EDMA_DBGFW_ERROR,EDMA TPCC debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 16. "TC0_EDMA_DBGFW_ERROR,EDMA TC0 debug firewall" "No error from firewall,Error from firewall" newline rbitfld.long 0x00 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 13. "MCASP3_DBGFW_ERROR,McASP3 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 12. "MCASP2_DBGFW_ERROR,McASP2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 11. "MCASP1_DBGFW_ERROR,McASP1 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 10. "VCP2_DBGFW_ERROR,VCP2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 9. "VCP1_DBGFW_ERROR,VCP1 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 8. "PCIESS2_DBGFW_ERROR,PCIeSS2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 7. "PCIESS1_DBGFW_ERROR,PCIeSS1 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 6. "IPU2_DBGFW_ERROR,IPU2 debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 5. "L4_PERIPH3_DBGFW_ERROR,L4 periph3 init firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 4. "L4_PERIPH2_DBGFW_ERROR,L4 periph2 init firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 3. "L3RAM3_DBGFW_ERROR,L3RAM3 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 2. "L3RAM2_DBGFW_ERROR,L3RAM2 target debug firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 1. "DSP2_DBGFW_ERROR,DSP2 firewall" "No error from firewall,Error from firewall" newline bitfld.long 0x00 0. "DSP1_DBGFW_ERROR,DSP1 firewall" "No error from firewall,Error from firewall" line.long 0x04 "CTRL_CORE_EMIF_INITIATOR_PRIORITY_1,Register for priority settings for EMIF arbitration" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "MPU_EMIF_PRIORITY,MPU priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline hexmask.long.word 0x04 19.--27. 1. "RESERVED," newline bitfld.long 0x04 16.--18. "DSP1_MDMA_EMIF_PRIORITY,DSP1 MDMA priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x04 15. "RESERVED," "0,1" newline bitfld.long 0x04 12.--14. "DSP1_CFG_EMIF_PRIORITY,DSP1 CFG priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x04 11. "RESERVED," "0,1" newline bitfld.long 0x04 8.--10. "DSP1_EDMA_EMIF_PRIORITY,DSP1 EDMA priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x04 7. "RESERVED," "0,1" newline bitfld.long 0x04 4.--6. "DSP2_EDMA_EMIF_PRIORITY,DSP2 EDMA priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 0.--2. "DSP2_CFG_EMIF_PRIORITY,DSP2 CFG priority setting" "highest priority,?,?,?,?,?,?,lowest priority" line.long 0x08 "CTRL_CORE_EMIF_INITIATOR_PRIORITY_2,Register for priority settings for EMIF arbitration" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "DSP2_MDMA_EMIF_PRIORITY,DSP2 MDMA priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 27. "RESERVED," "0,1" newline bitfld.long 0x08 24.--26. "IVA_ICONT1_EMIF_PRIORITY,IVA ICONT1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x08 16.--18. "EVE1_TC0_EMIF_PRIORITY,EVE1 TC0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 12.--14. "EVE2_TC0_EMIF_PRIORITY,EVE2 TC0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 11. "RESERVED," "0,1" newline bitfld.long 0x08 8.--10. "EVE3_TC0_EMIF_PRIORITY,EVE3 TC0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 7. "RESERVED," "0,1" newline bitfld.long 0x08 4.--6. "EVE4_TC0_EMIF_PRIORITY,EVE4 TC0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PRUSS1_PRU0_EMIF_PRIORITY,PRU-ICSS1 PRU0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" line.long 0x0C "CTRL_CORE_EMIF_INITIATOR_PRIORITY_3,Register for priority settings for EMIF arbitration" rbitfld.long 0x0C 31. "RESERVED," "0,1" newline bitfld.long 0x0C 28.--30. "PRUSS1_PRU1_EMIF_PRIORITY,PRU-ICSS1 PRU1 priority setting" "highest prioroty,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" newline bitfld.long 0x0C 24.--26. "PRUSS2_PRU0_EMIF_PRIORITY,PRU-ICSS2 PRU0 priority setting" "highest prioroty,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 20.--22. "PRUSS2_PRU1_EMIF_PRIORITY,PRU-ICSS2 PRU1 priority setting" "highest prioroty,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" newline bitfld.long 0x0C 16.--18. "IPU1_EMIF_PRIORITY,IPU1 priority setting" "highest prioroty,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 15. "RESERVED," "0,1" newline bitfld.long 0x0C 12.--14. "IPU2_EMIF_PRIORITY,IPU2 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 11. "RESERVED," "0,1" newline bitfld.long 0x0C 8.--10. "DMA_SYSTEM_EMIF_PRIORITY,DMA SYSTEM priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x0C 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 0.--2. "EDMA_TC0_EMIF_PRIORITY,EDMA TC0 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" line.long 0x10 "CTRL_CORE_EMIF_INITIATOR_PRIORITY_4,Register for priority settings for EMIF arbitration" rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "EDMA_TC1_EMIF_PRIORITY,EDMA TC1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "DSS_EMIF_PRIORITY,DSS priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline bitfld.long 0x10 20.--22. "MLB_MMU1_EMIF_PRIORITY,MLB MMU1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 19. "RESERVED," "0,1" newline bitfld.long 0x10 16.--18. "PCIESS1_EMIF_PRIORITY,PCIeSS1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 12.--14. "PCIESS2_EMIF_PRIORITY,PCIeSS2 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline bitfld.long 0x10 8.--10. "VIP1_P1_P2_EMIF_PRIORITY,VIP1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 4.--6. "VIP2_P1_P2_EMIF_PRIORITY,VIP2 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 0.--2. "VIP3_P1_P2_EMIF_PRIORITY,VIP3 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" line.long 0x14 "CTRL_CORE_EMIF_INITIATOR_PRIORITY_5,Register for priority settings for EMIF arbitration" rbitfld.long 0x14 31. "RESERVED," "0,1" newline bitfld.long 0x14 28.--30. "VPE_P1_P2_EMIF_PRIORITY,VPE priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 24.--26. "MMC1_GPU_P1_EMIF_PRIORITY,MMC1 GPU P1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline bitfld.long 0x14 20.--22. "MMC2_GPU_P2_EMIF_PRIORITY,MMC2 GPU P2 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "BB2D_P1_P2_EMIF_PRIORITY,BB2D priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 15. "RESERVED," "0,1" newline bitfld.long 0x14 12.--14. "GMAC_SW_EMIF_PRIORITY,GMAC_SW priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "USB1_EMIF_PRIORITY,USB1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 7. "RESERVED," "0,1" newline bitfld.long 0x14 4.--6. "USB2_EMIF_PRIORITY,USB2 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x14 3. "RESERVED," "0,1" newline bitfld.long 0x14 0.--2. "USB3_EMIF_PRIORITY,USB3 priority setting" "highest priority,?,?,?,?,?,?,lowest priorty" line.long 0x18 "CTRL_CORE_EMIF_INITIATOR_PRIORITY_6,Register for priority settings for EMIF arbitration" rbitfld.long 0x18 31. "RESERVED," "0,1" newline bitfld.long 0x18 28.--30. "USB4_EMIF_PRIORITY,USB4 priority setting" "highest priority,?,?,?,?,?,?,lowest prority" newline hexmask.long.word 0x18 15.--27. 1. "RESERVED," newline bitfld.long 0x18 12.--14. "SATA_EMIF_PRIORITY,SATA priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x18 11. "RESERVED," "0,1" newline bitfld.long 0x18 8.--10. "EVE1_TC1_EMIF_PRIORITY,EVE1 TC1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x18 7. "RESERVED," "0,1" newline bitfld.long 0x18 4.--6. "EVE2_TC1_EMIF_PRIORITY,EVE2 TC1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" newline rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 0.--2. "EVE3_TC1_EMIF_PRIORITY,EVE3 TC1 priority setting" "highest priority,?,?,?,?,?,?,lowest priority" line.long 0x1C "CTRL_CORE_EMIF_INITIATOR_PRIORITY_7,Register for priority settings for EMIF arbitration" rbitfld.long 0x1C 31. "RESERVED," "0,1" newline bitfld.long 0x1C 28.--30. "EVE4_TC1_EMIF_PRIORITY,EVE4 TC1 priority setting0x0 = highest priority enum=HIGHESTPRIORITY" "0,1,2,3,4,5,6,7" newline hexmask.long 0x1C 0.--27. 1. "RESERVED," line.long 0x20 "CTRL_CORE_L3_INITIATOR_PRESSURE_1,Register for pressure settings for L3 arbitration" rbitfld.long 0x20 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 26.--27. "MPU_L3_PRESSURE,MPU pressure setting" "lowest,?,?,highest" newline hexmask.long.byte 0x20 19.--25. 1. "RESERVED," newline bitfld.long 0x20 17.--18. "DSP1_CFG_L3_PRESSURE,DSP1 CFG pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x20 11.--16. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x20 9.--10. "DSP2_CFG_L3_PRESSURE,DSP2 CFG pressure setting" "lowest,?,?,highest" newline hexmask.long.word 0x20 0.--8. 1. "RESERVED," line.long 0x24 "CTRL_CORE_L3_INITIATOR_PRESSURE_2,Register for pressure settings for L3 arbitration" hexmask.long.tbyte 0x24 14.--31. 1. "RESERVED," newline bitfld.long 0x24 12.--13. "IPU1_L3_PRESSURE,IPU1 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x24 11. "RESERVED," "0,1" newline bitfld.long 0x24 9.--10. "IPU2_L3_PRESSURE,IPU2 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x24 8. "RESERVED," "0,1" newline bitfld.long 0x24 6.--7. "PRUSS1_PRU0_L3_PRESSURE,PRU-ICSS1 PRU0 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x24 5. "RESERVED," "0,1" newline bitfld.long 0x24 3.--4. "PRUSS1_PRU1_L3_PRESSURE,PRU-ICSS1 PRU1 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x24 2. "RESERVED," "0,1" newline bitfld.long 0x24 0.--1. "PRUSS2_PRU0_L3_PRESSURE,PRU-ICSS2 PRU0 pressure setting" "lowest,?,?,highest" line.long 0x28 "CTRL_CORE_L3_INITIATOR_PRESSURE_3,Register for pressure settings for L3 arbitration" rbitfld.long 0x28 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 26.--27. "PRUSS2_PRU1_L3_PRESSURE,PRU-ICSS2 PRU1 pressure setting0x0 = lowest enum=LOWEST" "0,1,2,3" newline hexmask.long 0x28 0.--25. 1. "RESERVED," line.long 0x2C "CTRL_CORE_L3_INITIATOR_PRESSURE_4,Register for pressure settings for L3 arbitration" hexmask.long.byte 0x2C 25.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 23.--24. "GPU_P1_L3_PRESSURE,GPU P1 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x2C 22. "RESERVED," "0,1" newline bitfld.long 0x2C 20.--21. "GPU_P2_L3_PRESSURE,GPU P2 pressure setting" "lowest,?,?,highest" newline hexmask.long.tbyte 0x2C 0.--19. 1. "RESERVED," line.long 0x30 "CTRL_CORE_L3_INITIATOR_PRESSURE_5,Register for pressure settings for L3 arbitration" hexmask.long 0x30 5.--31. 1. "RESERVED," newline bitfld.long 0x30 3.--4. "SATA_L3_PRESSURE,SATA pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x30 2. "RESERVED," "0,1" newline bitfld.long 0x30 0.--1. "MMC1_L3_PRESSURE,MMC1 pressure setting" "lowest,?,?,highest" line.long 0x34 "CTRL_CORE_L3_INITIATOR_PRESSURE_6,Register for pressure settings for L3 arbitration" hexmask.long.word 0x34 19.--31. 1. "RESERVED," newline bitfld.long 0x34 17.--18. "MMC2_L3_PRESSURE,MMC2 pressure setting" "lowest,?,?,highest" newline bitfld.long 0x34 15.--16. "USB1_L3_PRESSURE,USB1 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x34 14. "RESERVED," "0,1" newline bitfld.long 0x34 12.--13. "USB2_L3_PRESSURE,USB2 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x34 11. "RESERVED," "0,1" newline bitfld.long 0x34 9.--10. "USB3_L3_PRESSURE,USB3 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x34 8. "RESERVED," "0,1" newline bitfld.long 0x34 6.--7. "USB4_L3_PRESSURE,USB4 pressure setting" "lowest,?,?,highest" newline rbitfld.long 0x34 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x458++0x1B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_0,Standard Fuse OPP VDD_iva [31:0]" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_1,Standard Fuse OPP VDD_iva [63:32]" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_2,Standard Fuse OPP VDD_iva [95:64]" line.long 0x0C "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_3,Standard Fuse OPP VDD_iva [127:96]" line.long 0x10 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_4,Standard Fuse OPP VDD_iva [159:128]" line.long 0x14 "CTRL_CORE_LDOVBB_DSPEVE_VOLTAGE_CTRL,DSPEVE Voltage Body Bias LDO Control register" hexmask.long.tbyte 0x14 11.--31. 1. "RESERVED," newline bitfld.long 0x14 10. "LDOVBBDSPEVE_FBB_MUX_CTRL,Override control of EFUSE Forward Body Bias voltage value" "efuse value is used,override value is used" newline rbitfld.long 0x14 5.--9. "LDOVBBDSPEVE_FBB_VSET_IN,EFUSE Forward Body Bias voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "LDOVBBDSPEVE_FBB_VSET_OUT,Override value for Forward Body Bias voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "CTRL_CORE_LDOVBB_IVA_VOLTAGE_CTRL,IVA Voltage Body Bias LDO Control register" hexmask.long.tbyte 0x18 11.--31. 1. "RESERVED," newline bitfld.long 0x18 10. "LDOVBBIVA_FBB_MUX_CTRL,Override control of EFUSE Forward Body Bias voltage value" "efuse value is used,override value is used" newline rbitfld.long 0x18 5.--9. "LDOVBBIVA_FBB_VSET_IN,EFUSE Forward Body Bias voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 0.--4. "LDOVBBIVA_FBB_VSET_OUT,Override value for Forward Body Bias voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x4E8++0x1B line.long 0x00 "CTRL_CORE_CUST_FUSE_UID_0,Customer Fuse keys" line.long 0x04 "CTRL_CORE_CUST_FUSE_UID_1,Customer Fuse keys" line.long 0x08 "CTRL_CORE_CUST_FUSE_UID_2,Customer Fuse keys" line.long 0x0C "CTRL_CORE_CUST_FUSE_UID_3,Customer Fuse keys" line.long 0x10 "CTRL_CORE_CUST_FUSE_UID_4,Customer Fuse keys" line.long 0x14 "CTRL_CORE_CUST_FUSE_UID_5,Customer Fuse keys" line.long 0x18 "CTRL_CORE_CUST_FUSE_UID_6,Customer Fuse keys" rgroup.long 0x508++0x03 line.long 0x00 "CTRL_CORE_CUST_FUSE_PCIE_ID_0,Customer Fuse keys" rgroup.long 0x510++0x13 line.long 0x00 "CTRL_CORE_CUST_FUSE_USB_ID_0,Customer Fuse keys" line.long 0x04 "CTRL_CORE_MAC_ID_SW_0,Standard Fuse keys. MAC ID_1 [63:32]" hexmask.long.byte 0x04 25.--31. 1. "RESERVED," newline hexmask.long 0x04 0.--24. 1. "STD_FUSE_MAC_ID_SW_0,This bit field contains the last three octets (NIC specific) of the MAC address of the GMAC_SW port 0" line.long 0x08 "CTRL_CORE_MAC_ID_SW_1,Standard Fuse keys. MAC ID_1 [31:0]" hexmask.long.byte 0x08 25.--31. 1. "RESERVED," newline hexmask.long 0x08 0.--24. 1. "STD_FUSE_MAC_ID_SW_1,This bit field contains the first three octets (the OUI) of the MAC address of the GMAC_SW port 0" line.long 0x0C "CTRL_CORE_MAC_ID_SW_2,Standard Fuse keys. MAC ID_2 [63:32]" hexmask.long.byte 0x0C 25.--31. 1. "RESERVED," newline hexmask.long 0x0C 0.--24. 1. "STD_FUSE_MAC_ID_SW_2,This bit field contains the last three octets (NIC specific) of the MAC address of the GMAC_SW port 1" line.long 0x10 "CTRL_CORE_MAC_ID_SW_3,Standard Fuse keys. MAC ID_2 [31:0]" hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "STD_FUSE_MAC_ID_SW_3,This bit field contains the first three octets (the OUI) of the MAC address of the GMAC_SW port 1" group.long 0x534++0x07 line.long 0x00 "CTRL_CORE_SMA_SW_1,OCP Spare Register" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 26. "RGMII2_ID_MODE_N,Ethernet RGMII port 2 internal delay on transmit(SR2.0)" "Internal delay enabled,Internal delay disabled" newline bitfld.long 0x00 25. "RGMII1_ID_MODE_N,Ethernet RGMII port 1 internal delay on transmit(SR2.0)" "Internal delay enabled,Internal delay disabled" newline bitfld.long 0x00 24. "DSS_CH2_ON_OFF,DSS Channel 2 Pixel clock control On/Off" "HSYNC and VSYNC are driven on opposite edges of..,HSYNC and VSYNC are driven according to bit.." newline bitfld.long 0x00 23. "DSS_CH1_ON_OFF,DSS Channel 1 Pixel clock control On/Off" "HSYNC and VSYNC are driven on opposite edges of..,HSYNC and VSYNC are driven according to bit.." newline bitfld.long 0x00 22. "DSS_CH0_ON_OFF,DSS Channel 0 Pixel clock control On/Off" "HSYNC and VSYNC are driven on opposite edges of..,HSYNC and VSYNC are driven according to bit.." newline bitfld.long 0x00 21. "DSS_CH2_IPC,DSS Channel 2 IPC control" "Data is driven on the LCD data lines on the..,Data is driven on the LCD data lines on the.." newline bitfld.long 0x00 20. "DSS_CH1_IPC,DSS Channel 1 IPC control" "Data is driven on the LCD data lines on the..,Data is driven on the LCD data lines on the.." newline bitfld.long 0x00 19. "DSS_CH0_IPC,DSS Channel 0 IPC control" "Data is driven on the LCD data lines on the..,Data is driven on the LCD data lines on the.." newline bitfld.long 0x00 18. "DSS_CH2_RF,DSS Channel 2 Rise/Fall control" "HSYNC and VSYNC are driven on falling edge of..,HSYNC and VSYNC are driven on rising edge of.." newline bitfld.long 0x00 17. "DSS_CH1_RF,DSS Channel 1 Rise/Fall control" "HSYNC and VSYNC are driven on falling edge of..,HSYNC and VSYNC are driven on rising edge of.." newline bitfld.long 0x00 16. "DSS_CH0_RF,DSS Channel 0 Rise/Fall control" "HSYNC and VSYNC are driven on falling edge of..,HSYNC and VSYNC are driven on rising edge of.." newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "VIP3_CLK_INV_PORT_1A,VIP3 Slice 1 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 9. "VIP3_CLK_INV_PORT_2A,VIP3 Slice 0 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 8. "VPE_CLK_DIV_BY_2_EN,Selects alternative clock source for VPE" "Default clock source from DPLL_CORE is selected,Alternative clock source from DPLL_VIDEO1 is.." newline bitfld.long 0x00 7. "VIP2_CLK_INV_PORT_2B,VIP2 Slice 1 Clock inversion for Port B enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 6. "VIP2_CLK_INV_PORT_1B,VIP2 Slice 0 Clock inversion for Port B enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 5. "VIP2_CLK_INV_PORT_2A,VIP2 Slice 1 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 4. "VIP2_CLK_INV_PORT_1A,VIP2 Slice 0 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 3. "VIP1_CLK_INV_PORT_2B,VIP1 Slice 1 Clock inversion for Port B enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 2. "VIP1_CLK_INV_PORT_1B,VIP1 Slice 0 Clock inversion for Port B enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 1. "VIP1_CLK_INV_PORT_2A,VIP1 Slice 1 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" newline bitfld.long 0x00 0. "VIP1_CLK_INV_PORT_1A,VIP1 Slice 0 Clock inversion for Port A enable" "clock inversion is disabled,clock inversion is enabled" line.long 0x04 "CTRL_CORE_DSS_PLL_CONTROL,DSS PLLs Mux control register" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 9.--10. "SDVENC_CLK_SELECTION,SDVENC_CLK mux configuration" "HDMI_CLK,..,?..." newline bitfld.long 0x04 7.--8. "DSI1_C_CLK1_SELECTION,DSI1_C_CLK1 mux configuration" "DPLL_VIDEO2,DPLL_VIDEO1,DPLL_HDMI,?..." newline bitfld.long 0x04 5.--6. "DSI1_B_CLK1_SELECTION,DSI1_B_CLK1 mux configuration" "DPLL_VIDEO1,DPLL_VIDEO2,DPLL_HDMI,DPLL_ABE" newline bitfld.long 0x04 3.--4. "DSI1_A_CLK1_SELECTION,DSI1_A_CLK1 mux configuration" "DPLL_VIDEO1,DPLL_HDMI,?..." newline bitfld.long 0x04 2. "PLL_HDMI_DSS_CONTROL_DISABLE,HDMI PLL disable" "PLL enabled,PLL disabled" newline bitfld.long 0x04 1. "PLL_VIDEO2_DSS_CONTROL_DISABLE,VIDEO2 PLL disable" "PLL enabled,PLL disabled" newline bitfld.long 0x04 0. "PLL_VIDEO1_DSS_CONTROL_DISABLE,VIDEO1 PLL disable" "PLL enabled,PLL disabled" group.long 0x540++0x4F line.long 0x00 "CTRL_CORE_MMR_LOCK_1,Register to lock memory region starting at address offset 0x0000 0100 and ending at address offset 0x0000 079F" line.long 0x04 "CTRL_CORE_MMR_LOCK_2,Register to lock memory region starting at address offset 0x0000 07A0 and ending at address offset 0x0000 0D9F" line.long 0x08 "CTRL_CORE_MMR_LOCK_3,Register to lock memory region starting at address offset 0x0000 0DA0 and ending at address offset 0x0000 0FFF" line.long 0x0C "CTRL_CORE_MMR_LOCK_4,Register to lock memory region starting at address offset 0x0000 1000 and ending at address offset 0x0000 13FF" line.long 0x10 "CTRL_CORE_MMR_LOCK_5,Register to lock memory region starting at address offset 0x0000 1400 and ending at address offset 0x0000 1FFF" line.long 0x14 "CTRL_CORE_CONTROL_IO_1,Register to configure some IP level signals" hexmask.long.word 0x14 21.--31. 1. "RESERVED," newline bitfld.long 0x14 20. "MMU2_DISABLE,MMU2 DISABLE setting" "0,1" newline rbitfld.long 0x14 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 16. "MMU1_DISABLE,MMU1 DISABLE setting" "0,1" newline rbitfld.long 0x14 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 12.--13. "TC1_DEFAULT_BURST_SIZE,EDMA TC1 Default Burst Size (DBS) setting" "16 byte burst,32 byte burst,64 byte burst,128 byte burst" newline rbitfld.long 0x14 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 8.--9. "TC0_DEFAULT_BURST_SIZE,EDMA TC0 Default Burst Size (DBS) setting" "16 byte burst,32 byte burst,64 byte burst,128 byte burst" newline rbitfld.long 0x14 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 4.--5. "GMII2_SEL,GMII2 selection setting" "GMII/MII,RMII,RGMII,Reserved" newline rbitfld.long 0x14 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0.--1. "GMII1_SEL,GMII1 selection setting" "GMII/MII,RMII,RGMII,Reserved" line.long 0x18 "CTRL_CORE_CONTROL_IO_2,Register to configure some IP level signals" hexmask.long.byte 0x18 24.--31. 1. "RESERVED," newline bitfld.long 0x18 23. "GMAC_RESET_ISOLATION_ENABLE,Reset isolation enable setting" "Reset is not isolated,Reset is isolated" newline bitfld.long 0x18 22. "PWMSS3_TBCLKEN,PWMSS3 CLOCK ENABLE setting" "0,1" newline bitfld.long 0x18 21. "PWMSS2_TBCLKEN,PWMSS2 CLOCK ENABLE setting" "0,1" newline bitfld.long 0x18 20. "PWMSS1_TBCLKEN,PWMSS1 CLOCK ENABLE setting" "0,1" newline rbitfld.long 0x18 14.--19. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x18 13. "PCIE_1LANE_2LANE_SELECTION,Reserved" "0,1" newline rbitfld.long 0x18 11.--12. "RESERVED," "0,1,2,3" newline bitfld.long 0x18 8.--10. "QSPI_MEMMAPPED_CS,QSPI CS MAPPING setting" "The QSPI configuration registers are accessed,An external device connected to CS0 is accessed,An external device connected to CS1 is accessed,An external device connected to CS2 is accessed..,?..." newline rbitfld.long 0x18 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x18 5. "DCAN2_RAMINIT_START,DCAN2 RAM INIT START setting To initialize DCAN2 RAM the bit should be set to 0x1" "0,1" newline bitfld.long 0x18 4. "DSS_DESHDCP_DISABLE,DSS DESHDCP DISABLE setting" "0,1" newline bitfld.long 0x18 3. "DCAN1_RAMINIT_START,DCAN1 RAM INIT START setting To initialize DCAN1 RAM the bit should be set to 0x1" "0,1" newline bitfld.long 0x18 2. "DCAN2_RAMINIT_DONE,DCAN2 RAM INIT DONE status" "0,1" newline bitfld.long 0x18 1. "DCAN1_RAMINIT_DONE,DCAN1 RAM INIT DONE status" "0,1" newline bitfld.long 0x18 0. "DSS_DESHDCP_CLKEN,DSS DESHDCP CLOCK ENABLE setting" "0,1" line.long 0x1C "CTRL_CORE_CONTROL_DSP1_RST_VECT,Register for storing DSP1 reset vector" bitfld.long 0x1C 27.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 24.--26. "DSP1_NUM_MM,Number of DSP instances in the SoC" "?,1,2,?..." newline rbitfld.long 0x1C 22.--23. "RESERVED," "0,1,2,3" newline hexmask.long.tbyte 0x1C 0.--21. 1. "DSP1_RST_VECT,DSP1 reset vector address" line.long 0x20 "CTRL_CORE_CONTROL_DSP2_RST_VECT,Register for storing DSP2 reset vector" bitfld.long 0x20 27.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 24.--26. "DSP2_NUM_MM,Number of DSP instances in the SoC" "?,1,2,?..." newline rbitfld.long 0x20 22.--23. "RESERVED," "0,1,2,3" newline hexmask.long.tbyte 0x20 0.--21. 1. "DSP2_RST_VECT,DSP2 reset vector address" line.long 0x24 "CTRL_CORE_STD_FUSE_OPP_BGAP_DSPEVE,Trim values for DSPEVE associated bandgap" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x24 8.--15. 1. "STD_FUSE_OPP_BGAP_DSPEVE_0,Trim values for DSPEVE associated bandgap" newline hexmask.long.byte 0x24 0.--7. 1. "STD_FUSE_OPP_BGAP_DSPEVE_1,Trim values for DSPEVE associated bandgap" line.long 0x28 "CTRL_CORE_STD_FUSE_OPP_BGAP_IVA,Trim values for IVA associated temperature sensor and bandgap" hexmask.long.byte 0x28 24.--31. 1. "STD_FUSE_OPP_BGAP_IVA_0,Trim values for IVA associated temperature sensor and bandgap" newline hexmask.long.byte 0x28 16.--23. 1. "STD_FUSE_OPP_BGAP_IVA_1,Trim values for IVA associated temperature sensor and bandgap" newline hexmask.long.byte 0x28 8.--15. 1. "STD_FUSE_OPP_BGAP_IVA_2,Trim values for IVA associated temperature sensor and bandgap" newline hexmask.long.byte 0x28 0.--7. 1. "STD_FUSE_OPP_BGAP_IVA_3,Trim values for IVA associated temperature sensor and bandgap" line.long 0x2C "CTRL_CORE_LDOSRAM_DSPEVE_VOLTAGE_CTRL,DSPEVE SRAM LDO Control register" rbitfld.long 0x2C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 26. "LDOSRAMDSPEVE_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMDSPEVE_RETMODE_MUX_CTRL_0,LDOSRAMDSPEVE_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x2C 21.--25. "LDOSRAMDSPEVE_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 16.--20. "LDOSRAMDSPEVE_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x2C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 10. "LDOSRAMDSPEVE_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMDSPEVE_ACTMODE_MUX_CTRL_0,LDOSRAMDSPEVE_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x2C 5.--9. "LDOSRAMDSPEVE_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 0.--4. "LDOSRAMDSPEVE_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x30 "CTRL_CORE_LDOSRAM_IVA_VOLTAGE_CTRL,IVA SRAM LDO Control register" rbitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x30 26. "LDOSRAMIVA_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMIVA_RETMODE_MUX_CTRL_0,LDOSRAMIVA_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x30 21.--25. "LDOSRAMIVA_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x30 16.--20. "LDOSRAMIVA_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x30 10. "LDOSRAMIVA_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMIVA_ACTMODE_MUX_CTRL_0,LDOSRAMIVA_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x30 5.--9. "LDOSRAMIVA_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x30 0.--4. "LDOSRAMIVA_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x34 "CTRL_CORE_TEMP_SENSOR_DSPEVE,Control VBGAPTS temperature sensor and thermal comparator shutdown register" hexmask.long.tbyte 0x34 12.--31. 1. "RESERVED," newline bitfld.long 0x34 11. "BGAP_TMPSOFF_DSPEVE,This bit indicates the temperature sensor state" "0,1" newline bitfld.long 0x34 10. "BGAP_EOCZ_DSPEVE,ADC End of Conversion" "0,1" newline hexmask.long.word 0x34 0.--9. 1. "BGAP_DTEMP_DSPEVE,Temperature data from the ADC" line.long 0x38 "CTRL_CORE_TEMP_SENSOR_IVA,Control VBGAPTS temperature sensor and thermal comparator shutdown register" hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED," newline bitfld.long 0x38 11. "BGAP_TMPSOFF_IVA,This bit indicates the temperature sensor state" "0,1" newline bitfld.long 0x38 10. "BGAP_EOCZ_IVA,ADC End of Conversion" "0,1" newline hexmask.long.word 0x38 0.--9. 1. "BGAP_DTEMP_IVA,Temperature data from the ADC" line.long 0x3C "CTRL_CORE_BANDGAP_MASK_2,bgap_mask" hexmask.long.word 0x3C 23.--31. 1. "RESERVED," newline bitfld.long 0x3C 22. "FREEZE_IVA,Freeze the FIFO IVA" "No operation,Freeze the FIFO" newline bitfld.long 0x3C 21. "FREEZE_DSPEVE,Freeze the FIFO DSPEVE" "No operation,Freeze the FIFO" newline rbitfld.long 0x3C 20. "RESERVED," "0,1" newline bitfld.long 0x3C 19. "CLEAR_IVA,Reset the FIFO IVA" "No operation,Reset the FIFO" newline bitfld.long 0x3C 18. "CLEAR_DSPEVE,Reset the FIFO DSPEVE" "No operation,Reset the FIFO" newline hexmask.long.word 0x3C 4.--17. 1. "RESERVED," newline bitfld.long 0x3C 3. "MASK_HOT_IVA,Mask for hot event IVA" "hot event is masked,hot event is not masked" newline bitfld.long 0x3C 2. "MASK_COLD_IVA,Mask for cold event IVA" "cold event is masked,cold event is not masked" newline bitfld.long 0x3C 1. "MASK_HOT_DSPEVE,Mask for hot event DSPEVE" "hot event is masked,hot event is not masked" newline bitfld.long 0x3C 0. "MASK_COLD_DSPEVE,Mask for cold event DSPEVE" "cold event is masked,cold event is not masked" line.long 0x40 "CTRL_CORE_BANDGAP_THRESHOLD_DSPEVE,BGAP THRESHOLD DSPEVE" rbitfld.long 0x40 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x40 16.--25. 1. "THOLD_HOT_DSPEVE,Value for the high temperature threshold" newline rbitfld.long 0x40 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x40 0.--9. 1. "THOLD_COLD_DSPEVE,Value for the low temperature threshold" line.long 0x44 "CTRL_CORE_BANDGAP_THRESHOLD_IVA,BGAP THRESHOLD IVA" rbitfld.long 0x44 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x44 16.--25. 1. "THOLD_HOT_IVA,Value for the high temperature threshold" newline rbitfld.long 0x44 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x44 0.--9. 1. "THOLD_COLD_IVA,Value for the low temperature threshold" line.long 0x48 "CTRL_CORE_BANDGAP_TSHUT_DSPEVE,BGAP TSHUT THRESHOLD IVA" bitfld.long 0x48 31. "RESERVED,Software should not modify this bit" "0,1" newline rbitfld.long 0x48 26.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--25. 1. "TSHUT_HOT_DSPEVE,tshut value hot Software should not modify this bit field" newline rbitfld.long 0x48 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x48 0.--9. 1. "TSHUT_COLD_DSPEVE,tshut value cold Software should not modify this bit field" line.long 0x4C "CTRL_CORE_BANDGAP_TSHUT_IVA,BGAP TSHUT THRESHOLD IVA" bitfld.long 0x4C 31. "RESERVED,Software should not modify this bit" "0,1" newline rbitfld.long 0x4C 26.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--25. 1. "TSHUT_HOT_IVA,tshut value hot Software should not modify this bit field" newline rbitfld.long 0x4C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x4C 0.--9. 1. "TSHUT_COLD_IVA,tshut value cold Software should not modify this bit field" rgroup.long 0x598++0x07 line.long 0x00 "CTRL_CORE_BANDGAP_STATUS_2,BGAP STATUS" hexmask.long 0x00 4.--31. 1. "RESERVED," newline bitfld.long 0x00 3. "HOT_IVA,Event for hot temperature iva bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 2. "COLD_IVA,Event for cold temperature iva bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 1. "HOT_DSPEVE,Event for hot temperature dspeve bandgap when '1'" "event not detected,event detected" newline bitfld.long 0x00 0. "COLD_DSPEVE,Event for cold temperature dspeve bandgap when '1'" "event not detected,event detected" line.long 0x04 "CTRL_CORE_DTEMP_DSPEVE_0,TAGGED TEMPERATURE DSPEVE DOMAIN" hexmask.long.tbyte 0x04 10.--31. 1. "DTEMP_TAG_DSPEVE_0,tag" newline hexmask.long.word 0x04 0.--9. 1. "DTEMP_TEMPERATURE_DSPEVE_0,temperature" rgroup.long 0x5AC++0x07 line.long 0x00 "CTRL_CORE_DTEMP_DSPEVE_4,TAGGED TEMPERATURE DSPEVE DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_DSPEVE_4,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_DSPEVE_4,temperature" line.long 0x04 "CTRL_CORE_DTEMP_IVA_0,TAGGED TEMPERATURE IVA DOMAIN" hexmask.long.tbyte 0x04 10.--31. 1. "DTEMP_TAG_IVA_0,tag" newline hexmask.long.word 0x04 0.--9. 1. "DTEMP_TEMPERATURE_IVA_0,temperature" rgroup.long 0x5C0++0x03 line.long 0x00 "CTRL_CORE_DTEMP_IVA_4,TAGGED TEMPERATURE IVA DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_IVA_4,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_IVA_4,temperature" rgroup.long 0x5CC++0x0B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VMIN_IVA_2,This register contains the AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_NOM" bitfld.long 0x00 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x00 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_NOM which has to be written to theCTRL_CORE_LDOVBB_IVA_VOLTAGE_CTRL [4:0] LDOVBBIVA_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x00 0.--11. 1. "STD_FUSE_OPP_VMIN_IVA_2,AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_NOM" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VMIN_IVA_3,This register contains the AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_OD" bitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x04 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_OD which has to be written to theCTRL_CORE_LDOVBB_IVA_VOLTAGE_CTRL [4:0] LDOVBBIVA_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x04 0.--11. 1. "STD_FUSE_OPP_VMIN_IVA_3,AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_OD" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VMIN_IVA_4,This register contains the AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_HIGH" bitfld.long 0x08 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x08 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_HIGH which has to be written to theCTRL_CORE_LDOVBB_IVA_VOLTAGE_CTRL [4:0] LDOVBBIVA_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x08 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--11. 1. "STD_FUSE_OPP_VMIN_IVA_4,AVS Class 0 voltage value for the vdd_iva voltage rail when running at OPP_HIGH" rgroup.long 0x5E0++0x0B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VMIN_DSPEVE_2,This register contains the AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_NOM" bitfld.long 0x00 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x00 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_NOM which has to be written to theCTRL_CORE_LDOVBB_DSPEVE_VOLTAGE_CTRL [4:0] LDOVBBDSPEVE_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x00 0.--11. 1. "STD_FUSE_OPP_VMIN_DSPEVE_2,AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_NOM" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VMIN_DSPEVE_3,This register contains the AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_OD" bitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x04 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_OD which has to be written to theCTRL_CORE_LDOVBB_DSPEVE_VOLTAGE_CTRL [4:0] LDOVBBDSPEVE_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x04 0.--11. 1. "STD_FUSE_OPP_VMIN_DSPEVE_3,AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_OD" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VMIN_DSPEVE_4,This register contains the AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_HIGH" bitfld.long 0x08 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x08 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_HIGH which has to be written to theCTRL_CORE_LDOVBB_DSPEVE_VOLTAGE_CTRL [4:0] LDOVBBDSPEVE_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x08 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--11. 1. "STD_FUSE_OPP_VMIN_DSPEVE_4,AVS Class 0 voltage value for the vdd_dspeve voltage rail when running at OPP_HIGH" rgroup.long 0x5F4++0x03 line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VMIN_CORE_2,This register contains the AVS Class 0 voltage value for the vdd voltage rail when running at OPP_NOM" hexmask.long.tbyte 0x00 12.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x00 0.--11. 1. "STD_FUSE_OPP_VMIN_CORE_2,AVS Class 0 voltage value for the vdd voltage rail when running at OPP_NOM" group.long 0x680++0x07 line.long 0x00 "CTRL_CORE_LDOSRAM_CORE_2_VOLTAGE_CTRL,CORE 2nd SRAM LDO Control register" rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 26. "LDOSRAMCORE_2_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMCORE_2_RETMODE_MUX_CTRL_0,LDOSRAMCORE_2_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x00 21.--25. "LDOSRAMCORE_2_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "LDOSRAMCORE_2_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 10. "LDOSRAMCORE_2_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMCORE_2_ACTMODE_MUX_CTRL_0,LDOSRAMCORE_2_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x00 5.--9. "LDOSRAMCORE_2_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--4. "LDOSRAMCORE_2_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "CTRL_CORE_LDOSRAM_CORE_3_VOLTAGE_CTRL,CORE 3rd SRAM LDO Control register" rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 26. "LDOSRAMCORE_3_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMCORE_3_RETMODE_MUX_CTRL_0,LDOSRAMCORE_3_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x04 21.--25. "LDOSRAMCORE_3_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 16.--20. "LDOSRAMCORE_3_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 10. "LDOSRAMCORE_3_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMCORE_3_ACTMODE_MUX_CTRL_0,LDOSRAMCORE_3_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x04 5.--9. "LDOSRAMCORE_3_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--4. "LDOSRAMCORE_3_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x68C++0x07 line.long 0x00 "CTRL_CORE_NMI_DESTINATION_1,Register for routing NMI interrupt to respective cores" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reserved" newline abitfld.long 0x00 16.--23. "IPU2_C1,Enable IPU2 CORE1 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" newline abitfld.long 0x00 8.--15. "IPU2_C0,Enable IPU2 CORE0 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" newline abitfld.long 0x00 0.--7. "IPU1_C1,Enable IPU1 CORE1 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" line.long 0x04 "CTRL_CORE_NMI_DESTINATION_2,Register for routing NMI interrupt to respective cores" abitfld.long 0x04 24.--31. "IPU1_C0,Enable IPU1 CORE0 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" newline abitfld.long 0x04 16.--23. "DSP2,Enable DSP2 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" newline abitfld.long 0x04 8.--15. "DSP1,Enable DSP1 to receive the NMI interrupt" "0x00=NMI disabled,0x01=NMI enabled" newline abitfld.long 0x04 0.--7. "MPU,Comes from Efuse (MPU_EN)" "0x00=NMI disabled,0x01=NMI enabled" group.long 0x698++0x03 line.long 0x00 "CTRL_CORE_IP_PRESSURE,Register to override the L3 pressure setting for the MLB module" hexmask.long 0x00 3.--31. 1. "RESERVED," newline bitfld.long 0x00 2. "MLB_L3_PRESSURE_ENABLE,Override enable for the MLB L3 pressure setting" "Overriding of the L3 pressure setting for the..,Overriding of the L3 pressure setting for the.." newline bitfld.long 0x00 0.--1. "MLB_L3_PRESSURE,MLB L3 pressure setting" "Lowest,?,?,Highest" rgroup.long 0x6A0++0x33 line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_0,Standard Fuse OPP VDD_DSPEVE [31:0]" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_1,Standard Fuse OPP VDD_DSPEVE [63:32]" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_2,Standard Fuse OPP VDD_DSPEVE [95:64]" line.long 0x0C "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_3,Standard Fuse OPP VDD_DSPEVE [127:96]" line.long 0x10 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_4,Standard Fuse OPP VDD_DSPEVE [159:128]" line.long 0x14 "CTRL_CORE_CUST_FUSE_SWRV_7,Customer Fuse keys" line.long 0x18 "CTRL_CORE_STD_FUSE_CALIBRATION_OVERRIDE_VALUE_0,Standard Fuse Calibration override value [31:0]" line.long 0x1C "CTRL_CORE_STD_FUSE_CALIBRATION_OVERRIDE_VALUE_1,Standard Fuse Calibration override value [63:32]" line.long 0x20 "CTRL_CORE_PCIE_POWER_STATE,Register to PCIe related controls" bitfld.long 0x20 31. "BYPASS_EN_APLL_PCIE,Bypass enable bit setting for APLL_PCIe" "0,1" newline bitfld.long 0x20 30. "CLKOOUTEN_APLL_PCIE,Clock output enable bit setting for APLL_PCIe" "0,1" newline rbitfld.long 0x20 26.--29. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 16.--25. 1. "EFUSE_TRIM_ACS_PCIE,MMR override capability for ACS_PCIe efuse trim bits" newline hexmask.long.word 0x20 0.--15. 1. "EFUSE_TRIM_PCIE_PLL,MMR override capability for PCIe PLL efuse trim bits" line.long 0x24 "CTRL_CORE_BOOTSTRAP,Register to view all the sysboot settings" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline bitfld.long 0x24 15. "DSP_CLOCK_DIVIDER,SR1.x Only:Divide factor for DSP clockSR2.0 Only:Permanently disables the internal PU/PD resistors on pads gpmc_a[27:24 " "0,1" newline bitfld.long 0x24 14. "RESERVED,For proper device operation a value of 0 is required on sysboot14" "0,1" newline bitfld.long 0x24 13. "BOOTDEVICESIZE,Select the size of the flash device on CS0" "8-bit,16-bit" newline bitfld.long 0x24 11.--12. "MUXCS0DEVICE,Select IC boot sequence to be executed from a multiplexed address and data device attached to CS0" "Non-muxed device attached,Addr-Data Mux device attached,Reserved,Reserved" newline bitfld.long 0x24 10. "BOOTWAITEN,Enable the monitoring on CS0 of the wait pin at IC reset release time for read accesses" "Wait pin is not monitored for read accesses,Wait pin is monitored for read accesses" newline bitfld.long 0x24 8.--9. "SPEEDSELECT,Indicates the SYS_CLK1 frequency (from osc0)" "Reserved,20 MHz,27 MHz,19.2 MHz" newline bitfld.long 0x24 6.--7. "SYSBOOT_76,Sector offset for the location of the redundant SBL images in QSPI.0x0: 64 KB offset0x1: 128 KB offset0x2: 256 KB offset0x3: 512 KB offset" "0,1,2,3" newline bitfld.long 0x24 0.--5. "BOOTMODE,SYSBOOT mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x28 "CTRL_CORE_MLB_SIG_IO_CTRL,Register to set the MLB's SIG IO characteristics" hexmask.long.word 0x28 22.--31. 1. "RESERVED," newline bitfld.long 0x28 16.--21. "SIG_NC_IN,efuse trim for Nmos impedance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x28 8.--13. "SIG_PC_IN,efuse trim for Pmos impedance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 7. "RESERVED," "0,1" newline bitfld.long 0x28 6. "SIG_REMOVE_SKEW,Adjust for skew generated by the receiver due to asymmetric inputs" "skew compensation is disabled,skew compensation is enabled" newline bitfld.long 0x28 5. "SIG_PWRDNRX,powerdown receiver active high" "Powered ON,Powered OFF" newline bitfld.long 0x28 4. "SIG_PWRDNTX,powerdown transmitter active high" "Powered ON,Powered OFF" newline bitfld.long 0x28 3. "SIG_EN_EXT_RES,disables internal resistors" "Disabled,Enabled" newline rbitfld.long 0x28 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" line.long 0x2C "CTRL_CORE_MLB_DAT_IO_CTRL,Register to set the MLB's DAT IO characteristics" hexmask.long.word 0x2C 22.--31. 1. "RESERVED," newline bitfld.long 0x2C 16.--21. "DAT_NC_IN,efuse trim for Nmos impedance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x2C 8.--13. "DAT_PC_IN,efuse trim for Pmos impedance" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 7. "RESERVED," "0,1" newline bitfld.long 0x2C 6. "DAT_REMOVE_SKEW,Adjust for skew generated by the receiver due to asymmetric inputs" "skew compensation is disabled,skew compensation is enabled" newline bitfld.long 0x2C 5. "DAT_PWRDNRX,powerdown receiver active high" "Powered ON,Powered OFF" newline bitfld.long 0x2C 4. "DAT_PWRDNTX,powerdown transmitter active high" "Powered ON,Powered OFF" newline bitfld.long 0x2C 3. "DAT_EN_EXT_RES,Enable/disable internal resistors" "Disabled,Enabled" newline rbitfld.long 0x2C 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" line.long 0x30 "CTRL_CORE_MLB_CLK_BG_CTRL,Register to set the MLB's clock receiver IO and bandgap characteristics" hexmask.long.word 0x30 17.--31. 1. "RESERVED," newline bitfld.long 0x30 16. "T_HYSTERISIS_EN,Hysterisis enable" "Disabled,Enabled" newline hexmask.long.byte 0x30 8.--15. 1. "RESERVED," newline bitfld.long 0x30 2.--7. "BG_TRIM,Trim values for MLB bandgap" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x30 1. "BG_PWRDN,MLB bandgap cell enable" "The MLB bandgap cell is powered (enabled),The MLB bandgap cell is disabled" newline bitfld.long 0x30 0. "CLK_PWRDN,Enable the MLB differential clock receiver" "MLB differential clock receiver is enabled,MLB differential clock receiver is disabled" group.long 0x7A0++0x5A7 line.long 0x00 "CTRL_CORE_EVE1_IRQ_0_1," hexmask.long.byte 0x00 25.--31. 1. "RESERVED," newline hexmask.long.word 0x00 16.--24. 1. "EVE1_IRQ_1," newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "EVE1_IRQ_0," line.long 0x04 "CTRL_CORE_EVE1_IRQ_2_3," hexmask.long.byte 0x04 25.--31. 1. "RESERVED," newline hexmask.long.word 0x04 16.--24. 1. "EVE1_IRQ_3," newline hexmask.long.byte 0x04 9.--15. 1. "RESERVED," newline hexmask.long.word 0x04 0.--8. 1. "EVE1_IRQ_2," line.long 0x08 "CTRL_CORE_EVE1_IRQ_4_5," hexmask.long.byte 0x08 25.--31. 1. "RESERVED," newline hexmask.long.word 0x08 16.--24. 1. "EVE1_IRQ_5," newline hexmask.long.byte 0x08 9.--15. 1. "RESERVED," newline hexmask.long.word 0x08 0.--8. 1. "EVE1_IRQ_4," line.long 0x0C "CTRL_CORE_EVE1_IRQ_6_7," hexmask.long.byte 0x0C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 16.--24. 1. "EVE1_IRQ_7," newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "EVE1_IRQ_6," line.long 0x10 "CTRL_CORE_EVE2_IRQ_0_1," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long.word 0x10 16.--24. 1. "EVE2_IRQ_1," newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "EVE2_IRQ_0," line.long 0x14 "CTRL_CORE_EVE2_IRQ_2_3," hexmask.long.byte 0x14 25.--31. 1. "RESERVED," newline hexmask.long.word 0x14 16.--24. 1. "EVE2_IRQ_3," newline hexmask.long.byte 0x14 9.--15. 1. "RESERVED," newline hexmask.long.word 0x14 0.--8. 1. "EVE2_IRQ_2," line.long 0x18 "CTRL_CORE_EVE2_IRQ_4_5," hexmask.long.byte 0x18 25.--31. 1. "RESERVED," newline hexmask.long.word 0x18 16.--24. 1. "EVE2_IRQ_5," newline hexmask.long.byte 0x18 9.--15. 1. "RESERVED," newline hexmask.long.word 0x18 0.--8. 1. "EVE2_IRQ_4," line.long 0x1C "CTRL_CORE_EVE2_IRQ_6_7," hexmask.long.byte 0x1C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1C 16.--24. 1. "EVE2_IRQ_7," newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C 0.--8. 1. "EVE2_IRQ_6," line.long 0x20 "CTRL_CORE_EVE3_IRQ_0_1," hexmask.long.byte 0x20 25.--31. 1. "RESERVED," newline hexmask.long.word 0x20 16.--24. 1. "EVE3_IRQ_1," newline hexmask.long.byte 0x20 9.--15. 1. "RESERVED," newline hexmask.long.word 0x20 0.--8. 1. "EVE3_IRQ_0," line.long 0x24 "CTRL_CORE_EVE3_IRQ_2_3," hexmask.long.byte 0x24 25.--31. 1. "RESERVED," newline hexmask.long.word 0x24 16.--24. 1. "EVE3_IRQ_3," newline hexmask.long.byte 0x24 9.--15. 1. "RESERVED," newline hexmask.long.word 0x24 0.--8. 1. "EVE3_IRQ_2," line.long 0x28 "CTRL_CORE_EVE3_IRQ_4_5," hexmask.long.byte 0x28 25.--31. 1. "RESERVED," newline hexmask.long.word 0x28 16.--24. 1. "EVE3_IRQ_5," newline hexmask.long.byte 0x28 9.--15. 1. "RESERVED," newline hexmask.long.word 0x28 0.--8. 1. "EVE3_IRQ_4," line.long 0x2C "CTRL_CORE_EVE3_IRQ_6_7," hexmask.long.byte 0x2C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2C 16.--24. 1. "EVE3_IRQ_7," newline hexmask.long.byte 0x2C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2C 0.--8. 1. "EVE3_IRQ_6," line.long 0x30 "CTRL_CORE_EVE4_IRQ_0_1," hexmask.long.byte 0x30 25.--31. 1. "RESERVED," newline hexmask.long.word 0x30 16.--24. 1. "EVE4_IRQ_1," newline hexmask.long.byte 0x30 9.--15. 1. "RESERVED," newline hexmask.long.word 0x30 0.--8. 1. "EVE4_IRQ_0," line.long 0x34 "CTRL_CORE_EVE4_IRQ_2_3," hexmask.long.byte 0x34 25.--31. 1. "RESERVED," newline hexmask.long.word 0x34 16.--24. 1. "EVE4_IRQ_3," newline hexmask.long.byte 0x34 9.--15. 1. "RESERVED," newline hexmask.long.word 0x34 0.--8. 1. "EVE4_IRQ_2," line.long 0x38 "CTRL_CORE_EVE4_IRQ_4_5," hexmask.long.byte 0x38 25.--31. 1. "RESERVED," newline hexmask.long.word 0x38 16.--24. 1. "EVE4_IRQ_5," newline hexmask.long.byte 0x38 9.--15. 1. "RESERVED," newline hexmask.long.word 0x38 0.--8. 1. "EVE4_IRQ_4," line.long 0x3C "CTRL_CORE_EVE4_IRQ_6_7," hexmask.long.byte 0x3C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3C 16.--24. 1. "EVE4_IRQ_7," newline hexmask.long.byte 0x3C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3C 0.--8. 1. "EVE4_IRQ_6," line.long 0x40 "CTRL_CORE_IPU1_IRQ_23_24," hexmask.long.byte 0x40 25.--31. 1. "RESERVED," newline hexmask.long.word 0x40 16.--24. 1. "IPU1_IRQ_24," newline hexmask.long.byte 0x40 9.--15. 1. "RESERVED," newline hexmask.long.word 0x40 0.--8. 1. "IPU1_IRQ_23," line.long 0x44 "CTRL_CORE_IPU1_IRQ_25_26," hexmask.long.byte 0x44 25.--31. 1. "RESERVED," newline hexmask.long.word 0x44 16.--24. 1. "IPU1_IRQ_26," newline hexmask.long.byte 0x44 9.--15. 1. "RESERVED," newline hexmask.long.word 0x44 0.--8. 1. "IPU1_IRQ_25," line.long 0x48 "CTRL_CORE_IPU1_IRQ_27_28," hexmask.long.byte 0x48 25.--31. 1. "RESERVED," newline hexmask.long.word 0x48 16.--24. 1. "IPU1_IRQ_28," newline hexmask.long.byte 0x48 9.--15. 1. "RESERVED," newline hexmask.long.word 0x48 0.--8. 1. "IPU1_IRQ_27," line.long 0x4C "CTRL_CORE_IPU1_IRQ_29_30," hexmask.long.byte 0x4C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x4C 16.--24. 1. "IPU1_IRQ_30," newline hexmask.long.byte 0x4C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x4C 0.--8. 1. "IPU1_IRQ_29," line.long 0x50 "CTRL_CORE_IPU1_IRQ_31_32," hexmask.long.byte 0x50 25.--31. 1. "RESERVED," newline hexmask.long.word 0x50 16.--24. 1. "IPU1_IRQ_32," newline hexmask.long.byte 0x50 9.--15. 1. "RESERVED," newline hexmask.long.word 0x50 0.--8. 1. "IPU1_IRQ_31," line.long 0x54 "CTRL_CORE_IPU1_IRQ_33_34," hexmask.long.byte 0x54 25.--31. 1. "RESERVED," newline hexmask.long.word 0x54 16.--24. 1. "IPU1_IRQ_34," newline hexmask.long.byte 0x54 9.--15. 1. "RESERVED," newline hexmask.long.word 0x54 0.--8. 1. "IPU1_IRQ_33," line.long 0x58 "CTRL_CORE_IPU1_IRQ_35_36," hexmask.long.byte 0x58 25.--31. 1. "RESERVED," newline hexmask.long.word 0x58 16.--24. 1. "IPU1_IRQ_36," newline hexmask.long.byte 0x58 9.--15. 1. "RESERVED," newline hexmask.long.word 0x58 0.--8. 1. "IPU1_IRQ_35," line.long 0x5C "CTRL_CORE_IPU1_IRQ_37_38," hexmask.long.byte 0x5C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x5C 16.--24. 1. "IPU1_IRQ_38," newline hexmask.long.byte 0x5C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x5C 0.--8. 1. "IPU1_IRQ_37," line.long 0x60 "CTRL_CORE_IPU1_IRQ_39_40," hexmask.long.byte 0x60 25.--31. 1. "RESERVED," newline hexmask.long.word 0x60 16.--24. 1. "IPU1_IRQ_40," newline hexmask.long.byte 0x60 9.--15. 1. "RESERVED," newline hexmask.long.word 0x60 0.--8. 1. "IPU1_IRQ_39," line.long 0x64 "CTRL_CORE_IPU1_IRQ_41_42," hexmask.long.byte 0x64 25.--31. 1. "RESERVED," newline hexmask.long.word 0x64 16.--24. 1. "IPU1_IRQ_42," newline hexmask.long.byte 0x64 9.--15. 1. "RESERVED," newline hexmask.long.word 0x64 0.--8. 1. "IPU1_IRQ_41," line.long 0x68 "CTRL_CORE_IPU1_IRQ_43_44," hexmask.long.byte 0x68 25.--31. 1. "RESERVED," newline hexmask.long.word 0x68 16.--24. 1. "IPU1_IRQ_44," newline hexmask.long.byte 0x68 9.--15. 1. "RESERVED," newline hexmask.long.word 0x68 0.--8. 1. "IPU1_IRQ_43," line.long 0x6C "CTRL_CORE_IPU1_IRQ_45_46," hexmask.long.byte 0x6C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x6C 16.--24. 1. "IPU1_IRQ_46," newline hexmask.long.byte 0x6C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x6C 0.--8. 1. "IPU1_IRQ_45," line.long 0x70 "CTRL_CORE_IPU1_IRQ_47_48," hexmask.long.byte 0x70 25.--31. 1. "RESERVED," newline hexmask.long.word 0x70 16.--24. 1. "IPU1_IRQ_48," newline hexmask.long.byte 0x70 9.--15. 1. "RESERVED," newline hexmask.long.word 0x70 0.--8. 1. "IPU1_IRQ_47," line.long 0x74 "CTRL_CORE_IPU1_IRQ_49_50," hexmask.long.byte 0x74 25.--31. 1. "RESERVED," newline hexmask.long.word 0x74 16.--24. 1. "IPU1_IRQ_50," newline hexmask.long.byte 0x74 9.--15. 1. "RESERVED," newline hexmask.long.word 0x74 0.--8. 1. "IPU1_IRQ_49," line.long 0x78 "CTRL_CORE_IPU1_IRQ_51_52," hexmask.long.byte 0x78 25.--31. 1. "RESERVED," newline hexmask.long.word 0x78 16.--24. 1. "IPU1_IRQ_52," newline hexmask.long.byte 0x78 9.--15. 1. "RESERVED," newline hexmask.long.word 0x78 0.--8. 1. "IPU1_IRQ_51," line.long 0x7C "CTRL_CORE_IPU1_IRQ_53_54," hexmask.long.byte 0x7C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x7C 16.--24. 1. "IPU1_IRQ_54," newline hexmask.long.byte 0x7C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x7C 0.--8. 1. "IPU1_IRQ_53," line.long 0x80 "CTRL_CORE_IPU1_IRQ_55_56," hexmask.long.byte 0x80 25.--31. 1. "RESERVED," newline hexmask.long.word 0x80 16.--24. 1. "IPU1_IRQ_56," newline hexmask.long.byte 0x80 9.--15. 1. "RESERVED," newline hexmask.long.word 0x80 0.--8. 1. "IPU1_IRQ_55," line.long 0x84 "CTRL_CORE_IPU1_IRQ_57_58," hexmask.long.byte 0x84 25.--31. 1. "RESERVED," newline hexmask.long.word 0x84 16.--24. 1. "IPU1_IRQ_58," newline hexmask.long.byte 0x84 9.--15. 1. "RESERVED," newline hexmask.long.word 0x84 0.--8. 1. "IPU1_IRQ_57," line.long 0x88 "CTRL_CORE_IPU1_IRQ_59_60," hexmask.long.byte 0x88 25.--31. 1. "RESERVED," newline hexmask.long.word 0x88 16.--24. 1. "IPU1_IRQ_60," newline hexmask.long.byte 0x88 9.--15. 1. "RESERVED," newline hexmask.long.word 0x88 0.--8. 1. "IPU1_IRQ_59," line.long 0x8C "CTRL_CORE_IPU1_IRQ_61_62," hexmask.long.byte 0x8C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x8C 16.--24. 1. "IPU1_IRQ_62," newline hexmask.long.byte 0x8C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x8C 0.--8. 1. "IPU1_IRQ_61," line.long 0x90 "CTRL_CORE_IPU1_IRQ_63_64," hexmask.long.byte 0x90 25.--31. 1. "RESERVED," newline hexmask.long.word 0x90 16.--24. 1. "IPU1_IRQ_64," newline hexmask.long.byte 0x90 9.--15. 1. "RESERVED," newline hexmask.long.word 0x90 0.--8. 1. "IPU1_IRQ_63," line.long 0x94 "CTRL_CORE_IPU1_IRQ_65_66," hexmask.long.byte 0x94 25.--31. 1. "RESERVED," newline hexmask.long.word 0x94 16.--24. 1. "IPU1_IRQ_66," newline hexmask.long.byte 0x94 9.--15. 1. "RESERVED," newline hexmask.long.word 0x94 0.--8. 1. "IPU1_IRQ_65," line.long 0x98 "CTRL_CORE_IPU1_IRQ_67_68," hexmask.long.byte 0x98 25.--31. 1. "RESERVED," newline hexmask.long.word 0x98 16.--24. 1. "IPU1_IRQ_68," newline hexmask.long.byte 0x98 9.--15. 1. "RESERVED," newline hexmask.long.word 0x98 0.--8. 1. "IPU1_IRQ_67," line.long 0x9C "CTRL_CORE_IPU1_IRQ_69_70," hexmask.long.byte 0x9C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x9C 16.--24. 1. "IPU1_IRQ_70," newline hexmask.long.byte 0x9C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x9C 0.--8. 1. "IPU1_IRQ_69," line.long 0xA0 "CTRL_CORE_IPU1_IRQ_71_72," hexmask.long.byte 0xA0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xA0 16.--24. 1. "IPU1_IRQ_72," newline hexmask.long.byte 0xA0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xA0 0.--8. 1. "IPU1_IRQ_71," line.long 0xA4 "CTRL_CORE_IPU1_IRQ_73_74," hexmask.long.byte 0xA4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xA4 16.--24. 1. "IPU1_IRQ_74," newline hexmask.long.byte 0xA4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xA4 0.--8. 1. "IPU1_IRQ_73," line.long 0xA8 "CTRL_CORE_IPU1_IRQ_75_76," hexmask.long.byte 0xA8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xA8 16.--24. 1. "IPU1_IRQ_76," newline hexmask.long.byte 0xA8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xA8 0.--8. 1. "IPU1_IRQ_75," line.long 0xAC "CTRL_CORE_IPU1_IRQ_77_78," hexmask.long.byte 0xAC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xAC 16.--24. 1. "IPU1_IRQ_78," newline hexmask.long.byte 0xAC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xAC 0.--8. 1. "IPU1_IRQ_77," line.long 0xB0 "CTRL_CORE_IPU1_IRQ_79_80," hexmask.long.tbyte 0xB0 9.--31. 1. "RESERVED," newline hexmask.long.word 0xB0 0.--8. 1. "IPU1_IRQ_79," line.long 0xB4 "CTRL_CORE_IPU2_IRQ_23_24," hexmask.long.byte 0xB4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xB4 16.--24. 1. "IPU2_IRQ_24," newline hexmask.long.byte 0xB4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xB4 0.--8. 1. "IPU2_IRQ_23," line.long 0xB8 "CTRL_CORE_IPU2_IRQ_25_26," hexmask.long.byte 0xB8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xB8 16.--24. 1. "IPU2_IRQ_26," newline hexmask.long.byte 0xB8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xB8 0.--8. 1. "IPU2_IRQ_25," line.long 0xBC "CTRL_CORE_IPU2_IRQ_27_28," hexmask.long.byte 0xBC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xBC 16.--24. 1. "IPU2_IRQ_28," newline hexmask.long.byte 0xBC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xBC 0.--8. 1. "IPU2_IRQ_27," line.long 0xC0 "CTRL_CORE_IPU2_IRQ_29_30," hexmask.long.byte 0xC0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xC0 16.--24. 1. "IPU2_IRQ_30," newline hexmask.long.byte 0xC0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xC0 0.--8. 1. "IPU2_IRQ_29," line.long 0xC4 "CTRL_CORE_IPU2_IRQ_31_32," hexmask.long.byte 0xC4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xC4 16.--24. 1. "IPU2_IRQ_32," newline hexmask.long.byte 0xC4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xC4 0.--8. 1. "IPU2_IRQ_31," line.long 0xC8 "CTRL_CORE_IPU2_IRQ_33_34," hexmask.long.byte 0xC8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xC8 16.--24. 1. "IPU2_IRQ_34," newline hexmask.long.byte 0xC8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xC8 0.--8. 1. "IPU2_IRQ_33," line.long 0xCC "CTRL_CORE_IPU2_IRQ_35_36," hexmask.long.byte 0xCC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xCC 16.--24. 1. "IPU2_IRQ_36," newline hexmask.long.byte 0xCC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xCC 0.--8. 1. "IPU2_IRQ_35," line.long 0xD0 "CTRL_CORE_IPU2_IRQ_37_38," hexmask.long.byte 0xD0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xD0 16.--24. 1. "IPU2_IRQ_38," newline hexmask.long.byte 0xD0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xD0 0.--8. 1. "IPU2_IRQ_37," line.long 0xD4 "CTRL_CORE_IPU2_IRQ_39_40," hexmask.long.byte 0xD4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xD4 16.--24. 1. "IPU2_IRQ_40," newline hexmask.long.byte 0xD4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xD4 0.--8. 1. "IPU2_IRQ_39," line.long 0xD8 "CTRL_CORE_IPU2_IRQ_41_42," hexmask.long.byte 0xD8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xD8 16.--24. 1. "IPU2_IRQ_42," newline hexmask.long.byte 0xD8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xD8 0.--8. 1. "IPU2_IRQ_41," line.long 0xDC "CTRL_CORE_IPU2_IRQ_43_44," hexmask.long.byte 0xDC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xDC 16.--24. 1. "IPU2_IRQ_44," newline hexmask.long.byte 0xDC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xDC 0.--8. 1. "IPU2_IRQ_43," line.long 0xE0 "CTRL_CORE_IPU2_IRQ_45_46," hexmask.long.byte 0xE0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xE0 16.--24. 1. "IPU2_IRQ_46," newline hexmask.long.byte 0xE0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xE0 0.--8. 1. "IPU2_IRQ_45," line.long 0xE4 "CTRL_CORE_IPU2_IRQ_47_48," hexmask.long.byte 0xE4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xE4 16.--24. 1. "IPU2_IRQ_48," newline hexmask.long.byte 0xE4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xE4 0.--8. 1. "IPU2_IRQ_47," line.long 0xE8 "CTRL_CORE_IPU2_IRQ_49_50," hexmask.long.byte 0xE8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xE8 16.--24. 1. "IPU2_IRQ_50," newline hexmask.long.byte 0xE8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xE8 0.--8. 1. "IPU2_IRQ_49," line.long 0xEC "CTRL_CORE_IPU2_IRQ_51_52," hexmask.long.byte 0xEC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xEC 16.--24. 1. "IPU2_IRQ_52," newline hexmask.long.byte 0xEC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xEC 0.--8. 1. "IPU2_IRQ_51," line.long 0xF0 "CTRL_CORE_IPU2_IRQ_53_54," hexmask.long.byte 0xF0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xF0 16.--24. 1. "IPU2_IRQ_54," newline hexmask.long.byte 0xF0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xF0 0.--8. 1. "IPU2_IRQ_53," line.long 0xF4 "CTRL_CORE_IPU2_IRQ_55_56," hexmask.long.byte 0xF4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xF4 16.--24. 1. "IPU2_IRQ_56," newline hexmask.long.byte 0xF4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xF4 0.--8. 1. "IPU2_IRQ_55," line.long 0xF8 "CTRL_CORE_IPU2_IRQ_57_58," hexmask.long.byte 0xF8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xF8 16.--24. 1. "IPU2_IRQ_58," newline hexmask.long.byte 0xF8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xF8 0.--8. 1. "IPU2_IRQ_57," line.long 0xFC "CTRL_CORE_IPU2_IRQ_59_60," hexmask.long.byte 0xFC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xFC 16.--24. 1. "IPU2_IRQ_60," newline hexmask.long.byte 0xFC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xFC 0.--8. 1. "IPU2_IRQ_59," line.long 0x100 "CTRL_CORE_IPU2_IRQ_61_62," hexmask.long.byte 0x100 25.--31. 1. "RESERVED," newline hexmask.long.word 0x100 16.--24. 1. "IPU2_IRQ_62," newline hexmask.long.byte 0x100 9.--15. 1. "RESERVED," newline hexmask.long.word 0x100 0.--8. 1. "IPU2_IRQ_61," line.long 0x104 "CTRL_CORE_IPU2_IRQ_63_64," hexmask.long.byte 0x104 25.--31. 1. "RESERVED," newline hexmask.long.word 0x104 16.--24. 1. "IPU2_IRQ_64," newline hexmask.long.byte 0x104 9.--15. 1. "RESERVED," newline hexmask.long.word 0x104 0.--8. 1. "IPU2_IRQ_63," line.long 0x108 "CTRL_CORE_IPU2_IRQ_65_66," hexmask.long.byte 0x108 25.--31. 1. "RESERVED," newline hexmask.long.word 0x108 16.--24. 1. "IPU2_IRQ_66," newline hexmask.long.byte 0x108 9.--15. 1. "RESERVED," newline hexmask.long.word 0x108 0.--8. 1. "IPU2_IRQ_65," line.long 0x10C "CTRL_CORE_IPU2_IRQ_67_68," hexmask.long.byte 0x10C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x10C 16.--24. 1. "IPU2_IRQ_68," newline hexmask.long.byte 0x10C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10C 0.--8. 1. "IPU2_IRQ_67," line.long 0x110 "CTRL_CORE_IPU2_IRQ_69_70," hexmask.long.byte 0x110 25.--31. 1. "RESERVED," newline hexmask.long.word 0x110 16.--24. 1. "IPU2_IRQ_70," newline hexmask.long.byte 0x110 9.--15. 1. "RESERVED," newline hexmask.long.word 0x110 0.--8. 1. "IPU2_IRQ_69," line.long 0x114 "CTRL_CORE_IPU2_IRQ_71_72," hexmask.long.byte 0x114 25.--31. 1. "RESERVED," newline hexmask.long.word 0x114 16.--24. 1. "IPU2_IRQ_72," newline hexmask.long.byte 0x114 9.--15. 1. "RESERVED," newline hexmask.long.word 0x114 0.--8. 1. "IPU2_IRQ_71," line.long 0x118 "CTRL_CORE_IPU2_IRQ_73_74," hexmask.long.byte 0x118 25.--31. 1. "RESERVED," newline hexmask.long.word 0x118 16.--24. 1. "IPU2_IRQ_74," newline hexmask.long.byte 0x118 9.--15. 1. "RESERVED," newline hexmask.long.word 0x118 0.--8. 1. "IPU2_IRQ_73," line.long 0x11C "CTRL_CORE_IPU2_IRQ_75_76," hexmask.long.byte 0x11C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x11C 16.--24. 1. "IPU2_IRQ_76," newline hexmask.long.byte 0x11C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x11C 0.--8. 1. "IPU2_IRQ_75," line.long 0x120 "CTRL_CORE_IPU2_IRQ_77_78," hexmask.long.byte 0x120 25.--31. 1. "RESERVED," newline hexmask.long.word 0x120 16.--24. 1. "IPU2_IRQ_78," newline hexmask.long.byte 0x120 9.--15. 1. "RESERVED," newline hexmask.long.word 0x120 0.--8. 1. "IPU2_IRQ_77," line.long 0x124 "CTRL_CORE_IPU2_IRQ_79_80," hexmask.long.tbyte 0x124 9.--31. 1. "RESERVED," newline hexmask.long.word 0x124 0.--8. 1. "IPU2_IRQ_79," line.long 0x128 "CTRL_CORE_PRUSS1_IRQ_32_33," hexmask.long.byte 0x128 25.--31. 1. "RESERVED," newline hexmask.long.word 0x128 16.--24. 1. "PRUSS1_IRQ_33," newline hexmask.long.byte 0x128 9.--15. 1. "RESERVED," newline hexmask.long.word 0x128 0.--8. 1. "PRUSS1_IRQ_32," line.long 0x12C "CTRL_CORE_PRUSS1_IRQ_34_35," hexmask.long.byte 0x12C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x12C 16.--24. 1. "PRUSS1_IRQ_35," newline hexmask.long.byte 0x12C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x12C 0.--8. 1. "PRUSS1_IRQ_34," line.long 0x130 "CTRL_CORE_PRUSS1_IRQ_36_37," hexmask.long.byte 0x130 25.--31. 1. "RESERVED," newline hexmask.long.word 0x130 16.--24. 1. "PRUSS1_IRQ_37," newline hexmask.long.byte 0x130 9.--15. 1. "RESERVED," newline hexmask.long.word 0x130 0.--8. 1. "PRUSS1_IRQ_36," line.long 0x134 "CTRL_CORE_PRUSS1_IRQ_38_39," hexmask.long.byte 0x134 25.--31. 1. "RESERVED," newline hexmask.long.word 0x134 16.--24. 1. "PRUSS1_IRQ_39," newline hexmask.long.byte 0x134 9.--15. 1. "RESERVED," newline hexmask.long.word 0x134 0.--8. 1. "PRUSS1_IRQ_38," line.long 0x138 "CTRL_CORE_PRUSS1_IRQ_40_41," hexmask.long.byte 0x138 25.--31. 1. "RESERVED," newline hexmask.long.word 0x138 16.--24. 1. "PRUSS1_IRQ_41," newline hexmask.long.byte 0x138 9.--15. 1. "RESERVED," newline hexmask.long.word 0x138 0.--8. 1. "PRUSS1_IRQ_40," line.long 0x13C "CTRL_CORE_PRUSS1_IRQ_42_43," hexmask.long.byte 0x13C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x13C 16.--24. 1. "PRUSS1_IRQ_43," newline hexmask.long.byte 0x13C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x13C 0.--8. 1. "PRUSS1_IRQ_42," line.long 0x140 "CTRL_CORE_PRUSS1_IRQ_44_45," hexmask.long.byte 0x140 25.--31. 1. "RESERVED," newline hexmask.long.word 0x140 16.--24. 1. "PRUSS1_IRQ_45," newline hexmask.long.byte 0x140 9.--15. 1. "RESERVED," newline hexmask.long.word 0x140 0.--8. 1. "PRUSS1_IRQ_44," line.long 0x144 "CTRL_CORE_PRUSS1_IRQ_46_47," hexmask.long.byte 0x144 25.--31. 1. "RESERVED," newline hexmask.long.word 0x144 16.--24. 1. "PRUSS1_IRQ_47," newline hexmask.long.byte 0x144 9.--15. 1. "RESERVED," newline hexmask.long.word 0x144 0.--8. 1. "PRUSS1_IRQ_46," line.long 0x148 "CTRL_CORE_PRUSS1_IRQ_48_49," hexmask.long.byte 0x148 25.--31. 1. "RESERVED," newline hexmask.long.word 0x148 16.--24. 1. "PRUSS1_IRQ_49," newline hexmask.long.byte 0x148 9.--15. 1. "RESERVED," newline hexmask.long.word 0x148 0.--8. 1. "PRUSS1_IRQ_48," line.long 0x14C "CTRL_CORE_PRUSS1_IRQ_50_51," hexmask.long.byte 0x14C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x14C 16.--24. 1. "PRUSS1_IRQ_51," newline hexmask.long.byte 0x14C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x14C 0.--8. 1. "PRUSS1_IRQ_50," line.long 0x150 "CTRL_CORE_PRUSS1_IRQ_52_53," hexmask.long.byte 0x150 25.--31. 1. "RESERVED," newline hexmask.long.word 0x150 16.--24. 1. "PRUSS1_IRQ_53," newline hexmask.long.byte 0x150 9.--15. 1. "RESERVED," newline hexmask.long.word 0x150 0.--8. 1. "PRUSS1_IRQ_52," line.long 0x154 "CTRL_CORE_PRUSS1_IRQ_54_55," hexmask.long.byte 0x154 25.--31. 1. "RESERVED," newline hexmask.long.word 0x154 16.--24. 1. "PRUSS1_IRQ_55," newline hexmask.long.byte 0x154 9.--15. 1. "RESERVED," newline hexmask.long.word 0x154 0.--8. 1. "PRUSS1_IRQ_54," line.long 0x158 "CTRL_CORE_PRUSS1_IRQ_56_57," hexmask.long.byte 0x158 25.--31. 1. "RESERVED," newline hexmask.long.word 0x158 16.--24. 1. "PRUSS1_IRQ_57," newline hexmask.long.byte 0x158 9.--15. 1. "RESERVED," newline hexmask.long.word 0x158 0.--8. 1. "PRUSS1_IRQ_56," line.long 0x15C "CTRL_CORE_PRUSS1_IRQ_58_59," hexmask.long.byte 0x15C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x15C 16.--24. 1. "PRUSS1_IRQ_59," newline hexmask.long.byte 0x15C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x15C 0.--8. 1. "PRUSS1_IRQ_58," line.long 0x160 "CTRL_CORE_PRUSS1_IRQ_60_61," hexmask.long.byte 0x160 25.--31. 1. "RESERVED," newline hexmask.long.word 0x160 16.--24. 1. "PRUSS1_IRQ_61," newline hexmask.long.byte 0x160 9.--15. 1. "RESERVED," newline hexmask.long.word 0x160 0.--8. 1. "PRUSS1_IRQ_60," line.long 0x164 "CTRL_CORE_PRUSS1_IRQ_62_63," hexmask.long.byte 0x164 25.--31. 1. "RESERVED," newline hexmask.long.word 0x164 16.--24. 1. "PRUSS1_IRQ_63," newline hexmask.long.byte 0x164 9.--15. 1. "RESERVED," newline hexmask.long.word 0x164 0.--8. 1. "PRUSS1_IRQ_62," line.long 0x168 "CTRL_CORE_PRUSS2_IRQ_32_33," hexmask.long.byte 0x168 25.--31. 1. "RESERVED," newline hexmask.long.word 0x168 16.--24. 1. "PRUSS2_IRQ_33," newline hexmask.long.byte 0x168 9.--15. 1. "RESERVED," newline hexmask.long.word 0x168 0.--8. 1. "PRUSS2_IRQ_32," line.long 0x16C "CTRL_CORE_PRUSS2_IRQ_34_35," hexmask.long.byte 0x16C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x16C 16.--24. 1. "PRUSS2_IRQ_35," newline hexmask.long.byte 0x16C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x16C 0.--8. 1. "PRUSS2_IRQ_34," line.long 0x170 "CTRL_CORE_PRUSS2_IRQ_36_37," hexmask.long.byte 0x170 25.--31. 1. "RESERVED," newline hexmask.long.word 0x170 16.--24. 1. "PRUSS2_IRQ_37," newline hexmask.long.byte 0x170 9.--15. 1. "RESERVED," newline hexmask.long.word 0x170 0.--8. 1. "PRUSS2_IRQ_36," line.long 0x174 "CTRL_CORE_PRUSS2_IRQ_38_39," hexmask.long.byte 0x174 25.--31. 1. "RESERVED," newline hexmask.long.word 0x174 16.--24. 1. "PRUSS2_IRQ_39," newline hexmask.long.byte 0x174 9.--15. 1. "RESERVED," newline hexmask.long.word 0x174 0.--8. 1. "PRUSS2_IRQ_38," line.long 0x178 "CTRL_CORE_PRUSS2_IRQ_40_41," hexmask.long.byte 0x178 25.--31. 1. "RESERVED," newline hexmask.long.word 0x178 16.--24. 1. "PRUSS2_IRQ_41," newline hexmask.long.byte 0x178 9.--15. 1. "RESERVED," newline hexmask.long.word 0x178 0.--8. 1. "PRUSS2_IRQ_40," line.long 0x17C "CTRL_CORE_PRUSS2_IRQ_42_43," hexmask.long.byte 0x17C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x17C 16.--24. 1. "PRUSS2_IRQ_43," newline hexmask.long.byte 0x17C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x17C 0.--8. 1. "PRUSS2_IRQ_42," line.long 0x180 "CTRL_CORE_PRUSS2_IRQ_44_45," hexmask.long.byte 0x180 25.--31. 1. "RESERVED," newline hexmask.long.word 0x180 16.--24. 1. "PRUSS2_IRQ_45," newline hexmask.long.byte 0x180 9.--15. 1. "RESERVED," newline hexmask.long.word 0x180 0.--8. 1. "PRUSS2_IRQ_44," line.long 0x184 "CTRL_CORE_PRUSS2_IRQ_46_47," hexmask.long.byte 0x184 25.--31. 1. "RESERVED," newline hexmask.long.word 0x184 16.--24. 1. "PRUSS2_IRQ_47," newline hexmask.long.byte 0x184 9.--15. 1. "RESERVED," newline hexmask.long.word 0x184 0.--8. 1. "PRUSS2_IRQ_46," line.long 0x188 "CTRL_CORE_PRUSS2_IRQ_48_49," hexmask.long.byte 0x188 25.--31. 1. "RESERVED," newline hexmask.long.word 0x188 16.--24. 1. "PRUSS2_IRQ_49," newline hexmask.long.byte 0x188 9.--15. 1. "RESERVED," newline hexmask.long.word 0x188 0.--8. 1. "PRUSS2_IRQ_48," line.long 0x18C "CTRL_CORE_PRUSS2_IRQ_50_51," hexmask.long.byte 0x18C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x18C 16.--24. 1. "PRUSS2_IRQ_51," newline hexmask.long.byte 0x18C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x18C 0.--8. 1. "PRUSS2_IRQ_50," line.long 0x190 "CTRL_CORE_PRUSS2_IRQ_52_53," hexmask.long.byte 0x190 25.--31. 1. "RESERVED," newline hexmask.long.word 0x190 16.--24. 1. "PRUSS2_IRQ_53," newline hexmask.long.byte 0x190 9.--15. 1. "RESERVED," newline hexmask.long.word 0x190 0.--8. 1. "PRUSS2_IRQ_52," line.long 0x194 "CTRL_CORE_PRUSS2_IRQ_54_55," hexmask.long.byte 0x194 25.--31. 1. "RESERVED," newline hexmask.long.word 0x194 16.--24. 1. "PRUSS2_IRQ_55," newline hexmask.long.byte 0x194 9.--15. 1. "RESERVED," newline hexmask.long.word 0x194 0.--8. 1. "PRUSS2_IRQ_54," line.long 0x198 "CTRL_CORE_PRUSS2_IRQ_56_57," hexmask.long.byte 0x198 25.--31. 1. "RESERVED," newline hexmask.long.word 0x198 16.--24. 1. "PRUSS2_IRQ_57," newline hexmask.long.byte 0x198 9.--15. 1. "RESERVED," newline hexmask.long.word 0x198 0.--8. 1. "PRUSS2_IRQ_56," line.long 0x19C "CTRL_CORE_PRUSS2_IRQ_58_59," hexmask.long.byte 0x19C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x19C 16.--24. 1. "PRUSS2_IRQ_59," newline hexmask.long.byte 0x19C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x19C 0.--8. 1. "PRUSS2_IRQ_58," line.long 0x1A0 "CTRL_CORE_PRUSS2_IRQ_60_61," hexmask.long.byte 0x1A0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1A0 16.--24. 1. "PRUSS2_IRQ_61," newline hexmask.long.byte 0x1A0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1A0 0.--8. 1. "PRUSS2_IRQ_60," line.long 0x1A4 "CTRL_CORE_PRUSS2_IRQ_62_63," hexmask.long.byte 0x1A4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1A4 16.--24. 1. "PRUSS2_IRQ_63," newline hexmask.long.byte 0x1A4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1A4 0.--8. 1. "PRUSS2_IRQ_62," line.long 0x1A8 "CTRL_CORE_DSP1_IRQ_32_33," hexmask.long.byte 0x1A8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1A8 16.--24. 1. "DSP1_IRQ_33," newline hexmask.long.byte 0x1A8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1A8 0.--8. 1. "DSP1_IRQ_32," line.long 0x1AC "CTRL_CORE_DSP1_IRQ_34_35," hexmask.long.byte 0x1AC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1AC 16.--24. 1. "DSP1_IRQ_35," newline hexmask.long.byte 0x1AC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1AC 0.--8. 1. "DSP1_IRQ_34," line.long 0x1B0 "CTRL_CORE_DSP1_IRQ_36_37," hexmask.long.byte 0x1B0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1B0 16.--24. 1. "DSP1_IRQ_37," newline hexmask.long.byte 0x1B0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1B0 0.--8. 1. "DSP1_IRQ_36," line.long 0x1B4 "CTRL_CORE_DSP1_IRQ_38_39," hexmask.long.byte 0x1B4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1B4 16.--24. 1. "DSP1_IRQ_39," newline hexmask.long.byte 0x1B4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1B4 0.--8. 1. "DSP1_IRQ_38," line.long 0x1B8 "CTRL_CORE_DSP1_IRQ_40_41," hexmask.long.byte 0x1B8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1B8 16.--24. 1. "DSP1_IRQ_41," newline hexmask.long.byte 0x1B8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1B8 0.--8. 1. "DSP1_IRQ_40," line.long 0x1BC "CTRL_CORE_DSP1_IRQ_42_43," hexmask.long.byte 0x1BC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1BC 16.--24. 1. "DSP1_IRQ_43," newline hexmask.long.byte 0x1BC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1BC 0.--8. 1. "DSP1_IRQ_42," line.long 0x1C0 "CTRL_CORE_DSP1_IRQ_44_45," hexmask.long.byte 0x1C0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1C0 16.--24. 1. "DSP1_IRQ_45," newline hexmask.long.byte 0x1C0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C0 0.--8. 1. "DSP1_IRQ_44," line.long 0x1C4 "CTRL_CORE_DSP1_IRQ_46_47," hexmask.long.byte 0x1C4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1C4 16.--24. 1. "DSP1_IRQ_47," newline hexmask.long.byte 0x1C4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C4 0.--8. 1. "DSP1_IRQ_46," line.long 0x1C8 "CTRL_CORE_DSP1_IRQ_48_49," hexmask.long.byte 0x1C8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1C8 16.--24. 1. "DSP1_IRQ_49," newline hexmask.long.byte 0x1C8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C8 0.--8. 1. "DSP1_IRQ_48," line.long 0x1CC "CTRL_CORE_DSP1_IRQ_50_51," hexmask.long.byte 0x1CC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1CC 16.--24. 1. "DSP1_IRQ_51," newline hexmask.long.byte 0x1CC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1CC 0.--8. 1. "DSP1_IRQ_50," line.long 0x1D0 "CTRL_CORE_DSP1_IRQ_52_53," hexmask.long.byte 0x1D0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1D0 16.--24. 1. "DSP1_IRQ_53," newline hexmask.long.byte 0x1D0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1D0 0.--8. 1. "DSP1_IRQ_52," line.long 0x1D4 "CTRL_CORE_DSP1_IRQ_54_55," hexmask.long.byte 0x1D4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1D4 16.--24. 1. "DSP1_IRQ_55," newline hexmask.long.byte 0x1D4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1D4 0.--8. 1. "DSP1_IRQ_54," line.long 0x1D8 "CTRL_CORE_DSP1_IRQ_56_57," hexmask.long.byte 0x1D8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1D8 16.--24. 1. "DSP1_IRQ_57," newline hexmask.long.byte 0x1D8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1D8 0.--8. 1. "DSP1_IRQ_56," line.long 0x1DC "CTRL_CORE_DSP1_IRQ_58_59," hexmask.long.byte 0x1DC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1DC 16.--24. 1. "DSP1_IRQ_59," newline hexmask.long.byte 0x1DC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1DC 0.--8. 1. "DSP1_IRQ_58," line.long 0x1E0 "CTRL_CORE_DSP1_IRQ_60_61," hexmask.long.byte 0x1E0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1E0 16.--24. 1. "DSP1_IRQ_61," newline hexmask.long.byte 0x1E0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1E0 0.--8. 1. "DSP1_IRQ_60," line.long 0x1E4 "CTRL_CORE_DSP1_IRQ_62_63," hexmask.long.byte 0x1E4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1E4 16.--24. 1. "DSP1_IRQ_63," newline hexmask.long.byte 0x1E4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1E4 0.--8. 1. "DSP1_IRQ_62," line.long 0x1E8 "CTRL_CORE_DSP1_IRQ_64_65," hexmask.long.byte 0x1E8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1E8 16.--24. 1. "DSP1_IRQ_65," newline hexmask.long.byte 0x1E8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1E8 0.--8. 1. "DSP1_IRQ_64," line.long 0x1EC "CTRL_CORE_DSP1_IRQ_66_67," hexmask.long.byte 0x1EC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1EC 16.--24. 1. "DSP1_IRQ_67," newline hexmask.long.byte 0x1EC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1EC 0.--8. 1. "DSP1_IRQ_66," line.long 0x1F0 "CTRL_CORE_DSP1_IRQ_68_69," hexmask.long.byte 0x1F0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1F0 16.--24. 1. "DSP1_IRQ_69," newline hexmask.long.byte 0x1F0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1F0 0.--8. 1. "DSP1_IRQ_68," line.long 0x1F4 "CTRL_CORE_DSP1_IRQ_70_71," hexmask.long.byte 0x1F4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1F4 16.--24. 1. "DSP1_IRQ_71," newline hexmask.long.byte 0x1F4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1F4 0.--8. 1. "DSP1_IRQ_70," line.long 0x1F8 "CTRL_CORE_DSP1_IRQ_72_73," hexmask.long.byte 0x1F8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1F8 16.--24. 1. "DSP1_IRQ_73," newline hexmask.long.byte 0x1F8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1F8 0.--8. 1. "DSP1_IRQ_72," line.long 0x1FC "CTRL_CORE_DSP1_IRQ_74_75," hexmask.long.byte 0x1FC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x1FC 16.--24. 1. "DSP1_IRQ_75," newline hexmask.long.byte 0x1FC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1FC 0.--8. 1. "DSP1_IRQ_74," line.long 0x200 "CTRL_CORE_DSP1_IRQ_76_77," hexmask.long.byte 0x200 25.--31. 1. "RESERVED," newline hexmask.long.word 0x200 16.--24. 1. "DSP1_IRQ_77," newline hexmask.long.byte 0x200 9.--15. 1. "RESERVED," newline hexmask.long.word 0x200 0.--8. 1. "DSP1_IRQ_76," line.long 0x204 "CTRL_CORE_DSP1_IRQ_78_79," hexmask.long.byte 0x204 25.--31. 1. "RESERVED," newline hexmask.long.word 0x204 16.--24. 1. "DSP1_IRQ_79," newline hexmask.long.byte 0x204 9.--15. 1. "RESERVED," newline hexmask.long.word 0x204 0.--8. 1. "DSP1_IRQ_78," line.long 0x208 "CTRL_CORE_DSP1_IRQ_80_81," hexmask.long.byte 0x208 25.--31. 1. "RESERVED," newline hexmask.long.word 0x208 16.--24. 1. "DSP1_IRQ_81," newline hexmask.long.byte 0x208 9.--15. 1. "RESERVED," newline hexmask.long.word 0x208 0.--8. 1. "DSP1_IRQ_80," line.long 0x20C "CTRL_CORE_DSP1_IRQ_82_83," hexmask.long.byte 0x20C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x20C 16.--24. 1. "DSP1_IRQ_83," newline hexmask.long.byte 0x20C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x20C 0.--8. 1. "DSP1_IRQ_82," line.long 0x210 "CTRL_CORE_DSP1_IRQ_84_85," hexmask.long.byte 0x210 25.--31. 1. "RESERVED," newline hexmask.long.word 0x210 16.--24. 1. "DSP1_IRQ_85," newline hexmask.long.byte 0x210 9.--15. 1. "RESERVED," newline hexmask.long.word 0x210 0.--8. 1. "DSP1_IRQ_84," line.long 0x214 "CTRL_CORE_DSP1_IRQ_86_87," hexmask.long.byte 0x214 25.--31. 1. "RESERVED," newline hexmask.long.word 0x214 16.--24. 1. "DSP1_IRQ_87," newline hexmask.long.byte 0x214 9.--15. 1. "RESERVED," newline hexmask.long.word 0x214 0.--8. 1. "DSP1_IRQ_86," line.long 0x218 "CTRL_CORE_DSP1_IRQ_88_89," hexmask.long.byte 0x218 25.--31. 1. "RESERVED," newline hexmask.long.word 0x218 16.--24. 1. "DSP1_IRQ_89," newline hexmask.long.byte 0x218 9.--15. 1. "RESERVED," newline hexmask.long.word 0x218 0.--8. 1. "DSP1_IRQ_88," line.long 0x21C "CTRL_CORE_DSP1_IRQ_90_91," hexmask.long.byte 0x21C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x21C 16.--24. 1. "DSP1_IRQ_91," newline hexmask.long.byte 0x21C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x21C 0.--8. 1. "DSP1_IRQ_90," line.long 0x220 "CTRL_CORE_DSP1_IRQ_92_93," hexmask.long.byte 0x220 25.--31. 1. "RESERVED," newline hexmask.long.word 0x220 16.--24. 1. "DSP1_IRQ_93," newline hexmask.long.byte 0x220 9.--15. 1. "RESERVED," newline hexmask.long.word 0x220 0.--8. 1. "DSP1_IRQ_92," line.long 0x224 "CTRL_CORE_DSP1_IRQ_94_95," hexmask.long.byte 0x224 25.--31. 1. "RESERVED," newline hexmask.long.word 0x224 16.--24. 1. "DSP1_IRQ_95," newline hexmask.long.byte 0x224 9.--15. 1. "RESERVED," newline hexmask.long.word 0x224 0.--8. 1. "DSP1_IRQ_94," line.long 0x228 "CTRL_CORE_DSP2_IRQ_32_33," hexmask.long.byte 0x228 25.--31. 1. "RESERVED," newline hexmask.long.word 0x228 16.--24. 1. "DSP2_IRQ_33," newline hexmask.long.byte 0x228 9.--15. 1. "RESERVED," newline hexmask.long.word 0x228 0.--8. 1. "DSP2_IRQ_32," line.long 0x22C "CTRL_CORE_DSP2_IRQ_34_35," hexmask.long.byte 0x22C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x22C 16.--24. 1. "DSP2_IRQ_35," newline hexmask.long.byte 0x22C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x22C 0.--8. 1. "DSP2_IRQ_34," line.long 0x230 "CTRL_CORE_DSP2_IRQ_36_37," hexmask.long.byte 0x230 25.--31. 1. "RESERVED," newline hexmask.long.word 0x230 16.--24. 1. "DSP2_IRQ_37," newline hexmask.long.byte 0x230 9.--15. 1. "RESERVED," newline hexmask.long.word 0x230 0.--8. 1. "DSP2_IRQ_36," line.long 0x234 "CTRL_CORE_DSP2_IRQ_38_39," hexmask.long.byte 0x234 25.--31. 1. "RESERVED," newline hexmask.long.word 0x234 16.--24. 1. "DSP2_IRQ_39," newline hexmask.long.byte 0x234 9.--15. 1. "RESERVED," newline hexmask.long.word 0x234 0.--8. 1. "DSP2_IRQ_38," line.long 0x238 "CTRL_CORE_DSP2_IRQ_40_41," hexmask.long.byte 0x238 25.--31. 1. "RESERVED," newline hexmask.long.word 0x238 16.--24. 1. "DSP2_IRQ_41," newline hexmask.long.byte 0x238 9.--15. 1. "RESERVED," newline hexmask.long.word 0x238 0.--8. 1. "DSP2_IRQ_40," line.long 0x23C "CTRL_CORE_DSP2_IRQ_42_43," hexmask.long.byte 0x23C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x23C 16.--24. 1. "DSP2_IRQ_43," newline hexmask.long.byte 0x23C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x23C 0.--8. 1. "DSP2_IRQ_42," line.long 0x240 "CTRL_CORE_DSP2_IRQ_44_45," hexmask.long.byte 0x240 25.--31. 1. "RESERVED," newline hexmask.long.word 0x240 16.--24. 1. "DSP2_IRQ_45," newline hexmask.long.byte 0x240 9.--15. 1. "RESERVED," newline hexmask.long.word 0x240 0.--8. 1. "DSP2_IRQ_44," line.long 0x244 "CTRL_CORE_DSP2_IRQ_46_47," hexmask.long.byte 0x244 25.--31. 1. "RESERVED," newline hexmask.long.word 0x244 16.--24. 1. "DSP2_IRQ_47," newline hexmask.long.byte 0x244 9.--15. 1. "RESERVED," newline hexmask.long.word 0x244 0.--8. 1. "DSP2_IRQ_46," line.long 0x248 "CTRL_CORE_DSP2_IRQ_48_49," hexmask.long.byte 0x248 25.--31. 1. "RESERVED," newline hexmask.long.word 0x248 16.--24. 1. "DSP2_IRQ_49," newline hexmask.long.byte 0x248 9.--15. 1. "RESERVED," newline hexmask.long.word 0x248 0.--8. 1. "DSP2_IRQ_48," line.long 0x24C "CTRL_CORE_DSP2_IRQ_50_51," hexmask.long.byte 0x24C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x24C 16.--24. 1. "DSP2_IRQ_51," newline hexmask.long.byte 0x24C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x24C 0.--8. 1. "DSP2_IRQ_50," line.long 0x250 "CTRL_CORE_DSP2_IRQ_52_53," hexmask.long.byte 0x250 25.--31. 1. "RESERVED," newline hexmask.long.word 0x250 16.--24. 1. "DSP2_IRQ_53," newline hexmask.long.byte 0x250 9.--15. 1. "RESERVED," newline hexmask.long.word 0x250 0.--8. 1. "DSP2_IRQ_52," line.long 0x254 "CTRL_CORE_DSP2_IRQ_54_55," hexmask.long.byte 0x254 25.--31. 1. "RESERVED," newline hexmask.long.word 0x254 16.--24. 1. "DSP2_IRQ_55," newline hexmask.long.byte 0x254 9.--15. 1. "RESERVED," newline hexmask.long.word 0x254 0.--8. 1. "DSP2_IRQ_54," line.long 0x258 "CTRL_CORE_DSP2_IRQ_56_57," hexmask.long.byte 0x258 25.--31. 1. "RESERVED," newline hexmask.long.word 0x258 16.--24. 1. "DSP2_IRQ_57," newline hexmask.long.byte 0x258 9.--15. 1. "RESERVED," newline hexmask.long.word 0x258 0.--8. 1. "DSP2_IRQ_56," line.long 0x25C "CTRL_CORE_DSP2_IRQ_58_59," hexmask.long.byte 0x25C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x25C 16.--24. 1. "DSP2_IRQ_59," newline hexmask.long.byte 0x25C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x25C 0.--8. 1. "DSP2_IRQ_58," line.long 0x260 "CTRL_CORE_DSP2_IRQ_60_61," hexmask.long.byte 0x260 25.--31. 1. "RESERVED," newline hexmask.long.word 0x260 16.--24. 1. "DSP2_IRQ_61," newline hexmask.long.byte 0x260 9.--15. 1. "RESERVED," newline hexmask.long.word 0x260 0.--8. 1. "DSP2_IRQ_60," line.long 0x264 "CTRL_CORE_DSP2_IRQ_62_63," hexmask.long.byte 0x264 25.--31. 1. "RESERVED," newline hexmask.long.word 0x264 16.--24. 1. "DSP2_IRQ_63," newline hexmask.long.byte 0x264 9.--15. 1. "RESERVED," newline hexmask.long.word 0x264 0.--8. 1. "DSP2_IRQ_62," line.long 0x268 "CTRL_CORE_DSP2_IRQ_64_65," hexmask.long.byte 0x268 25.--31. 1. "RESERVED," newline hexmask.long.word 0x268 16.--24. 1. "DSP2_IRQ_65," newline hexmask.long.byte 0x268 9.--15. 1. "RESERVED," newline hexmask.long.word 0x268 0.--8. 1. "DSP2_IRQ_64," line.long 0x26C "CTRL_CORE_DSP2_IRQ_66_67," hexmask.long.byte 0x26C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x26C 16.--24. 1. "DSP2_IRQ_67," newline hexmask.long.byte 0x26C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x26C 0.--8. 1. "DSP2_IRQ_66," line.long 0x270 "CTRL_CORE_DSP2_IRQ_68_69," hexmask.long.byte 0x270 25.--31. 1. "RESERVED," newline hexmask.long.word 0x270 16.--24. 1. "DSP2_IRQ_69," newline hexmask.long.byte 0x270 9.--15. 1. "RESERVED," newline hexmask.long.word 0x270 0.--8. 1. "DSP2_IRQ_68," line.long 0x274 "CTRL_CORE_DSP2_IRQ_70_71," hexmask.long.byte 0x274 25.--31. 1. "RESERVED," newline hexmask.long.word 0x274 16.--24. 1. "DSP2_IRQ_71," newline hexmask.long.byte 0x274 9.--15. 1. "RESERVED," newline hexmask.long.word 0x274 0.--8. 1. "DSP2_IRQ_70," line.long 0x278 "CTRL_CORE_DSP2_IRQ_72_73," hexmask.long.byte 0x278 25.--31. 1. "RESERVED," newline hexmask.long.word 0x278 16.--24. 1. "DSP2_IRQ_73," newline hexmask.long.byte 0x278 9.--15. 1. "RESERVED," newline hexmask.long.word 0x278 0.--8. 1. "DSP2_IRQ_72," line.long 0x27C "CTRL_CORE_DSP2_IRQ_74_75," hexmask.long.byte 0x27C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x27C 16.--24. 1. "DSP2_IRQ_75," newline hexmask.long.byte 0x27C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x27C 0.--8. 1. "DSP2_IRQ_74," line.long 0x280 "CTRL_CORE_DSP2_IRQ_76_77," hexmask.long.byte 0x280 25.--31. 1. "RESERVED," newline hexmask.long.word 0x280 16.--24. 1. "DSP2_IRQ_77," newline hexmask.long.byte 0x280 9.--15. 1. "RESERVED," newline hexmask.long.word 0x280 0.--8. 1. "DSP2_IRQ_76," line.long 0x284 "CTRL_CORE_DSP2_IRQ_78_79," hexmask.long.byte 0x284 25.--31. 1. "RESERVED," newline hexmask.long.word 0x284 16.--24. 1. "DSP2_IRQ_79," newline hexmask.long.byte 0x284 9.--15. 1. "RESERVED," newline hexmask.long.word 0x284 0.--8. 1. "DSP2_IRQ_78," line.long 0x288 "CTRL_CORE_DSP2_IRQ_80_81," hexmask.long.byte 0x288 25.--31. 1. "RESERVED," newline hexmask.long.word 0x288 16.--24. 1. "DSP2_IRQ_81," newline hexmask.long.byte 0x288 9.--15. 1. "RESERVED," newline hexmask.long.word 0x288 0.--8. 1. "DSP2_IRQ_80," line.long 0x28C "CTRL_CORE_DSP2_IRQ_82_83," hexmask.long.byte 0x28C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x28C 16.--24. 1. "DSP2_IRQ_83," newline hexmask.long.byte 0x28C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x28C 0.--8. 1. "DSP2_IRQ_82," line.long 0x290 "CTRL_CORE_DSP2_IRQ_84_85," hexmask.long.byte 0x290 25.--31. 1. "RESERVED," newline hexmask.long.word 0x290 16.--24. 1. "DSP2_IRQ_85," newline hexmask.long.byte 0x290 9.--15. 1. "RESERVED," newline hexmask.long.word 0x290 0.--8. 1. "DSP2_IRQ_84," line.long 0x294 "CTRL_CORE_DSP2_IRQ_86_87," hexmask.long.byte 0x294 25.--31. 1. "RESERVED," newline hexmask.long.word 0x294 16.--24. 1. "DSP2_IRQ_87," newline hexmask.long.byte 0x294 9.--15. 1. "RESERVED," newline hexmask.long.word 0x294 0.--8. 1. "DSP2_IRQ_86," line.long 0x298 "CTRL_CORE_DSP2_IRQ_88_89," hexmask.long.byte 0x298 25.--31. 1. "RESERVED," newline hexmask.long.word 0x298 16.--24. 1. "DSP2_IRQ_89," newline hexmask.long.byte 0x298 9.--15. 1. "RESERVED," newline hexmask.long.word 0x298 0.--8. 1. "DSP2_IRQ_88," line.long 0x29C "CTRL_CORE_DSP2_IRQ_90_91," hexmask.long.byte 0x29C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x29C 16.--24. 1. "DSP2_IRQ_91," newline hexmask.long.byte 0x29C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x29C 0.--8. 1. "DSP2_IRQ_90," line.long 0x2A0 "CTRL_CORE_DSP2_IRQ_92_93," hexmask.long.byte 0x2A0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2A0 16.--24. 1. "DSP2_IRQ_93," newline hexmask.long.byte 0x2A0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2A0 0.--8. 1. "DSP2_IRQ_92," line.long 0x2A4 "CTRL_CORE_DSP2_IRQ_94_95," hexmask.long.byte 0x2A4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2A4 16.--24. 1. "DSP2_IRQ_95," newline hexmask.long.byte 0x2A4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2A4 0.--8. 1. "DSP2_IRQ_94," line.long 0x2A8 "CTRL_CORE_MPU_IRQ_4_7," hexmask.long.byte 0x2A8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2A8 16.--24. 1. "MPU_IRQ_7," newline hexmask.long.byte 0x2A8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2A8 0.--8. 1. "MPU_IRQ_4," line.long 0x2AC "CTRL_CORE_MPU_IRQ_8_9," hexmask.long.byte 0x2AC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2AC 16.--24. 1. "MPU_IRQ_9," newline hexmask.long.byte 0x2AC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2AC 0.--8. 1. "MPU_IRQ_8," line.long 0x2B0 "CTRL_CORE_MPU_IRQ_10_11," hexmask.long.byte 0x2B0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2B0 16.--24. 1. "MPU_IRQ_11," newline hexmask.long.byte 0x2B0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2B0 0.--8. 1. "MPU_IRQ_10,NOTE: This bit field is not functional" line.long 0x2B4 "CTRL_CORE_MPU_IRQ_12_13," hexmask.long.byte 0x2B4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2B4 16.--24. 1. "MPU_IRQ_13," newline hexmask.long.byte 0x2B4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2B4 0.--8. 1. "MPU_IRQ_12," line.long 0x2B8 "CTRL_CORE_MPU_IRQ_14_15," hexmask.long.byte 0x2B8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2B8 16.--24. 1. "MPU_IRQ_15," newline hexmask.long.byte 0x2B8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2B8 0.--8. 1. "MPU_IRQ_14," line.long 0x2BC "CTRL_CORE_MPU_IRQ_16_17," hexmask.long.byte 0x2BC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2BC 16.--24. 1. "MPU_IRQ_17," newline hexmask.long.byte 0x2BC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2BC 0.--8. 1. "MPU_IRQ_16," line.long 0x2C0 "CTRL_CORE_MPU_IRQ_18_19," hexmask.long.byte 0x2C0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2C0 16.--24. 1. "MPU_IRQ_19," newline hexmask.long.byte 0x2C0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2C0 0.--8. 1. "MPU_IRQ_18," line.long 0x2C4 "CTRL_CORE_MPU_IRQ_20_21," hexmask.long.byte 0x2C4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2C4 16.--24. 1. "MPU_IRQ_21," newline hexmask.long.byte 0x2C4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2C4 0.--8. 1. "MPU_IRQ_20," line.long 0x2C8 "CTRL_CORE_MPU_IRQ_22_23," hexmask.long.byte 0x2C8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2C8 16.--24. 1. "MPU_IRQ_23," newline hexmask.long.byte 0x2C8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2C8 0.--8. 1. "MPU_IRQ_22," line.long 0x2CC "CTRL_CORE_MPU_IRQ_24_25," hexmask.long.byte 0x2CC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2CC 16.--24. 1. "MPU_IRQ_25," newline hexmask.long.byte 0x2CC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2CC 0.--8. 1. "MPU_IRQ_24," line.long 0x2D0 "CTRL_CORE_MPU_IRQ_26_27," hexmask.long.byte 0x2D0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2D0 16.--24. 1. "MPU_IRQ_27," newline hexmask.long.byte 0x2D0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2D0 0.--8. 1. "MPU_IRQ_26," line.long 0x2D4 "CTRL_CORE_MPU_IRQ_28_29," hexmask.long.byte 0x2D4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2D4 16.--24. 1. "MPU_IRQ_29," newline hexmask.long.byte 0x2D4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2D4 0.--8. 1. "MPU_IRQ_28," line.long 0x2D8 "CTRL_CORE_MPU_IRQ_30_31," hexmask.long.byte 0x2D8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2D8 16.--24. 1. "MPU_IRQ_31," newline hexmask.long.byte 0x2D8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2D8 0.--8. 1. "MPU_IRQ_30," line.long 0x2DC "CTRL_CORE_MPU_IRQ_32_33," hexmask.long.byte 0x2DC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2DC 16.--24. 1. "MPU_IRQ_33," newline hexmask.long.byte 0x2DC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2DC 0.--8. 1. "MPU_IRQ_32," line.long 0x2E0 "CTRL_CORE_MPU_IRQ_34_35," hexmask.long.byte 0x2E0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2E0 16.--24. 1. "MPU_IRQ_35," newline hexmask.long.byte 0x2E0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2E0 0.--8. 1. "MPU_IRQ_34," line.long 0x2E4 "CTRL_CORE_MPU_IRQ_36_37," hexmask.long.byte 0x2E4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2E4 16.--24. 1. "MPU_IRQ_37," newline hexmask.long.byte 0x2E4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2E4 0.--8. 1. "MPU_IRQ_36," line.long 0x2E8 "CTRL_CORE_MPU_IRQ_38_39," hexmask.long.byte 0x2E8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2E8 16.--24. 1. "MPU_IRQ_39," newline hexmask.long.byte 0x2E8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2E8 0.--8. 1. "MPU_IRQ_38," line.long 0x2EC "CTRL_CORE_MPU_IRQ_40_41," hexmask.long.byte 0x2EC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2EC 16.--24. 1. "MPU_IRQ_41," newline hexmask.long.byte 0x2EC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2EC 0.--8. 1. "MPU_IRQ_40," line.long 0x2F0 "CTRL_CORE_MPU_IRQ_42_43," hexmask.long.byte 0x2F0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2F0 16.--24. 1. "MPU_IRQ_43," newline hexmask.long.byte 0x2F0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2F0 0.--8. 1. "MPU_IRQ_42," line.long 0x2F4 "CTRL_CORE_MPU_IRQ_44_45," hexmask.long.byte 0x2F4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2F4 16.--24. 1. "MPU_IRQ_45," newline hexmask.long.byte 0x2F4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2F4 0.--8. 1. "MPU_IRQ_44," line.long 0x2F8 "CTRL_CORE_MPU_IRQ_46_47," hexmask.long.byte 0x2F8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2F8 16.--24. 1. "MPU_IRQ_47," newline hexmask.long.byte 0x2F8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2F8 0.--8. 1. "MPU_IRQ_46," line.long 0x2FC "CTRL_CORE_MPU_IRQ_48_49," hexmask.long.byte 0x2FC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x2FC 16.--24. 1. "MPU_IRQ_49," newline hexmask.long.byte 0x2FC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x2FC 0.--8. 1. "MPU_IRQ_48," line.long 0x300 "CTRL_CORE_MPU_IRQ_50_51," hexmask.long.byte 0x300 25.--31. 1. "RESERVED," newline hexmask.long.word 0x300 16.--24. 1. "MPU_IRQ_51," newline hexmask.long.byte 0x300 9.--15. 1. "RESERVED," newline hexmask.long.word 0x300 0.--8. 1. "MPU_IRQ_50," line.long 0x304 "CTRL_CORE_MPU_IRQ_52_53," hexmask.long.byte 0x304 25.--31. 1. "RESERVED," newline hexmask.long.word 0x304 16.--24. 1. "MPU_IRQ_53," newline hexmask.long.byte 0x304 9.--15. 1. "RESERVED," newline hexmask.long.word 0x304 0.--8. 1. "MPU_IRQ_52," line.long 0x308 "CTRL_CORE_MPU_IRQ_54_55," hexmask.long.byte 0x308 25.--31. 1. "RESERVED," newline hexmask.long.word 0x308 16.--24. 1. "MPU_IRQ_55," newline hexmask.long.byte 0x308 9.--15. 1. "RESERVED," newline hexmask.long.word 0x308 0.--8. 1. "MPU_IRQ_54," line.long 0x30C "CTRL_CORE_MPU_IRQ_56_57," hexmask.long.byte 0x30C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x30C 16.--24. 1. "MPU_IRQ_57," newline hexmask.long.byte 0x30C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x30C 0.--8. 1. "MPU_IRQ_56," line.long 0x310 "CTRL_CORE_MPU_IRQ_58_59," hexmask.long.byte 0x310 25.--31. 1. "RESERVED," newline hexmask.long.word 0x310 16.--24. 1. "MPU_IRQ_59," newline hexmask.long.byte 0x310 9.--15. 1. "RESERVED," newline hexmask.long.word 0x310 0.--8. 1. "MPU_IRQ_58," line.long 0x314 "CTRL_CORE_MPU_IRQ_60_61," hexmask.long.byte 0x314 25.--31. 1. "RESERVED," newline hexmask.long.word 0x314 16.--24. 1. "MPU_IRQ_61," newline hexmask.long.byte 0x314 9.--15. 1. "RESERVED," newline hexmask.long.word 0x314 0.--8. 1. "MPU_IRQ_60," line.long 0x318 "CTRL_CORE_MPU_IRQ_62_63," hexmask.long.byte 0x318 25.--31. 1. "RESERVED," newline hexmask.long.word 0x318 16.--24. 1. "MPU_IRQ_63," newline hexmask.long.byte 0x318 9.--15. 1. "RESERVED," newline hexmask.long.word 0x318 0.--8. 1. "MPU_IRQ_62," line.long 0x31C "CTRL_CORE_MPU_IRQ_64_65," hexmask.long.byte 0x31C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x31C 16.--24. 1. "MPU_IRQ_65," newline hexmask.long.byte 0x31C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x31C 0.--8. 1. "MPU_IRQ_64," line.long 0x320 "CTRL_CORE_MPU_IRQ_66_67," hexmask.long.byte 0x320 25.--31. 1. "RESERVED," newline hexmask.long.word 0x320 16.--24. 1. "MPU_IRQ_67," newline hexmask.long.byte 0x320 9.--15. 1. "RESERVED," newline hexmask.long.word 0x320 0.--8. 1. "MPU_IRQ_66," line.long 0x324 "CTRL_CORE_MPU_IRQ_68_69," hexmask.long.byte 0x324 25.--31. 1. "RESERVED," newline hexmask.long.word 0x324 16.--24. 1. "MPU_IRQ_69," newline hexmask.long.byte 0x324 9.--15. 1. "RESERVED," newline hexmask.long.word 0x324 0.--8. 1. "MPU_IRQ_68," line.long 0x328 "CTRL_CORE_MPU_IRQ_70_71," hexmask.long.byte 0x328 25.--31. 1. "RESERVED," newline hexmask.long.word 0x328 16.--24. 1. "MPU_IRQ_71," newline hexmask.long.byte 0x328 9.--15. 1. "RESERVED," newline hexmask.long.word 0x328 0.--8. 1. "MPU_IRQ_70," line.long 0x32C "CTRL_CORE_MPU_IRQ_72_73," hexmask.long.byte 0x32C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x32C 16.--24. 1. "MPU_IRQ_73," newline hexmask.long.byte 0x32C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x32C 0.--8. 1. "MPU_IRQ_72," line.long 0x330 "CTRL_CORE_MPU_IRQ_74_75," hexmask.long.byte 0x330 25.--31. 1. "RESERVED," newline hexmask.long.word 0x330 16.--24. 1. "MPU_IRQ_75," newline hexmask.long.byte 0x330 9.--15. 1. "RESERVED," newline hexmask.long.word 0x330 0.--8. 1. "MPU_IRQ_74," line.long 0x334 "CTRL_CORE_MPU_IRQ_76_77," hexmask.long.byte 0x334 25.--31. 1. "RESERVED," newline hexmask.long.word 0x334 16.--24. 1. "MPU_IRQ_77," newline hexmask.long.byte 0x334 9.--15. 1. "RESERVED," newline hexmask.long.word 0x334 0.--8. 1. "MPU_IRQ_76," line.long 0x338 "CTRL_CORE_MPU_IRQ_78_79," hexmask.long.byte 0x338 25.--31. 1. "RESERVED," newline hexmask.long.word 0x338 16.--24. 1. "MPU_IRQ_79," newline hexmask.long.byte 0x338 9.--15. 1. "RESERVED," newline hexmask.long.word 0x338 0.--8. 1. "MPU_IRQ_78," line.long 0x33C "CTRL_CORE_MPU_IRQ_80_81," hexmask.long.byte 0x33C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x33C 16.--24. 1. "MPU_IRQ_81," newline hexmask.long.byte 0x33C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x33C 0.--8. 1. "MPU_IRQ_80," line.long 0x340 "CTRL_CORE_MPU_IRQ_82_83," hexmask.long.byte 0x340 25.--31. 1. "RESERVED," newline hexmask.long.word 0x340 16.--24. 1. "MPU_IRQ_83," newline hexmask.long.byte 0x340 9.--15. 1. "RESERVED," newline hexmask.long.word 0x340 0.--8. 1. "MPU_IRQ_82," line.long 0x344 "CTRL_CORE_MPU_IRQ_84_85," hexmask.long.byte 0x344 25.--31. 1. "RESERVED," newline hexmask.long.word 0x344 16.--24. 1. "MPU_IRQ_85," newline hexmask.long.byte 0x344 9.--15. 1. "RESERVED," newline hexmask.long.word 0x344 0.--8. 1. "MPU_IRQ_84," line.long 0x348 "CTRL_CORE_MPU_IRQ_86_87," hexmask.long.byte 0x348 25.--31. 1. "RESERVED," newline hexmask.long.word 0x348 16.--24. 1. "MPU_IRQ_87," newline hexmask.long.byte 0x348 9.--15. 1. "RESERVED," newline hexmask.long.word 0x348 0.--8. 1. "MPU_IRQ_86," line.long 0x34C "CTRL_CORE_MPU_IRQ_88_89," hexmask.long.byte 0x34C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x34C 16.--24. 1. "MPU_IRQ_89," newline hexmask.long.byte 0x34C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x34C 0.--8. 1. "MPU_IRQ_88," line.long 0x350 "CTRL_CORE_MPU_IRQ_90_91," hexmask.long.byte 0x350 25.--31. 1. "RESERVED," newline hexmask.long.word 0x350 16.--24. 1. "MPU_IRQ_91," newline hexmask.long.byte 0x350 9.--15. 1. "RESERVED," newline hexmask.long.word 0x350 0.--8. 1. "MPU_IRQ_90," line.long 0x354 "CTRL_CORE_MPU_IRQ_92_93," hexmask.long.byte 0x354 25.--31. 1. "RESERVED," newline hexmask.long.word 0x354 16.--24. 1. "MPU_IRQ_93," newline hexmask.long.byte 0x354 9.--15. 1. "RESERVED," newline hexmask.long.word 0x354 0.--8. 1. "MPU_IRQ_92," line.long 0x358 "CTRL_CORE_MPU_IRQ_94_95," hexmask.long.byte 0x358 25.--31. 1. "RESERVED," newline hexmask.long.word 0x358 16.--24. 1. "MPU_IRQ_95," newline hexmask.long.byte 0x358 9.--15. 1. "RESERVED," newline hexmask.long.word 0x358 0.--8. 1. "MPU_IRQ_94," line.long 0x35C "CTRL_CORE_MPU_IRQ_96_97," hexmask.long.byte 0x35C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x35C 16.--24. 1. "MPU_IRQ_97," newline hexmask.long.byte 0x35C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x35C 0.--8. 1. "MPU_IRQ_96," line.long 0x360 "CTRL_CORE_MPU_IRQ_98_99," hexmask.long.byte 0x360 25.--31. 1. "RESERVED," newline hexmask.long.word 0x360 16.--24. 1. "MPU_IRQ_99," newline hexmask.long.byte 0x360 9.--15. 1. "RESERVED," newline hexmask.long.word 0x360 0.--8. 1. "MPU_IRQ_98," line.long 0x364 "CTRL_CORE_MPU_IRQ_100_101," hexmask.long.byte 0x364 25.--31. 1. "RESERVED," newline hexmask.long.word 0x364 16.--24. 1. "MPU_IRQ_101," newline hexmask.long.byte 0x364 9.--15. 1. "RESERVED," newline hexmask.long.word 0x364 0.--8. 1. "MPU_IRQ_100," line.long 0x368 "CTRL_CORE_MPU_IRQ_102_103," hexmask.long.byte 0x368 25.--31. 1. "RESERVED," newline hexmask.long.word 0x368 16.--24. 1. "MPU_IRQ_103," newline hexmask.long.byte 0x368 9.--15. 1. "RESERVED," newline hexmask.long.word 0x368 0.--8. 1. "MPU_IRQ_102," line.long 0x36C "CTRL_CORE_MPU_IRQ_104_105," hexmask.long.byte 0x36C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x36C 16.--24. 1. "MPU_IRQ_105," newline hexmask.long.byte 0x36C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x36C 0.--8. 1. "MPU_IRQ_104," line.long 0x370 "CTRL_CORE_MPU_IRQ_106_107," hexmask.long.byte 0x370 25.--31. 1. "RESERVED," newline hexmask.long.word 0x370 16.--24. 1. "MPU_IRQ_107," newline hexmask.long.byte 0x370 9.--15. 1. "RESERVED," newline hexmask.long.word 0x370 0.--8. 1. "MPU_IRQ_106," line.long 0x374 "CTRL_CORE_MPU_IRQ_108_109," hexmask.long.byte 0x374 25.--31. 1. "RESERVED," newline hexmask.long.word 0x374 16.--24. 1. "MPU_IRQ_109," newline hexmask.long.byte 0x374 9.--15. 1. "RESERVED," newline hexmask.long.word 0x374 0.--8. 1. "MPU_IRQ_108," line.long 0x378 "CTRL_CORE_MPU_IRQ_110_111," hexmask.long.byte 0x378 25.--31. 1. "RESERVED," newline hexmask.long.word 0x378 16.--24. 1. "MPU_IRQ_111," newline hexmask.long.byte 0x378 9.--15. 1. "RESERVED," newline hexmask.long.word 0x378 0.--8. 1. "MPU_IRQ_110," line.long 0x37C "CTRL_CORE_MPU_IRQ_112_113," hexmask.long.byte 0x37C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x37C 16.--24. 1. "MPU_IRQ_113," newline hexmask.long.byte 0x37C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x37C 0.--8. 1. "MPU_IRQ_112," line.long 0x380 "CTRL_CORE_MPU_IRQ_114_115," hexmask.long.byte 0x380 25.--31. 1. "RESERVED," newline hexmask.long.word 0x380 16.--24. 1. "MPU_IRQ_115," newline hexmask.long.byte 0x380 9.--15. 1. "RESERVED," newline hexmask.long.word 0x380 0.--8. 1. "MPU_IRQ_114," line.long 0x384 "CTRL_CORE_MPU_IRQ_116_117," hexmask.long.byte 0x384 25.--31. 1. "RESERVED," newline hexmask.long.word 0x384 16.--24. 1. "MPU_IRQ_117," newline hexmask.long.byte 0x384 9.--15. 1. "RESERVED," newline hexmask.long.word 0x384 0.--8. 1. "MPU_IRQ_116," line.long 0x388 "CTRL_CORE_MPU_IRQ_118_119," hexmask.long.byte 0x388 25.--31. 1. "RESERVED," newline hexmask.long.word 0x388 16.--24. 1. "MPU_IRQ_119," newline hexmask.long.byte 0x388 9.--15. 1. "RESERVED," newline hexmask.long.word 0x388 0.--8. 1. "MPU_IRQ_118," line.long 0x38C "CTRL_CORE_MPU_IRQ_120_121," hexmask.long.byte 0x38C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x38C 16.--24. 1. "MPU_IRQ_121," newline hexmask.long.byte 0x38C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x38C 0.--8. 1. "MPU_IRQ_120," line.long 0x390 "CTRL_CORE_MPU_IRQ_122_123," hexmask.long.byte 0x390 25.--31. 1. "RESERVED," newline hexmask.long.word 0x390 16.--24. 1. "MPU_IRQ_123," newline hexmask.long.byte 0x390 9.--15. 1. "RESERVED," newline hexmask.long.word 0x390 0.--8. 1. "MPU_IRQ_122," line.long 0x394 "CTRL_CORE_MPU_IRQ_124_125," hexmask.long.byte 0x394 25.--31. 1. "RESERVED," newline hexmask.long.word 0x394 16.--24. 1. "MPU_IRQ_125," newline hexmask.long.byte 0x394 9.--15. 1. "RESERVED," newline hexmask.long.word 0x394 0.--8. 1. "MPU_IRQ_124," line.long 0x398 "CTRL_CORE_MPU_IRQ_126_127," hexmask.long.byte 0x398 25.--31. 1. "RESERVED," newline hexmask.long.word 0x398 16.--24. 1. "MPU_IRQ_127," newline hexmask.long.byte 0x398 9.--15. 1. "RESERVED," newline hexmask.long.word 0x398 0.--8. 1. "MPU_IRQ_126," line.long 0x39C "CTRL_CORE_MPU_IRQ_128_129," hexmask.long.byte 0x39C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x39C 16.--24. 1. "MPU_IRQ_129," newline hexmask.long.byte 0x39C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x39C 0.--8. 1. "MPU_IRQ_128," line.long 0x3A0 "CTRL_CORE_MPU_IRQ_130_133," hexmask.long.byte 0x3A0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3A0 16.--24. 1. "MPU_IRQ_133," newline hexmask.long.byte 0x3A0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3A0 0.--8. 1. "MPU_IRQ_130," line.long 0x3A4 "CTRL_CORE_MPU_IRQ_134_135," hexmask.long.byte 0x3A4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3A4 16.--24. 1. "MPU_IRQ_135," newline hexmask.long.byte 0x3A4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3A4 0.--8. 1. "MPU_IRQ_134," line.long 0x3A8 "CTRL_CORE_MPU_IRQ_136_137," hexmask.long.byte 0x3A8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3A8 16.--24. 1. "MPU_IRQ_137," newline hexmask.long.byte 0x3A8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3A8 0.--8. 1. "MPU_IRQ_136," line.long 0x3AC "CTRL_CORE_MPU_IRQ_138_139," hexmask.long.byte 0x3AC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3AC 16.--24. 1. "MPU_IRQ_139," newline hexmask.long.byte 0x3AC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3AC 0.--8. 1. "MPU_IRQ_138," line.long 0x3B0 "CTRL_CORE_MPU_IRQ_140_141," hexmask.long.byte 0x3B0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3B0 16.--24. 1. "MPU_IRQ_141," newline hexmask.long.byte 0x3B0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3B0 0.--8. 1. "MPU_IRQ_140," line.long 0x3B4 "CTRL_CORE_MPU_IRQ_142_143," hexmask.long.byte 0x3B4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3B4 16.--24. 1. "MPU_IRQ_143," newline hexmask.long.byte 0x3B4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3B4 0.--8. 1. "MPU_IRQ_142," line.long 0x3B8 "CTRL_CORE_MPU_IRQ_144_145," hexmask.long.byte 0x3B8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3B8 16.--24. 1. "MPU_IRQ_145," newline hexmask.long.byte 0x3B8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3B8 0.--8. 1. "MPU_IRQ_144," line.long 0x3BC "CTRL_CORE_MPU_IRQ_146_147," hexmask.long.byte 0x3BC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3BC 16.--24. 1. "MPU_IRQ_147," newline hexmask.long.byte 0x3BC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3BC 0.--8. 1. "MPU_IRQ_146," line.long 0x3C0 "CTRL_CORE_MPU_IRQ_148_149," hexmask.long.byte 0x3C0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3C0 16.--24. 1. "MPU_IRQ_149," newline hexmask.long.byte 0x3C0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3C0 0.--8. 1. "MPU_IRQ_148," line.long 0x3C4 "CTRL_CORE_MPU_IRQ_150_151," hexmask.long.byte 0x3C4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3C4 16.--24. 1. "MPU_IRQ_151," newline hexmask.long.byte 0x3C4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3C4 0.--8. 1. "MPU_IRQ_150," line.long 0x3C8 "CTRL_CORE_MPU_IRQ_152_153," hexmask.long.byte 0x3C8 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3C8 16.--24. 1. "MPU_IRQ_153," newline hexmask.long.byte 0x3C8 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3C8 0.--8. 1. "MPU_IRQ_152," line.long 0x3CC "CTRL_CORE_MPU_IRQ_154_155," hexmask.long.byte 0x3CC 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3CC 16.--24. 1. "MPU_IRQ_155," newline hexmask.long.byte 0x3CC 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3CC 0.--8. 1. "MPU_IRQ_154," line.long 0x3D0 "CTRL_CORE_MPU_IRQ_156_157," hexmask.long.byte 0x3D0 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3D0 16.--24. 1. "MPU_IRQ_157," newline hexmask.long.byte 0x3D0 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3D0 0.--8. 1. "MPU_IRQ_156," line.long 0x3D4 "CTRL_CORE_MPU_IRQ_158_159," hexmask.long.byte 0x3D4 25.--31. 1. "RESERVED," newline hexmask.long.word 0x3D4 16.--24. 1. "MPU_IRQ_159," newline hexmask.long.byte 0x3D4 9.--15. 1. "RESERVED," newline hexmask.long.word 0x3D4 0.--8. 1. "MPU_IRQ_158," line.long 0x3D8 "CTRL_CORE_DMA_SYSTEM_DREQ_0_1," hexmask.long.byte 0x3D8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3D8 16.--23. 1. "DMA_SYSTEM_DREQ_1_IRQ_1," newline hexmask.long.byte 0x3D8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3D8 0.--7. 1. "DMA_SYSTEM_DREQ_0_IRQ_0," line.long 0x3DC "CTRL_CORE_DMA_SYSTEM_DREQ_2_3," hexmask.long.byte 0x3DC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3DC 16.--23. 1. "DMA_SYSTEM_DREQ_3_IRQ_3," newline hexmask.long.byte 0x3DC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3DC 0.--7. 1. "DMA_SYSTEM_DREQ_2_IRQ_2," line.long 0x3E0 "CTRL_CORE_DMA_SYSTEM_DREQ_4_5," hexmask.long.byte 0x3E0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3E0 16.--23. 1. "DMA_SYSTEM_DREQ_5_IRQ_5," newline hexmask.long.byte 0x3E0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3E0 0.--7. 1. "DMA_SYSTEM_DREQ_4_IRQ_4," line.long 0x3E4 "CTRL_CORE_DMA_SYSTEM_DREQ_6_7," hexmask.long.byte 0x3E4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3E4 16.--23. 1. "DMA_SYSTEM_DREQ_7_IRQ_7," newline hexmask.long.byte 0x3E4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3E4 0.--7. 1. "DMA_SYSTEM_DREQ_6_IRQ_6," line.long 0x3E8 "CTRL_CORE_DMA_SYSTEM_DREQ_8_9," hexmask.long.byte 0x3E8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3E8 16.--23. 1. "DMA_SYSTEM_DREQ_9_IRQ_9," newline hexmask.long.byte 0x3E8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3E8 0.--7. 1. "DMA_SYSTEM_DREQ_8_IRQ_8," line.long 0x3EC "CTRL_CORE_DMA_SYSTEM_DREQ_10_11," hexmask.long.byte 0x3EC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3EC 16.--23. 1. "DMA_SYSTEM_DREQ_11_IRQ_11," newline hexmask.long.byte 0x3EC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3EC 0.--7. 1. "DMA_SYSTEM_DREQ_10_IRQ_10," line.long 0x3F0 "CTRL_CORE_DMA_SYSTEM_DREQ_12_13," hexmask.long.byte 0x3F0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3F0 16.--23. 1. "DMA_SYSTEM_DREQ_13_IRQ_13," newline hexmask.long.byte 0x3F0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3F0 0.--7. 1. "DMA_SYSTEM_DREQ_12_IRQ_12," line.long 0x3F4 "CTRL_CORE_DMA_SYSTEM_DREQ_14_15," hexmask.long.byte 0x3F4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3F4 16.--23. 1. "DMA_SYSTEM_DREQ_15_IRQ_15," newline hexmask.long.byte 0x3F4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3F4 0.--7. 1. "DMA_SYSTEM_DREQ_14_IRQ_14," line.long 0x3F8 "CTRL_CORE_DMA_SYSTEM_DREQ_16_17," hexmask.long.byte 0x3F8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3F8 16.--23. 1. "DMA_SYSTEM_DREQ_17_IRQ_17," newline hexmask.long.byte 0x3F8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3F8 0.--7. 1. "DMA_SYSTEM_DREQ_16_IRQ_16," line.long 0x3FC "CTRL_CORE_DMA_SYSTEM_DREQ_18_19," hexmask.long.byte 0x3FC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x3FC 16.--23. 1. "DMA_SYSTEM_DREQ_19_IRQ_19," newline hexmask.long.byte 0x3FC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x3FC 0.--7. 1. "DMA_SYSTEM_DREQ_18_IRQ_18," line.long 0x400 "CTRL_CORE_DMA_SYSTEM_DREQ_20_21," hexmask.long.byte 0x400 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x400 16.--23. 1. "DMA_SYSTEM_DREQ_21_IRQ_21," newline hexmask.long.byte 0x400 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x400 0.--7. 1. "DMA_SYSTEM_DREQ_20_IRQ_20," line.long 0x404 "CTRL_CORE_DMA_SYSTEM_DREQ_22_23," hexmask.long.byte 0x404 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x404 16.--23. 1. "DMA_SYSTEM_DREQ_23_IRQ_23," newline hexmask.long.byte 0x404 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x404 0.--7. 1. "DMA_SYSTEM_DREQ_22_IRQ_22," line.long 0x408 "CTRL_CORE_DMA_SYSTEM_DREQ_24_25," hexmask.long.byte 0x408 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x408 16.--23. 1. "DMA_SYSTEM_DREQ_25_IRQ_25," newline hexmask.long.byte 0x408 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x408 0.--7. 1. "DMA_SYSTEM_DREQ_24_IRQ_24," line.long 0x40C "CTRL_CORE_DMA_SYSTEM_DREQ_26_27," hexmask.long.byte 0x40C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x40C 16.--23. 1. "DMA_SYSTEM_DREQ_27_IRQ_27," newline hexmask.long.byte 0x40C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x40C 0.--7. 1. "DMA_SYSTEM_DREQ_26_IRQ_26," line.long 0x410 "CTRL_CORE_DMA_SYSTEM_DREQ_28_29," hexmask.long.byte 0x410 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x410 16.--23. 1. "DMA_SYSTEM_DREQ_29_IRQ_29," newline hexmask.long.byte 0x410 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x410 0.--7. 1. "DMA_SYSTEM_DREQ_28_IRQ_28," line.long 0x414 "CTRL_CORE_DMA_SYSTEM_DREQ_30_31," hexmask.long.byte 0x414 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x414 16.--23. 1. "DMA_SYSTEM_DREQ_31_IRQ_31," newline hexmask.long.byte 0x414 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x414 0.--7. 1. "DMA_SYSTEM_DREQ_30_IRQ_30," line.long 0x418 "CTRL_CORE_DMA_SYSTEM_DREQ_32_33," hexmask.long.byte 0x418 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x418 16.--23. 1. "DMA_SYSTEM_DREQ_33_IRQ_33," newline hexmask.long.byte 0x418 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x418 0.--7. 1. "DMA_SYSTEM_DREQ_32_IRQ_32," line.long 0x41C "CTRL_CORE_DMA_SYSTEM_DREQ_34_35," hexmask.long.byte 0x41C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x41C 16.--23. 1. "DMA_SYSTEM_DREQ_35_IRQ_35," newline hexmask.long.byte 0x41C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x41C 0.--7. 1. "DMA_SYSTEM_DREQ_34_IRQ_34," line.long 0x420 "CTRL_CORE_DMA_SYSTEM_DREQ_36_37," hexmask.long.byte 0x420 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x420 16.--23. 1. "DMA_SYSTEM_DREQ_37_IRQ_37," newline hexmask.long.byte 0x420 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x420 0.--7. 1. "DMA_SYSTEM_DREQ_36_IRQ_36," line.long 0x424 "CTRL_CORE_DMA_SYSTEM_DREQ_38_39," hexmask.long.byte 0x424 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x424 16.--23. 1. "DMA_SYSTEM_DREQ_39_IRQ_39," newline hexmask.long.byte 0x424 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x424 0.--7. 1. "DMA_SYSTEM_DREQ_38_IRQ_38," line.long 0x428 "CTRL_CORE_DMA_SYSTEM_DREQ_40_41," hexmask.long.byte 0x428 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x428 16.--23. 1. "DMA_SYSTEM_DREQ_41_IRQ_41," newline hexmask.long.byte 0x428 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x428 0.--7. 1. "DMA_SYSTEM_DREQ_40_IRQ_40," line.long 0x42C "CTRL_CORE_DMA_SYSTEM_DREQ_42_43," hexmask.long.byte 0x42C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x42C 16.--23. 1. "DMA_SYSTEM_DREQ_43_IRQ_43," newline hexmask.long.byte 0x42C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x42C 0.--7. 1. "DMA_SYSTEM_DREQ_42_IRQ_42," line.long 0x430 "CTRL_CORE_DMA_SYSTEM_DREQ_44_45," hexmask.long.byte 0x430 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x430 16.--23. 1. "DMA_SYSTEM_DREQ_45_IRQ_45," newline hexmask.long.byte 0x430 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x430 0.--7. 1. "DMA_SYSTEM_DREQ_44_IRQ_44," line.long 0x434 "CTRL_CORE_DMA_SYSTEM_DREQ_46_47," hexmask.long.byte 0x434 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x434 16.--23. 1. "DMA_SYSTEM_DREQ_47_IRQ_47," newline hexmask.long.byte 0x434 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x434 0.--7. 1. "DMA_SYSTEM_DREQ_46_IRQ_46," line.long 0x438 "CTRL_CORE_DMA_SYSTEM_DREQ_48_49," hexmask.long.byte 0x438 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x438 16.--23. 1. "DMA_SYSTEM_DREQ_49_IRQ_49," newline hexmask.long.byte 0x438 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x438 0.--7. 1. "DMA_SYSTEM_DREQ_48_IRQ_48," line.long 0x43C "CTRL_CORE_DMA_SYSTEM_DREQ_50_51," hexmask.long.byte 0x43C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x43C 16.--23. 1. "DMA_SYSTEM_DREQ_51_IRQ_51," newline hexmask.long.byte 0x43C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x43C 0.--7. 1. "DMA_SYSTEM_DREQ_50_IRQ_50," line.long 0x440 "CTRL_CORE_DMA_SYSTEM_DREQ_52_53," hexmask.long.byte 0x440 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x440 16.--23. 1. "DMA_SYSTEM_DREQ_53_IRQ_53," newline hexmask.long.byte 0x440 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x440 0.--7. 1. "DMA_SYSTEM_DREQ_52_IRQ_52," line.long 0x444 "CTRL_CORE_DMA_SYSTEM_DREQ_54_55," hexmask.long.byte 0x444 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x444 16.--23. 1. "DMA_SYSTEM_DREQ_55_IRQ_55," newline hexmask.long.byte 0x444 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x444 0.--7. 1. "DMA_SYSTEM_DREQ_54_IRQ_54," line.long 0x448 "CTRL_CORE_DMA_SYSTEM_DREQ_56_57," hexmask.long.byte 0x448 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x448 16.--23. 1. "DMA_SYSTEM_DREQ_57_IRQ_57," newline hexmask.long.byte 0x448 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x448 0.--7. 1. "DMA_SYSTEM_DREQ_56_IRQ_56," line.long 0x44C "CTRL_CORE_DMA_SYSTEM_DREQ_58_59," hexmask.long.byte 0x44C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x44C 16.--23. 1. "DMA_SYSTEM_DREQ_59_IRQ_59," newline hexmask.long.byte 0x44C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x44C 0.--7. 1. "DMA_SYSTEM_DREQ_58_IRQ_58," line.long 0x450 "CTRL_CORE_DMA_SYSTEM_DREQ_60_61," hexmask.long.byte 0x450 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x450 16.--23. 1. "DMA_SYSTEM_DREQ_61_IRQ_61," newline hexmask.long.byte 0x450 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x450 0.--7. 1. "DMA_SYSTEM_DREQ_60_IRQ_60," line.long 0x454 "CTRL_CORE_DMA_SYSTEM_DREQ_62_63," hexmask.long.byte 0x454 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x454 16.--23. 1. "DMA_SYSTEM_DREQ_63_IRQ_63," newline hexmask.long.byte 0x454 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x454 0.--7. 1. "DMA_SYSTEM_DREQ_62_IRQ_62," line.long 0x458 "CTRL_CORE_DMA_SYSTEM_DREQ_64_65," hexmask.long.byte 0x458 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x458 16.--23. 1. "DMA_SYSTEM_DREQ_65_IRQ_65," newline hexmask.long.byte 0x458 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x458 0.--7. 1. "DMA_SYSTEM_DREQ_64_IRQ_64," line.long 0x45C "CTRL_CORE_DMA_SYSTEM_DREQ_66_67," hexmask.long.byte 0x45C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x45C 16.--23. 1. "DMA_SYSTEM_DREQ_67_IRQ_67," newline hexmask.long.byte 0x45C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x45C 0.--7. 1. "DMA_SYSTEM_DREQ_66_IRQ_66," line.long 0x460 "CTRL_CORE_DMA_SYSTEM_DREQ_68_69," hexmask.long.byte 0x460 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x460 16.--23. 1. "DMA_SYSTEM_DREQ_69_IRQ_69," newline hexmask.long.byte 0x460 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x460 0.--7. 1. "DMA_SYSTEM_DREQ_68_IRQ_68," line.long 0x464 "CTRL_CORE_DMA_SYSTEM_DREQ_70_71," hexmask.long.byte 0x464 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x464 16.--23. 1. "DMA_SYSTEM_DREQ_71_IRQ_71," newline hexmask.long.byte 0x464 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x464 0.--7. 1. "DMA_SYSTEM_DREQ_70_IRQ_70," line.long 0x468 "CTRL_CORE_DMA_SYSTEM_DREQ_72_73," hexmask.long.byte 0x468 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x468 16.--23. 1. "DMA_SYSTEM_DREQ_73_IRQ_73," newline hexmask.long.byte 0x468 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x468 0.--7. 1. "DMA_SYSTEM_DREQ_72_IRQ_72," line.long 0x46C "CTRL_CORE_DMA_SYSTEM_DREQ_74_75," hexmask.long.byte 0x46C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x46C 16.--23. 1. "DMA_SYSTEM_DREQ_75_IRQ_75," newline hexmask.long.byte 0x46C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x46C 0.--7. 1. "DMA_SYSTEM_DREQ_74_IRQ_74," line.long 0x470 "CTRL_CORE_DMA_SYSTEM_DREQ_76_77," hexmask.long.byte 0x470 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x470 16.--23. 1. "DMA_SYSTEM_DREQ_77_IRQ_77," newline hexmask.long.byte 0x470 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x470 0.--7. 1. "DMA_SYSTEM_DREQ_76_IRQ_76," line.long 0x474 "CTRL_CORE_DMA_SYSTEM_DREQ_78_79," hexmask.long.byte 0x474 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x474 16.--23. 1. "DMA_SYSTEM_DREQ_79_IRQ_79," newline hexmask.long.byte 0x474 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x474 0.--7. 1. "DMA_SYSTEM_DREQ_78_IRQ_78," line.long 0x478 "CTRL_CORE_DMA_SYSTEM_DREQ_80_81," hexmask.long.byte 0x478 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x478 16.--23. 1. "DMA_SYSTEM_DREQ_81_IRQ_81," newline hexmask.long.byte 0x478 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x478 0.--7. 1. "DMA_SYSTEM_DREQ_80_IRQ_80," line.long 0x47C "CTRL_CORE_DMA_SYSTEM_DREQ_82_83," hexmask.long.byte 0x47C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x47C 16.--23. 1. "DMA_SYSTEM_DREQ_83_IRQ_83," newline hexmask.long.byte 0x47C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x47C 0.--7. 1. "DMA_SYSTEM_DREQ_82_IRQ_82," line.long 0x480 "CTRL_CORE_DMA_SYSTEM_DREQ_84_85," hexmask.long.byte 0x480 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x480 16.--23. 1. "DMA_SYSTEM_DREQ_85_IRQ_85," newline hexmask.long.byte 0x480 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x480 0.--7. 1. "DMA_SYSTEM_DREQ_84_IRQ_84," line.long 0x484 "CTRL_CORE_DMA_SYSTEM_DREQ_86_87," hexmask.long.byte 0x484 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x484 16.--23. 1. "DMA_SYSTEM_DREQ_87_IRQ_87," newline hexmask.long.byte 0x484 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x484 0.--7. 1. "DMA_SYSTEM_DREQ_86_IRQ_86," line.long 0x488 "CTRL_CORE_DMA_SYSTEM_DREQ_88_89," hexmask.long.byte 0x488 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x488 16.--23. 1. "DMA_SYSTEM_DREQ_89_IRQ_89," newline hexmask.long.byte 0x488 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x488 0.--7. 1. "DMA_SYSTEM_DREQ_88_IRQ_88," line.long 0x48C "CTRL_CORE_DMA_SYSTEM_DREQ_90_91," hexmask.long.byte 0x48C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x48C 16.--23. 1. "DMA_SYSTEM_DREQ_91_IRQ_91," newline hexmask.long.byte 0x48C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x48C 0.--7. 1. "DMA_SYSTEM_DREQ_90_IRQ_90," line.long 0x490 "CTRL_CORE_DMA_SYSTEM_DREQ_92_93," hexmask.long.byte 0x490 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x490 16.--23. 1. "DMA_SYSTEM_DREQ_93_IRQ_93," newline hexmask.long.byte 0x490 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x490 0.--7. 1. "DMA_SYSTEM_DREQ_92_IRQ_92," line.long 0x494 "CTRL_CORE_DMA_SYSTEM_DREQ_94_95," hexmask.long.byte 0x494 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x494 16.--23. 1. "DMA_SYSTEM_DREQ_95_IRQ_95," newline hexmask.long.byte 0x494 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x494 0.--7. 1. "DMA_SYSTEM_DREQ_94_IRQ_94," line.long 0x498 "CTRL_CORE_DMA_SYSTEM_DREQ_96_97," hexmask.long.byte 0x498 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x498 16.--23. 1. "DMA_SYSTEM_DREQ_97_IRQ_97," newline hexmask.long.byte 0x498 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x498 0.--7. 1. "DMA_SYSTEM_DREQ_96_IRQ_96," line.long 0x49C "CTRL_CORE_DMA_SYSTEM_DREQ_98_99," hexmask.long.byte 0x49C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x49C 16.--23. 1. "DMA_SYSTEM_DREQ_99_IRQ_99," newline hexmask.long.byte 0x49C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x49C 0.--7. 1. "DMA_SYSTEM_DREQ_98_IRQ_98," line.long 0x4A0 "CTRL_CORE_DMA_SYSTEM_DREQ_100_101," hexmask.long.byte 0x4A0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4A0 16.--23. 1. "DMA_SYSTEM_DREQ_101_IRQ_101," newline hexmask.long.byte 0x4A0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4A0 0.--7. 1. "DMA_SYSTEM_DREQ_100_IRQ_100," line.long 0x4A4 "CTRL_CORE_DMA_SYSTEM_DREQ_102_103," hexmask.long.byte 0x4A4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4A4 16.--23. 1. "DMA_SYSTEM_DREQ_103_IRQ_103," newline hexmask.long.byte 0x4A4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4A4 0.--7. 1. "DMA_SYSTEM_DREQ_102_IRQ_102," line.long 0x4A8 "CTRL_CORE_DMA_SYSTEM_DREQ_104_105," hexmask.long.byte 0x4A8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4A8 16.--23. 1. "DMA_SYSTEM_DREQ_105_IRQ_105," newline hexmask.long.byte 0x4A8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4A8 0.--7. 1. "DMA_SYSTEM_DREQ_104_IRQ_104," line.long 0x4AC "CTRL_CORE_DMA_SYSTEM_DREQ_106_107," hexmask.long.byte 0x4AC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4AC 16.--23. 1. "DMA_SYSTEM_DREQ_107_IRQ_107," newline hexmask.long.byte 0x4AC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4AC 0.--7. 1. "DMA_SYSTEM_DREQ_106_IRQ_106," line.long 0x4B0 "CTRL_CORE_DMA_SYSTEM_DREQ_108_109," hexmask.long.byte 0x4B0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4B0 16.--23. 1. "DMA_SYSTEM_DREQ_109_IRQ_109," newline hexmask.long.byte 0x4B0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4B0 0.--7. 1. "DMA_SYSTEM_DREQ_108_IRQ_108," line.long 0x4B4 "CTRL_CORE_DMA_SYSTEM_DREQ_110_111," hexmask.long.byte 0x4B4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4B4 16.--23. 1. "DMA_SYSTEM_DREQ_111_IRQ_111," newline hexmask.long.byte 0x4B4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4B4 0.--7. 1. "DMA_SYSTEM_DREQ_110_IRQ_110," line.long 0x4B8 "CTRL_CORE_DMA_SYSTEM_DREQ_112_113," hexmask.long.byte 0x4B8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4B8 16.--23. 1. "DMA_SYSTEM_DREQ_113_IRQ_113," newline hexmask.long.byte 0x4B8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4B8 0.--7. 1. "DMA_SYSTEM_DREQ_112_IRQ_112," line.long 0x4BC "CTRL_CORE_DMA_SYSTEM_DREQ_114_115," hexmask.long.byte 0x4BC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4BC 16.--23. 1. "DMA_SYSTEM_DREQ_115_IRQ_115," newline hexmask.long.byte 0x4BC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4BC 0.--7. 1. "DMA_SYSTEM_DREQ_114_IRQ_114," line.long 0x4C0 "CTRL_CORE_DMA_SYSTEM_DREQ_116_117," hexmask.long.byte 0x4C0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4C0 16.--23. 1. "DMA_SYSTEM_DREQ_117_IRQ_117," newline hexmask.long.byte 0x4C0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4C0 0.--7. 1. "DMA_SYSTEM_DREQ_116_IRQ_116," line.long 0x4C4 "CTRL_CORE_DMA_SYSTEM_DREQ_118_119," hexmask.long.byte 0x4C4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4C4 16.--23. 1. "DMA_SYSTEM_DREQ_119_IRQ_119," newline hexmask.long.byte 0x4C4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4C4 0.--7. 1. "DMA_SYSTEM_DREQ_118_IRQ_118," line.long 0x4C8 "CTRL_CORE_DMA_SYSTEM_DREQ_120_121," hexmask.long.byte 0x4C8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4C8 16.--23. 1. "DMA_SYSTEM_DREQ_121_IRQ_121," newline hexmask.long.byte 0x4C8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4C8 0.--7. 1. "DMA_SYSTEM_DREQ_120_IRQ_120," line.long 0x4CC "CTRL_CORE_DMA_SYSTEM_DREQ_122_123," hexmask.long.byte 0x4CC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4CC 16.--23. 1. "DMA_SYSTEM_DREQ_123_IRQ_123," newline hexmask.long.byte 0x4CC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4CC 0.--7. 1. "DMA_SYSTEM_DREQ_122_IRQ_122," line.long 0x4D0 "CTRL_CORE_DMA_SYSTEM_DREQ_124_125," hexmask.long.byte 0x4D0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4D0 16.--23. 1. "DMA_SYSTEM_DREQ_125_IRQ_125," newline hexmask.long.byte 0x4D0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4D0 0.--7. 1. "DMA_SYSTEM_DREQ_124_IRQ_124," line.long 0x4D4 "CTRL_CORE_DMA_SYSTEM_DREQ_126_127," hexmask.long.tbyte 0x4D4 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x4D4 0.--7. 1. "DMA_SYSTEM_DREQ_126_IRQ_126," line.long 0x4D8 "CTRL_CORE_DMA_EDMA_DREQ_0_1," hexmask.long.byte 0x4D8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4D8 16.--23. 1. "DMA_EDMA_DREQ_1_IRQ_1," newline hexmask.long.byte 0x4D8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4D8 0.--7. 1. "DMA_EDMA_DREQ_0_IRQ_0," line.long 0x4DC "CTRL_CORE_DMA_EDMA_DREQ_2_3," hexmask.long.byte 0x4DC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4DC 16.--23. 1. "DMA_EDMA_DREQ_3_IRQ_3," newline hexmask.long.byte 0x4DC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4DC 0.--7. 1. "DMA_EDMA_DREQ_2_IRQ_2," line.long 0x4E0 "CTRL_CORE_DMA_EDMA_DREQ_4_5," hexmask.long.byte 0x4E0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4E0 16.--23. 1. "DMA_EDMA_DREQ_5_IRQ_5," newline hexmask.long.byte 0x4E0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4E0 0.--7. 1. "DMA_EDMA_DREQ_4_IRQ_4," line.long 0x4E4 "CTRL_CORE_DMA_EDMA_DREQ_6_7," hexmask.long.byte 0x4E4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4E4 16.--23. 1. "DMA_EDMA_DREQ_7_IRQ_7," newline hexmask.long.byte 0x4E4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4E4 0.--7. 1. "DMA_EDMA_DREQ_6_IRQ_6," line.long 0x4E8 "CTRL_CORE_DMA_EDMA_DREQ_8_9," hexmask.long.byte 0x4E8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4E8 16.--23. 1. "DMA_EDMA_DREQ_9_IRQ_9," newline hexmask.long.byte 0x4E8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4E8 0.--7. 1. "DMA_EDMA_DREQ_8_IRQ_8," line.long 0x4EC "CTRL_CORE_DMA_EDMA_DREQ_10_11," hexmask.long.byte 0x4EC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4EC 16.--23. 1. "DMA_EDMA_DREQ_11_IRQ_11," newline hexmask.long.byte 0x4EC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4EC 0.--7. 1. "DMA_EDMA_DREQ_10_IRQ_10," line.long 0x4F0 "CTRL_CORE_DMA_EDMA_DREQ_12_13," hexmask.long.byte 0x4F0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4F0 16.--23. 1. "DMA_EDMA_DREQ_13_IRQ_13," newline hexmask.long.byte 0x4F0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4F0 0.--7. 1. "DMA_EDMA_DREQ_12_IRQ_12," line.long 0x4F4 "CTRL_CORE_DMA_EDMA_DREQ_14_15," hexmask.long.byte 0x4F4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4F4 16.--23. 1. "DMA_EDMA_DREQ_15_IRQ_15," newline hexmask.long.byte 0x4F4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4F4 0.--7. 1. "DMA_EDMA_DREQ_14_IRQ_14," line.long 0x4F8 "CTRL_CORE_DMA_EDMA_DREQ_16_17," hexmask.long.byte 0x4F8 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4F8 16.--23. 1. "DMA_EDMA_DREQ_17_IRQ_17," newline hexmask.long.byte 0x4F8 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4F8 0.--7. 1. "DMA_EDMA_DREQ_16_IRQ_16," line.long 0x4FC "CTRL_CORE_DMA_EDMA_DREQ_18_19," hexmask.long.byte 0x4FC 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x4FC 16.--23. 1. "DMA_EDMA_DREQ_19_IRQ_19," newline hexmask.long.byte 0x4FC 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x4FC 0.--7. 1. "DMA_EDMA_DREQ_18_IRQ_18," line.long 0x500 "CTRL_CORE_DMA_EDMA_DREQ_20_21," hexmask.long.byte 0x500 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x500 16.--23. 1. "DMA_EDMA_DREQ_21_IRQ_21," newline hexmask.long.byte 0x500 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x500 0.--7. 1. "DMA_EDMA_DREQ_20_IRQ_20," line.long 0x504 "CTRL_CORE_DMA_EDMA_DREQ_22_23," hexmask.long.byte 0x504 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x504 16.--23. 1. "DMA_EDMA_DREQ_23_IRQ_23," newline hexmask.long.byte 0x504 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x504 0.--7. 1. "DMA_EDMA_DREQ_22_IRQ_22," line.long 0x508 "CTRL_CORE_DMA_EDMA_DREQ_24_25," hexmask.long.byte 0x508 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x508 16.--23. 1. "DMA_EDMA_DREQ_25_IRQ_25," newline hexmask.long.byte 0x508 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x508 0.--7. 1. "DMA_EDMA_DREQ_24_IRQ_24," line.long 0x50C "CTRL_CORE_DMA_EDMA_DREQ_26_27," hexmask.long.byte 0x50C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x50C 16.--23. 1. "DMA_EDMA_DREQ_27_IRQ_27," newline hexmask.long.byte 0x50C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x50C 0.--7. 1. "DMA_EDMA_DREQ_26_IRQ_26," line.long 0x510 "CTRL_CORE_DMA_EDMA_DREQ_28_29," hexmask.long.byte 0x510 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x510 16.--23. 1. "DMA_EDMA_DREQ_29_IRQ_29," newline hexmask.long.byte 0x510 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x510 0.--7. 1. "DMA_EDMA_DREQ_28_IRQ_28," line.long 0x514 "CTRL_CORE_DMA_EDMA_DREQ_30_31," hexmask.long.byte 0x514 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x514 16.--23. 1. "DMA_EDMA_DREQ_31_IRQ_31," newline hexmask.long.byte 0x514 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x514 0.--7. 1. "DMA_EDMA_DREQ_30_IRQ_30," line.long 0x518 "CTRL_CORE_DMA_EDMA_DREQ_32_33," hexmask.long.byte 0x518 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x518 16.--23. 1. "DMA_EDMA_DREQ_33_IRQ_33," newline hexmask.long.byte 0x518 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x518 0.--7. 1. "DMA_EDMA_DREQ_32_IRQ_32," line.long 0x51C "CTRL_CORE_DMA_EDMA_DREQ_34_35," hexmask.long.byte 0x51C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x51C 16.--23. 1. "DMA_EDMA_DREQ_35_IRQ_35," newline hexmask.long.byte 0x51C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x51C 0.--7. 1. "DMA_EDMA_DREQ_34_IRQ_34," line.long 0x520 "CTRL_CORE_DMA_EDMA_DREQ_36_37," hexmask.long.byte 0x520 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x520 16.--23. 1. "DMA_EDMA_DREQ_37_IRQ_37," newline hexmask.long.byte 0x520 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x520 0.--7. 1. "DMA_EDMA_DREQ_36_IRQ_36," line.long 0x524 "CTRL_CORE_DMA_EDMA_DREQ_38_39," hexmask.long.byte 0x524 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x524 16.--23. 1. "DMA_EDMA_DREQ_39_IRQ_39," newline hexmask.long.byte 0x524 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x524 0.--7. 1. "DMA_EDMA_DREQ_38_IRQ_38," line.long 0x528 "CTRL_CORE_DMA_EDMA_DREQ_40_41," hexmask.long.byte 0x528 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x528 16.--23. 1. "DMA_EDMA_DREQ_41_IRQ_41," newline hexmask.long.byte 0x528 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x528 0.--7. 1. "DMA_EDMA_DREQ_40_IRQ_40," line.long 0x52C "CTRL_CORE_DMA_EDMA_DREQ_42_43," hexmask.long.byte 0x52C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x52C 16.--23. 1. "DMA_EDMA_DREQ_43_IRQ_43," newline hexmask.long.byte 0x52C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x52C 0.--7. 1. "DMA_EDMA_DREQ_42_IRQ_42," line.long 0x530 "CTRL_CORE_DMA_EDMA_DREQ_44_45," hexmask.long.byte 0x530 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x530 16.--23. 1. "DMA_EDMA_DREQ_45_IRQ_45," newline hexmask.long.byte 0x530 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x530 0.--7. 1. "DMA_EDMA_DREQ_44_IRQ_44," line.long 0x534 "CTRL_CORE_DMA_EDMA_DREQ_46_47," hexmask.long.byte 0x534 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x534 16.--23. 1. "DMA_EDMA_DREQ_47_IRQ_47," newline hexmask.long.byte 0x534 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x534 0.--7. 1. "DMA_EDMA_DREQ_46_IRQ_46," line.long 0x538 "CTRL_CORE_DMA_EDMA_DREQ_48_49," hexmask.long.byte 0x538 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x538 16.--23. 1. "DMA_EDMA_DREQ_49_IRQ_49," newline hexmask.long.byte 0x538 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x538 0.--7. 1. "DMA_EDMA_DREQ_48_IRQ_48," line.long 0x53C "CTRL_CORE_DMA_EDMA_DREQ_50_51," hexmask.long.byte 0x53C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x53C 16.--23. 1. "DMA_EDMA_DREQ_51_IRQ_51," newline hexmask.long.byte 0x53C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x53C 0.--7. 1. "DMA_EDMA_DREQ_50_IRQ_50," line.long 0x540 "CTRL_CORE_DMA_EDMA_DREQ_52_53," hexmask.long.byte 0x540 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x540 16.--23. 1. "DMA_EDMA_DREQ_53_IRQ_53," newline hexmask.long.byte 0x540 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x540 0.--7. 1. "DMA_EDMA_DREQ_52_IRQ_52," line.long 0x544 "CTRL_CORE_DMA_EDMA_DREQ_54_55," hexmask.long.byte 0x544 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x544 16.--23. 1. "DMA_EDMA_DREQ_55_IRQ_55," newline hexmask.long.byte 0x544 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x544 0.--7. 1. "DMA_EDMA_DREQ_54_IRQ_54," line.long 0x548 "CTRL_CORE_DMA_EDMA_DREQ_56_57," hexmask.long.byte 0x548 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x548 16.--23. 1. "DMA_EDMA_DREQ_57_IRQ_57," newline hexmask.long.byte 0x548 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x548 0.--7. 1. "DMA_EDMA_DREQ_56_IRQ_56," line.long 0x54C "CTRL_CORE_DMA_EDMA_DREQ_58_59," hexmask.long.byte 0x54C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x54C 16.--23. 1. "DMA_EDMA_DREQ_59_IRQ_59," newline hexmask.long.byte 0x54C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x54C 0.--7. 1. "DMA_EDMA_DREQ_58_IRQ_58," line.long 0x550 "CTRL_CORE_DMA_EDMA_DREQ_60_61," hexmask.long.byte 0x550 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x550 16.--23. 1. "DMA_EDMA_DREQ_61_IRQ_61," newline hexmask.long.byte 0x550 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x550 0.--7. 1. "DMA_EDMA_DREQ_60_IRQ_60," line.long 0x554 "CTRL_CORE_DMA_EDMA_DREQ_62_63," hexmask.long.byte 0x554 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x554 16.--23. 1. "DMA_EDMA_DREQ_63_IRQ_63," newline hexmask.long.byte 0x554 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x554 0.--7. 1. "DMA_EDMA_DREQ_62_IRQ_62," line.long 0x558 "CTRL_CORE_DMA_DSP1_DREQ_0_1," hexmask.long.byte 0x558 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x558 16.--23. 1. "DMA_DSP1_DREQ_1_IRQ_1," newline hexmask.long.byte 0x558 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x558 0.--7. 1. "DMA_DSP1_DREQ_0_IRQ_0," line.long 0x55C "CTRL_CORE_DMA_DSP1_DREQ_2_3," hexmask.long.byte 0x55C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x55C 16.--23. 1. "DMA_DSP1_DREQ_3_IRQ_3," newline hexmask.long.byte 0x55C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x55C 0.--7. 1. "DMA_DSP1_DREQ_2_IRQ_2," line.long 0x560 "CTRL_CORE_DMA_DSP1_DREQ_4_5," hexmask.long.byte 0x560 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x560 16.--23. 1. "DMA_DSP1_DREQ_5_IRQ_5," newline hexmask.long.byte 0x560 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x560 0.--7. 1. "DMA_DSP1_DREQ_4_IRQ_4," line.long 0x564 "CTRL_CORE_DMA_DSP1_DREQ_6_7," hexmask.long.byte 0x564 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x564 16.--23. 1. "DMA_DSP1_DREQ_7_IRQ_7," newline hexmask.long.byte 0x564 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x564 0.--7. 1. "DMA_DSP1_DREQ_6_IRQ_6," line.long 0x568 "CTRL_CORE_DMA_DSP1_DREQ_8_9," hexmask.long.byte 0x568 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x568 16.--23. 1. "DMA_DSP1_DREQ_9_IRQ_9," newline hexmask.long.byte 0x568 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x568 0.--7. 1. "DMA_DSP1_DREQ_8_IRQ_8," line.long 0x56C "CTRL_CORE_DMA_DSP1_DREQ_10_11," hexmask.long.byte 0x56C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x56C 16.--23. 1. "DMA_DSP1_DREQ_11_IRQ_11," newline hexmask.long.byte 0x56C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x56C 0.--7. 1. "DMA_DSP1_DREQ_10_IRQ_10," line.long 0x570 "CTRL_CORE_DMA_DSP1_DREQ_12_13," hexmask.long.byte 0x570 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x570 16.--23. 1. "DMA_DSP1_DREQ_13_IRQ_13," newline hexmask.long.byte 0x570 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x570 0.--7. 1. "DMA_DSP1_DREQ_12_IRQ_12," line.long 0x574 "CTRL_CORE_DMA_DSP1_DREQ_14_15," hexmask.long.byte 0x574 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x574 16.--23. 1. "DMA_DSP1_DREQ_15_IRQ_15," newline hexmask.long.byte 0x574 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x574 0.--7. 1. "DMA_DSP1_DREQ_14_IRQ_14," line.long 0x578 "CTRL_CORE_DMA_DSP1_DREQ_16_17," hexmask.long.byte 0x578 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x578 16.--23. 1. "DMA_DSP1_DREQ_17_IRQ_17," newline hexmask.long.byte 0x578 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x578 0.--7. 1. "DMA_DSP1_DREQ_16_IRQ_16," line.long 0x57C "CTRL_CORE_DMA_DSP1_DREQ_18_19," hexmask.long.byte 0x57C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x57C 16.--23. 1. "DMA_DSP1_DREQ_19_IRQ_19," newline hexmask.long.byte 0x57C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x57C 0.--7. 1. "DMA_DSP1_DREQ_18_IRQ_18," line.long 0x580 "CTRL_CORE_DMA_DSP2_DREQ_0_1," hexmask.long.byte 0x580 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x580 16.--23. 1. "DMA_DSP2_DREQ_1_IRQ_1," newline hexmask.long.byte 0x580 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x580 0.--7. 1. "DMA_DSP2_DREQ_0_IRQ_0," line.long 0x584 "CTRL_CORE_DMA_DSP2_DREQ_2_3," hexmask.long.byte 0x584 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x584 16.--23. 1. "DMA_DSP2_DREQ_3_IRQ_3," newline hexmask.long.byte 0x584 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x584 0.--7. 1. "DMA_DSP2_DREQ_2_IRQ_2," line.long 0x588 "CTRL_CORE_DMA_DSP2_DREQ_4_5," hexmask.long.byte 0x588 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x588 16.--23. 1. "DMA_DSP2_DREQ_5_IRQ_5," newline hexmask.long.byte 0x588 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x588 0.--7. 1. "DMA_DSP2_DREQ_4_IRQ_4," line.long 0x58C "CTRL_CORE_DMA_DSP2_DREQ_6_7," hexmask.long.byte 0x58C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x58C 16.--23. 1. "DMA_DSP2_DREQ_7_IRQ_7," newline hexmask.long.byte 0x58C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x58C 0.--7. 1. "DMA_DSP2_DREQ_6_IRQ_6," line.long 0x590 "CTRL_CORE_DMA_DSP2_DREQ_8_9," hexmask.long.byte 0x590 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x590 16.--23. 1. "DMA_DSP2_DREQ_9_IRQ_9," newline hexmask.long.byte 0x590 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x590 0.--7. 1. "DMA_DSP2_DREQ_8_IRQ_8," line.long 0x594 "CTRL_CORE_DMA_DSP2_DREQ_10_11," hexmask.long.byte 0x594 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x594 16.--23. 1. "DMA_DSP2_DREQ_11_IRQ_11," newline hexmask.long.byte 0x594 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x594 0.--7. 1. "DMA_DSP2_DREQ_10_IRQ_10," line.long 0x598 "CTRL_CORE_DMA_DSP2_DREQ_12_13," hexmask.long.byte 0x598 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x598 16.--23. 1. "DMA_DSP2_DREQ_13_IRQ_13," newline hexmask.long.byte 0x598 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x598 0.--7. 1. "DMA_DSP2_DREQ_12_IRQ_12," line.long 0x59C "CTRL_CORE_DMA_DSP2_DREQ_14_15," hexmask.long.byte 0x59C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x59C 16.--23. 1. "DMA_DSP2_DREQ_15_IRQ_15," newline hexmask.long.byte 0x59C 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x59C 0.--7. 1. "DMA_DSP2_DREQ_14_IRQ_14," line.long 0x5A0 "CTRL_CORE_DMA_DSP2_DREQ_16_17," hexmask.long.byte 0x5A0 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x5A0 16.--23. 1. "DMA_DSP2_DREQ_17_IRQ_17," newline hexmask.long.byte 0x5A0 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x5A0 0.--7. 1. "DMA_DSP2_DREQ_16_IRQ_16," line.long 0x5A4 "CTRL_CORE_DMA_DSP2_DREQ_18_19," hexmask.long.byte 0x5A4 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x5A4 16.--23. 1. "DMA_DSP2_DREQ_19_IRQ_19," newline hexmask.long.byte 0x5A4 8.--15. 1. "RESERVED," newline hexmask.long.byte 0x5A4 0.--7. 1. "DMA_DSP2_DREQ_18_IRQ_18," group.long 0xD4C++0x07 line.long 0x00 "CTRL_CORE_OVS_DMARQ_IO_MUX," hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x00 8.--15. 1. "OVS_DMARQ_IO_MUX_2," newline hexmask.long.byte 0x00 0.--7. 1. "OVS_DMARQ_IO_MUX_1," line.long 0x04 "CTRL_CORE_OVS_IRQ_IO_MUX," hexmask.long.word 0x04 18.--31. 1. "RESERVED," newline hexmask.long.word 0x04 9.--17. 1. "OVS_IRQ_IO_MUX_2," newline hexmask.long.word 0x04 0.--8. 1. "OVS_IRQ_IO_MUX_1," group.long 0xE00++0x03 line.long 0x00 "CTRL_CORE_CONTROL_PBIAS,PBIASLITE control" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "SDCARD_BIAS_PWRDNZ,PWRDNZ control to SDCARD BIAS" "This signal is used to protect SDCARD BIAS when..,SW keep this bit to 1'b1 after VDDS stabilizing" newline bitfld.long 0x00 26. "SDCARD_IO_PWRDNZ,PWRDNZ control to SDCARD IO" "This signal is used to protect SDCARD IOs when..,SW keep this bit to 1'b1 after VDDS stabilizing" newline bitfld.long 0x00 25. "SDCARD_BIAS_HIZ_MODE,HIZ_MODE from SDCARD PBIAS" "PBIAS in normal operation mode,PBIAS output is in high impedance state" newline bitfld.long 0x00 24. "SDCARD_BIAS_SUPPLY_HI_OUT,SUPPLY_HI_OUT from SDCARD PBIAS" "VDDS = 1.8V,VDDS = 3.3V" newline bitfld.long 0x00 23. "SDCARD_BIAS_VMODE_ERROR,VMODE ERROR from SDCARD PBIAS" "VMODE level is same as SUPPLY_HI_OUT,VMODE level is not same as SUPPLY_HI_OUT" newline rbitfld.long 0x00 22. "RESERVED," "0,1" newline bitfld.long 0x00 21. "SDCARD_BIAS_VMODE,VMODE control to SDCARD PBIAS" "VDDS = 1.8V,VDDS = 3.3V" newline hexmask.long.tbyte 0x00 0.--20. 1. "RESERVED," group.long 0xE0C++0x03 line.long 0x00 "CTRL_CORE_CONTROL_HDMI_TX_PHY,HDMI TX PHY control" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 30. "HDMITXPHY_TXVALID," "0,1" newline bitfld.long 0x00 29. "HDMITXPHY_ENBYPASSCLK," "0,1" newline bitfld.long 0x00 28. "HDMITXPHY_PD_PULLUPDET," "0,1" newline hexmask.long 0x00 0.--27. 1. "RESERVED," group.long 0xE1C++0x07 line.long 0x00 "CTRL_CORE_CONTROL_USB2PHYCORE,This register is related to the USB2_PHY1" bitfld.long 0x00 31. "USB2PHY_AUTORESUME_EN,Auto resume enable" "disable autoresume,enable autoresume" newline bitfld.long 0x00 30. "USB2PHY_DISCHGDET,Disable charger detect" "charger detect function enabled,charger detect function disabled" newline bitfld.long 0x00 29. "USB2PHY_GPIOMODE,GPIO mode" "USB mode enabled,GPIO mode enabled" newline bitfld.long 0x00 28. "USB2PHY_CHG_DET_EXT_CTL,Charge detect external control" "charger detect internal state machine used,charge detect statemachine is bypassed" newline bitfld.long 0x00 27. "USB2PHY_RDM_PD_CHGDET_EN,DM Pull down control" "PD disabled,PD enabled" newline bitfld.long 0x00 26. "USB2PHY_RDP_PU_CHGDET_EN,DP Pull up control" "PU disabled,PU enabled" newline bitfld.long 0x00 25. "USB2PHY_CHG_VSRC_EN,VSRC enable on DP line:Host charger case" "disable VSRC drive on DP,drives VSRC 600mV on DP line" newline bitfld.long 0x00 24. "USB2PHY_CHG_ISINK_EN,ISINK enable on DM line:Host charger case" "disable the isink on DM,enables the ISINK (100uA) on DM line" newline bitfld.long 0x00 21.--23. "USB2PHY_CHG_DET_STATUS,Status of charger detection" "Wait state,No contact,PS/2,Unknown error,Dedicated charger,HOST charger,PC,Interrupt" newline bitfld.long 0x00 20. "USB2PHY_CHG_DET_DM_COMP,Output of the comparator on DM during the resistor host detect protocol" "DM line is below 0.75V to 0.95V,DM line is above 0.75V to 0.95V" newline bitfld.long 0x00 19. "USB2PHY_CHG_DET_DP_COMP,Output of the comparator on DP during the resistor host detect protocol" "DP line is below 0.75V to 0.95V,DP line is above 0.75V to 0.95V" newline bitfld.long 0x00 18. "USB2PHY_DATADET,Output of the charger detect comparator" "DM line is below 0.25V to 0.4V,DM line is above 0.25V to 0.4V" newline bitfld.long 0x00 17. "USB2PHY_SINKONDP,When '1' current sink is connected to DP instead of DM" "Default value,enables the ISINK on DP instead of DM" newline bitfld.long 0x00 16. "USB2PHY_SRCONDM,When '1' voltage source is connected to DP instead of DM" "Default value,enable the VSRC on DM instead of DP" newline bitfld.long 0x00 15. "USB2PHY_RESTARTCHGDET,restartchgdet = '1' for 1 msec cause the CD_START to reset" "Default value,a high pulse of 1 msec causes the.." newline bitfld.long 0x00 14. "USB2PHY_CHGDETDONE,Status indicates that charger detection protocol is over" "charger detection protocol is not over,charger detection protocol is over" newline bitfld.long 0x00 13. "USB2PHY_CHGDETECTED,Output of the charger detection protocol" "charger not detected,charger detected" newline bitfld.long 0x00 12. "USB2PHY_MCPCPUEN,MCPC Pull up enable" "disable the MCPC pull up,enable the 4.7K to10K pull up on receive line DP.." newline bitfld.long 0x00 11. "USB2PHY_MCPCMODEEN,MCPC Mode enable" "disable MCPC mode,enable MCPC mode" newline bitfld.long 0x00 10. "USB2PHY_RESETDONEMCLK,OCP reset status" "OCP domain is in reset,OCP domain is out of reset" newline bitfld.long 0x00 9. "USB2PHY_UTMIRESETDONE,UTMI FSM reset status" "UTMI FSMs are in reset,UTMI FSMs are out of reset" newline rbitfld.long 0x00 8. "RESERVED," "0,1" newline bitfld.long 0x00 7. "USB2PHY_DATAPOLARITYN,Data polarity" "DP functionality is on DP and DM funcationality..,DP functionality is on DM and DM functionality.." newline rbitfld.long 0x00 6. "USBDPLL_FREQLOCK,Status from USB DPLL" "0,1" newline rbitfld.long 0x00 5. "USB2PHY_RESETDONETCLK,resetdonetclk status from USB2PHY" "0,1" newline rbitfld.long 0x00 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "CTRL_CORE_CONTROL_HDMI_1,HDMI pads control 1" bitfld.long 0x04 31. "HDMI_DDC_SDA_GLFENB,Active_high glitch free operation enable pin for hdmi_ddc_sda receiver" "HDMI_DDC_SDA_GLFENB_0,HDMI_DDC_SDA_GLFENB_1" newline bitfld.long 0x04 30. "HDMI_DDC_SDA_PULLUPRESX,Active_low internal pull_up resistor enabled for hdmi_ddc_sda" "HDMI_DDC_SDA_PULLUPRESX_0,HDMI_DDC_SDA_PULLUPRESX_1" newline bitfld.long 0x04 29. "HDMI_DDC_SCL_GLFENB,Active_high glitch free operation enable pin for hdmi_ddc_scl receiver" "HDMI_DDC_SCL_GLFENB_0,HDMI_DDC_SCL_GLFENB_1" newline bitfld.long 0x04 28. "HDMI_DDC_SCL_PULLUPRESX,Active_low internal pull_up resistor enabled for hdmi_ddc_scl" "HDMI_DDC_SCL_PULLUPRESX_0,HDMI_DDC_SCL_PULLUPRESX_1" newline bitfld.long 0x04 27. "HDMI_DDC_SDA_HSMODE,Active-high selection for I2C High-Speed mode" "HDMI_DDC_SDA_HSMODE_0,HDMI_DDC_SDA_HSMODE_1" newline bitfld.long 0x04 26. "HDMI_DDC_SCL_HSMODE,Active-high selection for I2C High-Speed mode" "HDMI_DDC_SCL_HSMODE_0,HDMI_DDC_SCL_HSMODE_1" newline hexmask.long 0x04 0.--25. 1. "RESERVED," group.long 0xE30++0x1B line.long 0x00 "CTRL_CORE_CONTROL_DDRCACH1_0,ddrcaCH1 control" bitfld.long 0x00 29.--31. "DDRCH1_PART0_I,PART0 Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x00 26.--28. "DDRCH1_PART0_SR,PART0 Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x00 24.--25. "DDRCH1_PART0_WD,PART0 Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x00 21.--23. "DDRCH1_PART5A_I,PART5A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x00 18.--20. "DDRCH1_PART5A_SR,PART5A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x00 16.--17. "DDRCH1_PART5A_WD,PART5A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x00 13.--15. "DDRCH1_PART5B_I,PART5B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x00 10.--12. "DDRCH1_PART5B_SR,PART5B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x00 8.--9. "DDRCH1_PART5B_WD,PART5B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x00 5.--7. "DDRCH1_PART6_I,PART6 Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x00 2.--4. "DDRCH1_PART6_SR,PART6 Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x00 0.--1. "DDRCH1_PART6_WD,PART6 Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x04 "CTRL_CORE_CONTROL_DDRCACH2_0,ddrcaCH2 control" bitfld.long 0x04 29.--31. "DDRCH2_PART0_I,PART0 Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x04 26.--28. "DDRCH2_PART0_SR,PART0 Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x04 24.--25. "DDRCH2_PART0_WD,PART0 Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x04 21.--23. "DDRCH2_PART5A_I,PART5A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x04 18.--20. "DDRCH2_PART5A_SR,PART5A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x04 16.--17. "DDRCH2_PART5A_WD,PART5A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x04 13.--15. "DDRCH2_PART5B_I,PART5B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x04 10.--12. "DDRCH2_PART5B_SR,PART5B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x04 8.--9. "DDRCH2_PART5B_WD,PART5B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x04 5.--7. "DDRCH2_PART6_I,PART6 Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x04 2.--4. "DDRCH2_PART6_SR,PART6 Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x04 0.--1. "DDRCH2_PART6_WD,PART6 Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x08 "CTRL_CORE_CONTROL_DDRCH1_0,DDRCH1 control 0" bitfld.long 0x08 29.--31. "DDRCH1_PART1A_I,PART1A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x08 26.--28. "DDRCH1_PART1A_SR,PART1A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x08 24.--25. "DDRCH1_PART1A_WD,PART1A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x08 21.--23. "DDRCH1_PART1B_I,PART1B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x08 18.--20. "DDRCH1_PART1B_SR,PART1B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x08 16.--17. "DDRCH1_PART1B_WD,PART1B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x08 13.--15. "DDRCH1_PART2A_I,PART2A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x08 10.--12. "DDRCH1_PART2A_SR,PART2A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x08 8.--9. "DDRCH1_PART2A_WD,PART2A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x08 5.--7. "DDRCH1_PART2B_I,PART2B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x08 2.--4. "DDRCH1_PART2B_SR,PART2B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x08 0.--1. "DDRCH1_PART2B_WD,PART2B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x0C "CTRL_CORE_CONTROL_DDRCH1_1,DDRCH1 control 1" bitfld.long 0x0C 29.--31. "DDRCH1_PART3A_I,PART3A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 26.--28. "DDRCH1_PART3A_SR,PART3A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x0C 24.--25. "DDRCH1_PART3A_WD,PART3A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x0C 21.--23. "DDRCH1_PART3B_I,PART3B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 18.--20. "DDRCH1_PART3B_SR,PART3B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x0C 16.--17. "DDRCH1_PART3B_WD,PART3B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x0C 13.--15. "DDRCH1_PART4A_I,PART4A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 10.--12. "DDRCH1_PART4A_SR,PART4A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x0C 8.--9. "DDRCH1_PART4A_WD,PART4A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x0C 5.--7. "DDRCH1_PART4B_I,PART4B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 2.--4. "DDRCH1_PART4B_SR,PART4B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x0C 0.--1. "DDRCH1_PART4B_WD,PART4B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x10 "CTRL_CORE_CONTROL_DDRCH2_0,DDRCH2 control 0" bitfld.long 0x10 29.--31. "DDRCH2_PART1A_I,PART1A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x10 26.--28. "DDRCH2_PART1A_SR,PART1A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x10 24.--25. "DDRCH2_PART1A_WD,PART1A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x10 21.--23. "DDRCH2_PART1B_I,PART1B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x10 18.--20. "DDRCH2_PART1B_SR,PART1B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x10 16.--17. "DDRCH2_PART1B_WD,PART1B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x10 13.--15. "DDRCH2_PART2A_I,PART2A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x10 10.--12. "DDRCH2_PART2A_SR,PART2A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x10 8.--9. "DDRCH2_PART2A_WD,PART2A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x10 5.--7. "DDRCH2_PART2B_I,PART2B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x10 2.--4. "DDRCH2_PART2B_SR,PART2B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x10 0.--1. "DDRCH2_PART2B_WD,PART2B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x14 "CTRL_CORE_CONTROL_DDRCH2_1,DDRCH2 control 1" bitfld.long 0x14 29.--31. "DDRCH2_PART3A_I,PART3A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x14 26.--28. "DDRCH2_PART3A_SR,PART3A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x14 24.--25. "DDRCH2_PART3A_WD,PART3A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x14 21.--23. "DDRCH2_PART3B_I,PART3B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x14 18.--20. "DDRCH2_PART3B_SR,PART3B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x14 16.--17. "DDRCH2_PART3B_WD,PART3B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x14 13.--15. "DDRCH2_PART4A_I,PART4A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x14 10.--12. "DDRCH2_PART4A_SR,PART4A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x14 8.--9. "DDRCH2_PART4A_WD,PART4A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x14 5.--7. "DDRCH2_PART4B_I,PART4B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x14 2.--4. "DDRCH2_PART4B_SR,PART4B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x14 0.--1. "DDRCH2_PART4B_WD,PART4B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" line.long 0x18 "CTRL_CORE_CONTROL_DDRCH1_2," hexmask.long.byte 0x18 24.--31. 1. "RESERVED," newline bitfld.long 0x18 21.--23. "DDRCH1_PART7A_I,PART7A Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x18 18.--20. "DDRCH1_PART7A_SR,PART7A Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x18 16.--17. "DDRCH1_PART7A_WD,PART7A Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline bitfld.long 0x18 13.--15. "DDRCH1_PART7B_I,PART7B Impedence control I[2:0]" "Imp80,Imp60,Imp48,Imp40,Imp34,Reserved,Reserved,Reserved" newline bitfld.long 0x18 10.--12. "DDRCH1_PART7B_SR,PART7B Slew Rate control SR[2:0]" "Fastest,?,?,?,?,?,?,Slowest" newline bitfld.long 0x18 8.--9. "DDRCH1_PART7B_WD,PART7B Weak driver control WD[1:0] -For single-ended operation" "Pull logic is disabled,Pull-up selected for padp pull-down selected for..,Pull-down selected for padp pull-up selected for..,Maintain the previous output value" newline hexmask.long.byte 0x18 0.--7. 1. "RESERVED," group.long 0xE50++0x07 line.long 0x00 "CTRL_CORE_CONTROL_DDRIO_0," hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline bitfld.long 0x00 19. "DDRCH1_VREF_DQ0_INT_CCAP0,Selection for coupling cap connection" "DDRCH1_VREF_DQ0_INT_CCAP0_0,DDRCH1_VREF_DQ0_INT_CCAP0_1" newline bitfld.long 0x00 18. "DDRCH1_VREF_DQ0_INT_CCAP1,Selection for coupling cap connection" "DDRCH1_VREF_DQ0_INT_CCAP1_0,DDRCH1_VREF_DQ0_INT_CCAP1_1" newline bitfld.long 0x00 17. "DDRCH1_VREF_DQ0_INT_TAP0,Selection for internal reference voltage drive" "DDRCH1_VREF_DQ0_INT_TAP0_0,DDRCH1_VREF_DQ0_INT_TAP0_1" newline bitfld.long 0x00 16. "DDRCH1_VREF_DQ0_INT_TAP1,Selection for internal reference voltage drive" "DDRCH1_VREF_DQ0_INT_TAP1_0,DDRCH1_VREF_DQ0_INT_TAP1_1" newline bitfld.long 0x00 15. "DDRCH1_VREF_DQ0_INT_EN,Enable" "DDRCH1_VREF_DQ0_INT_EN_0,DDRCH1_VREF_DQ0_INT_EN_1" newline bitfld.long 0x00 14. "DDRCH1_VREF_DQ1_INT_CCAP0,Selection for coupling cap connection" "DDRCH1_VREF_DQ1_INT_CCAP0_0,DDRCH1_VREF_DQ1_INT_CCAP0_1" newline bitfld.long 0x00 13. "DDRCH1_VREF_DQ1_INT_CCAP1,Selection for coupling cap connection" "DDRCH1_VREF_DQ1_INT_CCAP1_0,DDRCH1_VREF_DQ1_INT_CCAP1_1" newline bitfld.long 0x00 12. "DDRCH1_VREF_DQ1_INT_TAP0,Selection for internal reference voltage drive" "DDRCH1_VREF_DQ1_INT_TAP0_0,DDRCH1_VREF_DQ1_INT_TAP0_1" newline bitfld.long 0x00 11. "DDRCH1_VREF_DQ1_INT_TAP1,Selection for internal reference voltage drive" "DDRCH1_VREF_DQ1_INT_TAP1_0,DDRCH1_VREF_DQ1_INT_TAP1_1" newline bitfld.long 0x00 10. "DDRCH1_VREF_DQ1_INT_EN,Enable" "DDRCH1_VREF_DQ1_INT_EN_0,DDRCH1_VREF_DQ1_INT_EN_1" newline hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "CTRL_CORE_CONTROL_DDRIO_1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 26. "DDRCH2_VREF_DQ0_INT_CCAP0,Selection for coupling cap connection" "DDRCH2_VREF_DQ0_INT_CCAP0_0,DDRCH2_VREF_DQ0_INT_CCAP0_1" newline bitfld.long 0x04 25. "DDRCH2_VREF_DQ0_INT_CCAP1,Selection for coupling cap connection" "DDRCH2_VREF_DQ0_INT_CCAP1_0,DDRCH2_VREF_DQ0_INT_CCAP1_1" newline bitfld.long 0x04 24. "DDRCH2_VREF_DQ0_INT_TAP0,Selection for internal reference voltage drive" "DDRCH2_VREF_DQ0_INT_TAP0_0,DDRCH2_VREF_DQ0_INT_TAP0_1" newline bitfld.long 0x04 23. "DDRCH2_VREF_DQ0_INT_TAP1,Selection for internal reference voltage drive" "DDRCH2_VREF_DQ0_INT_TAP1_0,DDRCH2_VREF_DQ0_INT_TAP1_1" newline bitfld.long 0x04 22. "DDRCH2_VREF_DQ0_INT_EN,Enable" "DDRCH2_VREF_DQ0_INT_EN_0,DDRCH2_VREF_DQ0_INT_EN_1" newline bitfld.long 0x04 21. "DDRCH2_VREF_DQ1_INT_CCAP0,Selection for coupling cap connection" "DDRCH2_VREF_DQ1_INT_CCAP0_0,DDRCH2_VREF_DQ1_INT_CCAP0_1" newline bitfld.long 0x04 20. "DDRCH2_VREF_DQ1_INT_CCAP1,Selection for coupling cap connection" "DDRCH2_VREF_DQ1_INT_CCAP1_0,DDRCH2_VREF_DQ1_INT_CCAP1_1" newline bitfld.long 0x04 19. "DDRCH2_VREF_DQ1_INT_TAP0,Selection for internal reference voltage drive" "DDRCH2_VREF_DQ1_INT_TAP0_0,DDRCH2_VREF_DQ1_INT_TAP0_1" newline bitfld.long 0x04 18. "DDRCH2_VREF_DQ1_INT_TAP1,Selection for internal reference voltage drive" "DDRCH2_VREF_DQ1_INT_TAP1_0,DDRCH2_VREF_DQ1_INT_TAP1_1" newline bitfld.long 0x04 17. "DDRCH2_VREF_DQ1_INT_EN,Enable" "DDRCH2_VREF_DQ1_INT_EN_0,DDRCH2_VREF_DQ1_INT_EN_1" newline hexmask.long.tbyte 0x04 0.--16. 1. "RESERVED," group.long 0xE5C++0x03 line.long 0x00 "CTRL_CORE_CONTROL_HYST_1,Register for hysteresis and impedance control of the MMC1 pads" bitfld.long 0x00 31. "SDCARD_HYST,Hysteresis control for sdcard" "Disabled,Enabled" newline bitfld.long 0x00 29.--30. "SDCARD_IC,Drive strength control for MMC1 pads In 3.3V signaling mode" "44 Ohms Drive Strength,33 Ohms Drive Strength,58 Ohms Drive Strength,100 Ohms Drive Strength" newline hexmask.long 0x00 0.--28. 1. "RESERVED," group.long 0xE68++0x03 line.long 0x00 "CTRL_CORE_CONTROL_SPARE_RW," hexmask.long 0x00 2.--31. 1. "CORE_CONTROL_SPARE_RW,Spare bits" newline bitfld.long 0x00 1. "CORE_CONTROL_SPARE_RW_MMC2_LOOPBACK,Selects the source of loopback clock for mmc2_clk" "Loopback clock from the I/O pad is selected,Internal loopback clock is selected" newline bitfld.long 0x00 0. "CORE_CONTROL_SPARE_RW_MMC1_LOOPBACK,Selects the source of loopback clock for mmc1_clk" "Loopback clock from the I/O pad is selected,Internal loopback clock is selected" group.long 0xE74++0x07 line.long 0x00 "CTRL_CORE_SRCOMP_NORTH_SIDE,This register is related to the USB2_PHY2" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 30. "USB2PHY_AUTORESUME_EN,Auto resume enable" "disable autoresume,enable autoresume" newline bitfld.long 0x00 29. "USB2PHY_DISCHGDET,Disable charger detect" "charger detect function enabled,charger detect function disabled" newline bitfld.long 0x00 28. "USB2PHY_PD,Power down the entire USB2_PHY2 (data common module and UTMI)" "Normal operation,Power down the USB2_PHY2" newline hexmask.long.byte 0x00 21.--27. 1. "RESERVED," newline bitfld.long 0x00 20. "USB2PHY_CHG_DET_DM_COMP,Output of the comparator on DM during the resistor host detect protocol" "DM line is below 0.75V to 0.95V,DM line is above 0.75V to 0.95V" newline bitfld.long 0x00 19. "USB2PHY_CHG_DET_DP_COMP,Output of the comparator on DP during the resistor host detect protocol" "DP line is below 0.75V to 0.95V,DP line is above 0.75V to 0.95V" newline bitfld.long 0x00 18. "USB2PHY_DATADET,Output of the charger detect comparator" "DM line is below 0.25V to 0.4V,DM line is above 0.25V to 0.4V" newline bitfld.long 0x00 17. "USB2PHY_CHGDETDONE,Status indicates that charger detection protocol is over" "charger detection protocol is not over,charger detection protocol is over" newline bitfld.long 0x00 16. "USB2PHY_CHGDETECTED,Output of the charger detection protocol" "charger not detected,charger detected" newline bitfld.long 0x00 15. "USB2PHY_RESETDONEMCLK,OCP reset status" "OCP domain is in reset,OCP domain is out of reset" newline bitfld.long 0x00 14. "USB2PHY_UTMIRESETDONE,UTMI FSM reset status" "UTMI FSMs are in reset,UTMI FSMs are out of reset" newline rbitfld.long 0x00 13. "USBDPLL_FREQLOCK,Status from USB DPLL" "0,1" newline rbitfld.long 0x00 12. "USB2PHY_RESETDONETCLK,resetdonetclk status from USB2_PHY2" "0,1" newline bitfld.long 0x00 11. "USB2PHY_GPIOMODE,GPIO mode" "USB mode enabled,GPIO mode enabled" newline bitfld.long 0x00 10. "USB2PHY_CHG_DET_EXT_CTL,Charge detect external control" "charger detect internal state machine used,charge detect statemachine is bypassed" newline bitfld.long 0x00 9. "USB2PHY_RDM_PD_CHGDET_EN,DM Pull down control" "PD disabled,PD enabled" newline bitfld.long 0x00 8. "USB2PHY_RDP_PU_CHGDET_EN,DP Pull up control" "PU disabled,PU enabled" newline bitfld.long 0x00 7. "USB2PHY_CHG_VSRC_EN,VSRC enable on DP line: Host charger case" "disable VSRC drive on DP,drives VSRC 600mV on DP line" newline bitfld.long 0x00 6. "USB2PHY_CHG_ISINK_EN,ISINK enable on DM line: Host charger case" "disable the ISINK on DM,enables the ISINK (100uA) on DM line" newline bitfld.long 0x00 5. "USB2PHY_SINKONDP,When '1' current sink is connected to DP instead of DM" "Default value,enables the ISINK on DP instead of DM" newline bitfld.long 0x00 4. "USB2PHY_SRCONDM,When '1' voltage source is connected to DP instead of DM" "Default value,enable the VSRC on DM instead of DP" newline bitfld.long 0x00 3. "USB2PHY_RESTARTCHGDET,restartchgdet: '1' for 1 msec cause the CD_START to reset" "Default value,a high pulse of 1 msec causes the.." newline bitfld.long 0x00 2. "USB2PHY_MCPCPUEN,MCPC Pull up enable" "disable the MCPC pull up,enable the 4.7K to10K pull up on receive line DP.." newline bitfld.long 0x00 1. "USB2PHY_MCPCMODEEN,MCPC Mode enable" "disable MCPC mode,enable MCPC mode" newline bitfld.long 0x00 0. "USB2PHY_DATAPOLARITYN,Data polarity" "DP functionality is on DP and DM funcationality..,DP functionality is on DM and DM functionality.." line.long 0x04 "CTRL_CORE_SRCOMP_SOUTH_SIDE,This register is related to the USB2_PHY2" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," newline bitfld.long 0x04 12.--14. "USB2PHY_CHG_DET_STATUS,Status of charger detection" "Wait state,No contact,PS/2,Unknown error,Dedicated charger,HOST charger,PC,Interrupt" newline hexmask.long.word 0x04 0.--11. 1. "RESERVED," group.long 0x1400++0x3D7 line.long 0x00 "CTRL_CORE_PAD_GPMC_AD0," rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 25. "GPMC_AD0_WAKEUPEVENT," "GPMC_AD0_WAKEUPEVENT_0,GPMC_AD0_WAKEUPEVENT_1" newline bitfld.long 0x00 24. "GPMC_AD0_WAKEUPENABLE," "GPMC_AD0_WAKEUPENABLE_0,GPMC_AD0_WAKEUPENABLE_1" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "GPMC_AD0_SLEWCONTROL," "GPMC_AD0_SLEWCONTROL_0,GPMC_AD0_SLEWCONTROL_1" newline bitfld.long 0x00 18. "GPMC_AD0_INPUTENABLE," "GPMC_AD0_INPUTENABLE_0,GPMC_AD0_INPUTENABLE_1" newline bitfld.long 0x00 17. "GPMC_AD0_PULLTYPESELECT," "GPMC_AD0_PULLTYPESELECT_0,GPMC_AD0_PULLTYPESELECT_1" newline bitfld.long 0x00 16. "GPMC_AD0_PULLUDENABLE," "GPMC_AD0_PULLUDENABLE_0,GPMC_AD0_PULLUDENABLE_1" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "GPMC_AD0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode.Refer to the device Data Manual for definition of the required settings for a given mode of operation" "GPMC_AD0_MODESELECT_0,GPMC_AD0_MODESELECT_1" newline bitfld.long 0x00 4.--7. "GPMC_AD0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "GPMC_AD0_MUXMODE," "GPMC_AD0_MUXMODE_0,?,GPMC_AD0_MUXMODE_2,GPMC_AD0_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD0_MUXMODE_14,GPMC_AD0_MUXMODE_15" line.long 0x04 "CTRL_CORE_PAD_GPMC_AD1," rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x04 25. "GPMC_AD1_WAKEUPEVENT," "GPMC_AD1_WAKEUPEVENT_0,GPMC_AD1_WAKEUPEVENT_1" newline bitfld.long 0x04 24. "GPMC_AD1_WAKEUPENABLE," "GPMC_AD1_WAKEUPENABLE_0,GPMC_AD1_WAKEUPENABLE_1" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 19. "GPMC_AD1_SLEWCONTROL," "GPMC_AD1_SLEWCONTROL_0,GPMC_AD1_SLEWCONTROL_1" newline bitfld.long 0x04 18. "GPMC_AD1_INPUTENABLE," "GPMC_AD1_INPUTENABLE_0,GPMC_AD1_INPUTENABLE_1" newline bitfld.long 0x04 17. "GPMC_AD1_PULLTYPESELECT," "GPMC_AD1_PULLTYPESELECT_0,GPMC_AD1_PULLTYPESELECT_1" newline bitfld.long 0x04 16. "GPMC_AD1_PULLUDENABLE," "GPMC_AD1_PULLUDENABLE_0,GPMC_AD1_PULLUDENABLE_1" newline hexmask.long.byte 0x04 9.--15. 1. "RESERVED," newline bitfld.long 0x04 8. "GPMC_AD1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD1_MODESELECT_0,GPMC_AD1_MODESELECT_1" newline bitfld.long 0x04 4.--7. "GPMC_AD1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. "GPMC_AD1_MUXMODE," "GPMC_AD1_MUXMODE_0,?,GPMC_AD1_MUXMODE_2,GPMC_AD1_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD1_MUXMODE_14,GPMC_AD1_MUXMODE_15" line.long 0x08 "CTRL_CORE_PAD_GPMC_AD2," rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x08 25. "GPMC_AD2_WAKEUPEVENT," "GPMC_AD2_WAKEUPEVENT_0,GPMC_AD2_WAKEUPEVENT_1" newline bitfld.long 0x08 24. "GPMC_AD2_WAKEUPENABLE," "GPMC_AD2_WAKEUPENABLE_0,GPMC_AD2_WAKEUPENABLE_1" newline rbitfld.long 0x08 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 19. "GPMC_AD2_SLEWCONTROL," "GPMC_AD2_SLEWCONTROL_0,GPMC_AD2_SLEWCONTROL_1" newline bitfld.long 0x08 18. "GPMC_AD2_INPUTENABLE," "GPMC_AD2_INPUTENABLE_0,GPMC_AD2_INPUTENABLE_1" newline bitfld.long 0x08 17. "GPMC_AD2_PULLTYPESELECT," "GPMC_AD2_PULLTYPESELECT_0,GPMC_AD2_PULLTYPESELECT_1" newline bitfld.long 0x08 16. "GPMC_AD2_PULLUDENABLE," "GPMC_AD2_PULLUDENABLE_0,GPMC_AD2_PULLUDENABLE_1" newline hexmask.long.byte 0x08 9.--15. 1. "RESERVED," newline bitfld.long 0x08 8. "GPMC_AD2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD2_MODESELECT_0,GPMC_AD2_MODESELECT_1" newline bitfld.long 0x08 4.--7. "GPMC_AD2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. "GPMC_AD2_MUXMODE," "GPMC_AD2_MUXMODE_0,?,GPMC_AD2_MUXMODE_2,GPMC_AD2_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD2_MUXMODE_14,GPMC_AD2_MUXMODE_15" line.long 0x0C "CTRL_CORE_PAD_GPMC_AD3," rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x0C 25. "GPMC_AD3_WAKEUPEVENT," "GPMC_AD3_WAKEUPEVENT_0,GPMC_AD3_WAKEUPEVENT_1" newline bitfld.long 0x0C 24. "GPMC_AD3_WAKEUPENABLE," "GPMC_AD3_WAKEUPENABLE_0,GPMC_AD3_WAKEUPENABLE_1" newline rbitfld.long 0x0C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 19. "GPMC_AD3_SLEWCONTROL," "GPMC_AD3_SLEWCONTROL_0,GPMC_AD3_SLEWCONTROL_1" newline bitfld.long 0x0C 18. "GPMC_AD3_INPUTENABLE," "GPMC_AD3_INPUTENABLE_0,GPMC_AD3_INPUTENABLE_1" newline bitfld.long 0x0C 17. "GPMC_AD3_PULLTYPESELECT," "GPMC_AD3_PULLTYPESELECT_0,GPMC_AD3_PULLTYPESELECT_1" newline bitfld.long 0x0C 16. "GPMC_AD3_PULLUDENABLE," "GPMC_AD3_PULLUDENABLE_0,GPMC_AD3_PULLUDENABLE_1" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline bitfld.long 0x0C 8. "GPMC_AD3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD3_MODESELECT_0,GPMC_AD3_MODESELECT_1" newline bitfld.long 0x0C 4.--7. "GPMC_AD3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "GPMC_AD3_MUXMODE," "GPMC_AD3_MUXMODE_0,?,GPMC_AD3_MUXMODE_2,GPMC_AD3_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD3_MUXMODE_14,GPMC_AD3_MUXMODE_15" line.long 0x10 "CTRL_CORE_PAD_GPMC_AD4," rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x10 25. "GPMC_AD4_WAKEUPEVENT," "GPMC_AD4_WAKEUPEVENT_0,GPMC_AD4_WAKEUPEVENT_1" newline bitfld.long 0x10 24. "GPMC_AD4_WAKEUPENABLE," "GPMC_AD4_WAKEUPENABLE_0,GPMC_AD4_WAKEUPENABLE_1" newline rbitfld.long 0x10 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 19. "GPMC_AD4_SLEWCONTROL," "GPMC_AD4_SLEWCONTROL_0,GPMC_AD4_SLEWCONTROL_1" newline bitfld.long 0x10 18. "GPMC_AD4_INPUTENABLE," "GPMC_AD4_INPUTENABLE_0,GPMC_AD4_INPUTENABLE_1" newline bitfld.long 0x10 17. "GPMC_AD4_PULLTYPESELECT," "GPMC_AD4_PULLTYPESELECT_0,GPMC_AD4_PULLTYPESELECT_1" newline bitfld.long 0x10 16. "GPMC_AD4_PULLUDENABLE," "GPMC_AD4_PULLUDENABLE_0,GPMC_AD4_PULLUDENABLE_1" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline bitfld.long 0x10 8. "GPMC_AD4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD4_MODESELECT_0,GPMC_AD4_MODESELECT_1" newline bitfld.long 0x10 4.--7. "GPMC_AD4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 0.--3. "GPMC_AD4_MUXMODE," "GPMC_AD4_MUXMODE_0,?,GPMC_AD4_MUXMODE_2,GPMC_AD4_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD4_MUXMODE_14,GPMC_AD4_MUXMODE_15" line.long 0x14 "CTRL_CORE_PAD_GPMC_AD5," rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x14 25. "GPMC_AD5_WAKEUPEVENT," "GPMC_AD5_WAKEUPEVENT_0,GPMC_AD5_WAKEUPEVENT_1" newline bitfld.long 0x14 24. "GPMC_AD5_WAKEUPENABLE," "GPMC_AD5_WAKEUPENABLE_0,GPMC_AD5_WAKEUPENABLE_1" newline rbitfld.long 0x14 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 19. "GPMC_AD5_SLEWCONTROL," "GPMC_AD5_SLEWCONTROL_0,GPMC_AD5_SLEWCONTROL_1" newline bitfld.long 0x14 18. "GPMC_AD5_INPUTENABLE," "GPMC_AD5_INPUTENABLE_0,GPMC_AD5_INPUTENABLE_1" newline bitfld.long 0x14 17. "GPMC_AD5_PULLTYPESELECT," "GPMC_AD5_PULLTYPESELECT_0,GPMC_AD5_PULLTYPESELECT_1" newline bitfld.long 0x14 16. "GPMC_AD5_PULLUDENABLE," "GPMC_AD5_PULLUDENABLE_0,GPMC_AD5_PULLUDENABLE_1" newline hexmask.long.byte 0x14 9.--15. 1. "RESERVED," newline bitfld.long 0x14 8. "GPMC_AD5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD5_MODESELECT_0,GPMC_AD5_MODESELECT_1" newline bitfld.long 0x14 4.--7. "GPMC_AD5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 0.--3. "GPMC_AD5_MUXMODE," "GPMC_AD5_MUXMODE_0,?,GPMC_AD5_MUXMODE_2,GPMC_AD5_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD5_MUXMODE_14,GPMC_AD5_MUXMODE_15" line.long 0x18 "CTRL_CORE_PAD_GPMC_AD6," rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x18 25. "GPMC_AD6_WAKEUPEVENT," "GPMC_AD6_WAKEUPEVENT_0,GPMC_AD6_WAKEUPEVENT_1" newline bitfld.long 0x18 24. "GPMC_AD6_WAKEUPENABLE," "GPMC_AD6_WAKEUPENABLE_0,GPMC_AD6_WAKEUPENABLE_1" newline rbitfld.long 0x18 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 19. "GPMC_AD6_SLEWCONTROL," "GPMC_AD6_SLEWCONTROL_0,GPMC_AD6_SLEWCONTROL_1" newline bitfld.long 0x18 18. "GPMC_AD6_INPUTENABLE," "GPMC_AD6_INPUTENABLE_0,GPMC_AD6_INPUTENABLE_1" newline bitfld.long 0x18 17. "GPMC_AD6_PULLTYPESELECT," "GPMC_AD6_PULLTYPESELECT_0,GPMC_AD6_PULLTYPESELECT_1" newline bitfld.long 0x18 16. "GPMC_AD6_PULLUDENABLE," "GPMC_AD6_PULLUDENABLE_0,GPMC_AD6_PULLUDENABLE_1" newline hexmask.long.byte 0x18 9.--15. 1. "RESERVED," newline bitfld.long 0x18 8. "GPMC_AD6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD6_MODESELECT_0,GPMC_AD6_MODESELECT_1" newline bitfld.long 0x18 4.--7. "GPMC_AD6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. "GPMC_AD6_MUXMODE," "GPMC_AD6_MUXMODE_0,?,GPMC_AD6_MUXMODE_2,GPMC_AD6_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD6_MUXMODE_14,GPMC_AD6_MUXMODE_15" line.long 0x1C "CTRL_CORE_PAD_GPMC_AD7," rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C 25. "GPMC_AD7_WAKEUPEVENT," "GPMC_AD7_WAKEUPEVENT_0,GPMC_AD7_WAKEUPEVENT_1" newline bitfld.long 0x1C 24. "GPMC_AD7_WAKEUPENABLE," "GPMC_AD7_WAKEUPENABLE_0,GPMC_AD7_WAKEUPENABLE_1" newline rbitfld.long 0x1C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 19. "GPMC_AD7_SLEWCONTROL," "GPMC_AD7_SLEWCONTROL_0,GPMC_AD7_SLEWCONTROL_1" newline bitfld.long 0x1C 18. "GPMC_AD7_INPUTENABLE," "GPMC_AD7_INPUTENABLE_0,GPMC_AD7_INPUTENABLE_1" newline bitfld.long 0x1C 17. "GPMC_AD7_PULLTYPESELECT," "GPMC_AD7_PULLTYPESELECT_0,GPMC_AD7_PULLTYPESELECT_1" newline bitfld.long 0x1C 16. "GPMC_AD7_PULLUDENABLE," "GPMC_AD7_PULLUDENABLE_0,GPMC_AD7_PULLUDENABLE_1" newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline bitfld.long 0x1C 8. "GPMC_AD7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD7_MODESELECT_0,GPMC_AD7_MODESELECT_1" newline bitfld.long 0x1C 4.--7. "GPMC_AD7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 0.--3. "GPMC_AD7_MUXMODE," "GPMC_AD7_MUXMODE_0,?,GPMC_AD7_MUXMODE_2,GPMC_AD7_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD7_MUXMODE_14,GPMC_AD7_MUXMODE_15" line.long 0x20 "CTRL_CORE_PAD_GPMC_AD8," rbitfld.long 0x20 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x20 25. "GPMC_AD8_WAKEUPEVENT," "GPMC_AD8_WAKEUPEVENT_0,GPMC_AD8_WAKEUPEVENT_1" newline bitfld.long 0x20 24. "GPMC_AD8_WAKEUPENABLE," "GPMC_AD8_WAKEUPENABLE_0,GPMC_AD8_WAKEUPENABLE_1" newline rbitfld.long 0x20 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 19. "GPMC_AD8_SLEWCONTROL," "GPMC_AD8_SLEWCONTROL_0,GPMC_AD8_SLEWCONTROL_1" newline bitfld.long 0x20 18. "GPMC_AD8_INPUTENABLE," "GPMC_AD8_INPUTENABLE_0,GPMC_AD8_INPUTENABLE_1" newline bitfld.long 0x20 17. "GPMC_AD8_PULLTYPESELECT," "GPMC_AD8_PULLTYPESELECT_0,GPMC_AD8_PULLTYPESELECT_1" newline bitfld.long 0x20 16. "GPMC_AD8_PULLUDENABLE," "GPMC_AD8_PULLUDENABLE_0,GPMC_AD8_PULLUDENABLE_1" newline hexmask.long.byte 0x20 9.--15. 1. "RESERVED," newline bitfld.long 0x20 8. "GPMC_AD8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD8_MODESELECT_0,GPMC_AD8_MODESELECT_1" newline bitfld.long 0x20 4.--7. "GPMC_AD8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 0.--3. "GPMC_AD8_MUXMODE," "GPMC_AD8_MUXMODE_0,?,GPMC_AD8_MUXMODE_2,GPMC_AD8_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD8_MUXMODE_14,GPMC_AD8_MUXMODE_15" line.long 0x24 "CTRL_CORE_PAD_GPMC_AD9," rbitfld.long 0x24 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x24 25. "GPMC_AD9_WAKEUPEVENT," "GPMC_AD9_WAKEUPEVENT_0,GPMC_AD9_WAKEUPEVENT_1" newline bitfld.long 0x24 24. "GPMC_AD9_WAKEUPENABLE," "GPMC_AD9_WAKEUPENABLE_0,GPMC_AD9_WAKEUPENABLE_1" newline rbitfld.long 0x24 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24 19. "GPMC_AD9_SLEWCONTROL," "GPMC_AD9_SLEWCONTROL_0,GPMC_AD9_SLEWCONTROL_1" newline bitfld.long 0x24 18. "GPMC_AD9_INPUTENABLE," "GPMC_AD9_INPUTENABLE_0,GPMC_AD9_INPUTENABLE_1" newline bitfld.long 0x24 17. "GPMC_AD9_PULLTYPESELECT," "GPMC_AD9_PULLTYPESELECT_0,GPMC_AD9_PULLTYPESELECT_1" newline bitfld.long 0x24 16. "GPMC_AD9_PULLUDENABLE," "GPMC_AD9_PULLUDENABLE_0,GPMC_AD9_PULLUDENABLE_1" newline hexmask.long.byte 0x24 9.--15. 1. "RESERVED," newline bitfld.long 0x24 8. "GPMC_AD9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD9_MODESELECT_0,GPMC_AD9_MODESELECT_1" newline bitfld.long 0x24 4.--7. "GPMC_AD9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24 0.--3. "GPMC_AD9_MUXMODE," "GPMC_AD9_MUXMODE_0,?,GPMC_AD9_MUXMODE_2,GPMC_AD9_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD9_MUXMODE_14,GPMC_AD9_MUXMODE_15" line.long 0x28 "CTRL_CORE_PAD_GPMC_AD10," rbitfld.long 0x28 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 25. "GPMC_AD10_WAKEUPEVENT," "GPMC_AD10_WAKEUPEVENT_0,GPMC_AD10_WAKEUPEVENT_1" newline bitfld.long 0x28 24. "GPMC_AD10_WAKEUPENABLE," "GPMC_AD10_WAKEUPENABLE_0,GPMC_AD10_WAKEUPENABLE_1" newline rbitfld.long 0x28 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 19. "GPMC_AD10_SLEWCONTROL," "GPMC_AD10_SLEWCONTROL_0,GPMC_AD10_SLEWCONTROL_1" newline bitfld.long 0x28 18. "GPMC_AD10_INPUTENABLE," "GPMC_AD10_INPUTENABLE_0,GPMC_AD10_INPUTENABLE_1" newline bitfld.long 0x28 17. "GPMC_AD10_PULLTYPESELECT," "GPMC_AD10_PULLTYPESELECT_0,GPMC_AD10_PULLTYPESELECT_1" newline bitfld.long 0x28 16. "GPMC_AD10_PULLUDENABLE," "GPMC_AD10_PULLUDENABLE_0,GPMC_AD10_PULLUDENABLE_1" newline hexmask.long.byte 0x28 9.--15. 1. "RESERVED," newline bitfld.long 0x28 8. "GPMC_AD10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD10_MODESELECT_0,GPMC_AD10_MODESELECT_1" newline bitfld.long 0x28 4.--7. "GPMC_AD10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "GPMC_AD10_MUXMODE," "GPMC_AD10_MUXMODE_0,?,GPMC_AD10_MUXMODE_2,GPMC_AD10_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD10_MUXMODE_14,GPMC_AD10_MUXMODE_15" line.long 0x2C "CTRL_CORE_PAD_GPMC_AD11," rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 25. "GPMC_AD11_WAKEUPEVENT," "GPMC_AD11_WAKEUPEVENT_0,GPMC_AD11_WAKEUPEVENT_1" newline bitfld.long 0x2C 24. "GPMC_AD11_WAKEUPENABLE," "GPMC_AD11_WAKEUPENABLE_0,GPMC_AD11_WAKEUPENABLE_1" newline rbitfld.long 0x2C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 19. "GPMC_AD11_SLEWCONTROL," "GPMC_AD11_SLEWCONTROL_0,GPMC_AD11_SLEWCONTROL_1" newline bitfld.long 0x2C 18. "GPMC_AD11_INPUTENABLE," "GPMC_AD11_INPUTENABLE_0,GPMC_AD11_INPUTENABLE_1" newline bitfld.long 0x2C 17. "GPMC_AD11_PULLTYPESELECT," "GPMC_AD11_PULLTYPESELECT_0,GPMC_AD11_PULLTYPESELECT_1" newline bitfld.long 0x2C 16. "GPMC_AD11_PULLUDENABLE," "GPMC_AD11_PULLUDENABLE_0,GPMC_AD11_PULLUDENABLE_1" newline hexmask.long.byte 0x2C 9.--15. 1. "RESERVED," newline bitfld.long 0x2C 8. "GPMC_AD11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD11_MODESELECT_0,GPMC_AD11_MODESELECT_1" newline bitfld.long 0x2C 4.--7. "GPMC_AD11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 0.--3. "GPMC_AD11_MUXMODE," "GPMC_AD11_MUXMODE_0,?,GPMC_AD11_MUXMODE_2,GPMC_AD11_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD11_MUXMODE_14,GPMC_AD11_MUXMODE_15" line.long 0x30 "CTRL_CORE_PAD_GPMC_AD12," rbitfld.long 0x30 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x30 25. "GPMC_AD12_WAKEUPEVENT," "GPMC_AD12_WAKEUPEVENT_0,GPMC_AD12_WAKEUPEVENT_1" newline bitfld.long 0x30 24. "GPMC_AD12_WAKEUPENABLE," "GPMC_AD12_WAKEUPENABLE_0,GPMC_AD12_WAKEUPENABLE_1" newline rbitfld.long 0x30 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 19. "GPMC_AD12_SLEWCONTROL," "GPMC_AD12_SLEWCONTROL_0,GPMC_AD12_SLEWCONTROL_1" newline bitfld.long 0x30 18. "GPMC_AD12_INPUTENABLE," "GPMC_AD12_INPUTENABLE_0,GPMC_AD12_INPUTENABLE_1" newline bitfld.long 0x30 17. "GPMC_AD12_PULLTYPESELECT," "GPMC_AD12_PULLTYPESELECT_0,GPMC_AD12_PULLTYPESELECT_1" newline bitfld.long 0x30 16. "GPMC_AD12_PULLUDENABLE," "GPMC_AD12_PULLUDENABLE_0,GPMC_AD12_PULLUDENABLE_1" newline hexmask.long.byte 0x30 9.--15. 1. "RESERVED," newline bitfld.long 0x30 8. "GPMC_AD12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD12_MODESELECT_0,GPMC_AD12_MODESELECT_1" newline bitfld.long 0x30 4.--7. "GPMC_AD12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 0.--3. "GPMC_AD12_MUXMODE," "GPMC_AD12_MUXMODE_0,?,GPMC_AD12_MUXMODE_2,GPMC_AD12_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD12_MUXMODE_14,GPMC_AD12_MUXMODE_15" line.long 0x34 "CTRL_CORE_PAD_GPMC_AD13," rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x34 25. "GPMC_AD13_WAKEUPEVENT," "GPMC_AD13_WAKEUPEVENT_0,GPMC_AD13_WAKEUPEVENT_1" newline bitfld.long 0x34 24. "GPMC_AD13_WAKEUPENABLE," "GPMC_AD13_WAKEUPENABLE_0,GPMC_AD13_WAKEUPENABLE_1" newline rbitfld.long 0x34 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34 19. "GPMC_AD13_SLEWCONTROL," "GPMC_AD13_SLEWCONTROL_0,GPMC_AD13_SLEWCONTROL_1" newline bitfld.long 0x34 18. "GPMC_AD13_INPUTENABLE," "GPMC_AD13_INPUTENABLE_0,GPMC_AD13_INPUTENABLE_1" newline bitfld.long 0x34 17. "GPMC_AD13_PULLTYPESELECT," "GPMC_AD13_PULLTYPESELECT_0,GPMC_AD13_PULLTYPESELECT_1" newline bitfld.long 0x34 16. "GPMC_AD13_PULLUDENABLE," "GPMC_AD13_PULLUDENABLE_0,GPMC_AD13_PULLUDENABLE_1" newline hexmask.long.byte 0x34 9.--15. 1. "RESERVED," newline bitfld.long 0x34 8. "GPMC_AD13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD13_MODESELECT_0,GPMC_AD13_MODESELECT_1" newline bitfld.long 0x34 4.--7. "GPMC_AD13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34 0.--3. "GPMC_AD13_MUXMODE," "GPMC_AD13_MUXMODE_0,?,GPMC_AD13_MUXMODE_2,GPMC_AD13_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD13_MUXMODE_14,GPMC_AD13_MUXMODE_15" line.long 0x38 "CTRL_CORE_PAD_GPMC_AD14," rbitfld.long 0x38 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x38 25. "GPMC_AD14_WAKEUPEVENT," "GPMC_AD14_WAKEUPEVENT_0,GPMC_AD14_WAKEUPEVENT_1" newline bitfld.long 0x38 24. "GPMC_AD14_WAKEUPENABLE," "GPMC_AD14_WAKEUPENABLE_0,GPMC_AD14_WAKEUPENABLE_1" newline rbitfld.long 0x38 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38 19. "GPMC_AD14_SLEWCONTROL," "GPMC_AD14_SLEWCONTROL_0,GPMC_AD14_SLEWCONTROL_1" newline bitfld.long 0x38 18. "GPMC_AD14_INPUTENABLE," "GPMC_AD14_INPUTENABLE_0,GPMC_AD14_INPUTENABLE_1" newline bitfld.long 0x38 17. "GPMC_AD14_PULLTYPESELECT," "GPMC_AD14_PULLTYPESELECT_0,GPMC_AD14_PULLTYPESELECT_1" newline bitfld.long 0x38 16. "GPMC_AD14_PULLUDENABLE," "GPMC_AD14_PULLUDENABLE_0,GPMC_AD14_PULLUDENABLE_1" newline hexmask.long.byte 0x38 9.--15. 1. "RESERVED," newline bitfld.long 0x38 8. "GPMC_AD14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD14_MODESELECT_0,GPMC_AD14_MODESELECT_1" newline bitfld.long 0x38 4.--7. "GPMC_AD14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38 0.--3. "GPMC_AD14_MUXMODE," "GPMC_AD14_MUXMODE_0,?,GPMC_AD14_MUXMODE_2,GPMC_AD14_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD14_MUXMODE_14,GPMC_AD14_MUXMODE_15" line.long 0x3C "CTRL_CORE_PAD_GPMC_AD15," rbitfld.long 0x3C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3C 25. "GPMC_AD15_WAKEUPEVENT," "GPMC_AD15_WAKEUPEVENT_0,GPMC_AD15_WAKEUPEVENT_1" newline bitfld.long 0x3C 24. "GPMC_AD15_WAKEUPENABLE," "GPMC_AD15_WAKEUPENABLE_0,GPMC_AD15_WAKEUPENABLE_1" newline rbitfld.long 0x3C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 19. "GPMC_AD15_SLEWCONTROL," "GPMC_AD15_SLEWCONTROL_0,GPMC_AD15_SLEWCONTROL_1" newline bitfld.long 0x3C 18. "GPMC_AD15_INPUTENABLE," "GPMC_AD15_INPUTENABLE_0,GPMC_AD15_INPUTENABLE_1" newline bitfld.long 0x3C 17. "GPMC_AD15_PULLTYPESELECT," "GPMC_AD15_PULLTYPESELECT_0,GPMC_AD15_PULLTYPESELECT_1" newline bitfld.long 0x3C 16. "GPMC_AD15_PULLUDENABLE," "GPMC_AD15_PULLUDENABLE_0,GPMC_AD15_PULLUDENABLE_1" newline hexmask.long.byte 0x3C 9.--15. 1. "RESERVED," newline bitfld.long 0x3C 8. "GPMC_AD15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_AD15_MODESELECT_0,GPMC_AD15_MODESELECT_1" newline bitfld.long 0x3C 4.--7. "GPMC_AD15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 0.--3. "GPMC_AD15_MUXMODE," "GPMC_AD15_MUXMODE_0,?,GPMC_AD15_MUXMODE_2,GPMC_AD15_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,GPMC_AD15_MUXMODE_14,GPMC_AD15_MUXMODE_15" line.long 0x40 "CTRL_CORE_PAD_GPMC_A0," rbitfld.long 0x40 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x40 25. "GPMC_A0_WAKEUPEVENT," "GPMC_A0_WAKEUPEVENT_0,GPMC_A0_WAKEUPEVENT_1" newline bitfld.long 0x40 24. "GPMC_A0_WAKEUPENABLE," "GPMC_A0_WAKEUPENABLE_0,GPMC_A0_WAKEUPENABLE_1" newline rbitfld.long 0x40 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 19. "GPMC_A0_SLEWCONTROL," "GPMC_A0_SLEWCONTROL_0,GPMC_A0_SLEWCONTROL_1" newline bitfld.long 0x40 18. "GPMC_A0_INPUTENABLE," "GPMC_A0_INPUTENABLE_0,GPMC_A0_INPUTENABLE_1" newline bitfld.long 0x40 17. "GPMC_A0_PULLTYPESELECT," "GPMC_A0_PULLTYPESELECT_0,GPMC_A0_PULLTYPESELECT_1" newline bitfld.long 0x40 16. "GPMC_A0_PULLUDENABLE," "GPMC_A0_PULLUDENABLE_0,GPMC_A0_PULLUDENABLE_1" newline hexmask.long.byte 0x40 9.--15. 1. "RESERVED," newline bitfld.long 0x40 8. "GPMC_A0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A0_MODESELECT_0,GPMC_A0_MODESELECT_1" newline bitfld.long 0x40 4.--7. "GPMC_A0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x40 0.--3. "GPMC_A0_MUXMODE," "GPMC_A0_MUXMODE_0,?,GPMC_A0_MUXMODE_2,GPMC_A0_MUXMODE_3,GPMC_A0_MUXMODE_4,?,GPMC_A0_MUXMODE_6,GPMC_A0_MUXMODE_7,GPMC_A0_MUXMODE_8,?,?,?,?,?,GPMC_A0_MUXMODE_14,GPMC_A0_MUXMODE_15" line.long 0x44 "CTRL_CORE_PAD_GPMC_A1," rbitfld.long 0x44 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x44 25. "GPMC_A1_WAKEUPEVENT," "GPMC_A1_WAKEUPEVENT_0,GPMC_A1_WAKEUPEVENT_1" newline bitfld.long 0x44 24. "GPMC_A1_WAKEUPENABLE," "GPMC_A1_WAKEUPENABLE_0,GPMC_A1_WAKEUPENABLE_1" newline rbitfld.long 0x44 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x44 19. "GPMC_A1_SLEWCONTROL," "GPMC_A1_SLEWCONTROL_0,GPMC_A1_SLEWCONTROL_1" newline bitfld.long 0x44 18. "GPMC_A1_INPUTENABLE," "GPMC_A1_INPUTENABLE_0,GPMC_A1_INPUTENABLE_1" newline bitfld.long 0x44 17. "GPMC_A1_PULLTYPESELECT," "GPMC_A1_PULLTYPESELECT_0,GPMC_A1_PULLTYPESELECT_1" newline bitfld.long 0x44 16. "GPMC_A1_PULLUDENABLE," "GPMC_A1_PULLUDENABLE_0,GPMC_A1_PULLUDENABLE_1" newline hexmask.long.byte 0x44 9.--15. 1. "RESERVED," newline bitfld.long 0x44 8. "GPMC_A1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A1_MODESELECT_0,GPMC_A1_MODESELECT_1" newline bitfld.long 0x44 4.--7. "GPMC_A1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x44 0.--3. "GPMC_A1_MUXMODE," "GPMC_A1_MUXMODE_0,?,GPMC_A1_MUXMODE_2,GPMC_A1_MUXMODE_3,GPMC_A1_MUXMODE_4,?,GPMC_A1_MUXMODE_6,GPMC_A1_MUXMODE_7,GPMC_A1_MUXMODE_8,?,?,?,?,?,GPMC_A1_MUXMODE_14,GPMC_A1_MUXMODE_15" line.long 0x48 "CTRL_CORE_PAD_GPMC_A2," rbitfld.long 0x48 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x48 25. "GPMC_A2_WAKEUPEVENT," "GPMC_A2_WAKEUPEVENT_0,GPMC_A2_WAKEUPEVENT_1" newline bitfld.long 0x48 24. "GPMC_A2_WAKEUPENABLE," "GPMC_A2_WAKEUPENABLE_0,GPMC_A2_WAKEUPENABLE_1" newline rbitfld.long 0x48 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x48 19. "GPMC_A2_SLEWCONTROL," "GPMC_A2_SLEWCONTROL_0,GPMC_A2_SLEWCONTROL_1" newline bitfld.long 0x48 18. "GPMC_A2_INPUTENABLE," "GPMC_A2_INPUTENABLE_0,GPMC_A2_INPUTENABLE_1" newline bitfld.long 0x48 17. "GPMC_A2_PULLTYPESELECT," "GPMC_A2_PULLTYPESELECT_0,GPMC_A2_PULLTYPESELECT_1" newline bitfld.long 0x48 16. "GPMC_A2_PULLUDENABLE," "GPMC_A2_PULLUDENABLE_0,GPMC_A2_PULLUDENABLE_1" newline hexmask.long.byte 0x48 9.--15. 1. "RESERVED," newline bitfld.long 0x48 8. "GPMC_A2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A2_MODESELECT_0,GPMC_A2_MODESELECT_1" newline bitfld.long 0x48 4.--7. "GPMC_A2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x48 0.--3. "GPMC_A2_MUXMODE," "GPMC_A2_MUXMODE_0,?,GPMC_A2_MUXMODE_2,GPMC_A2_MUXMODE_3,GPMC_A2_MUXMODE_4,?,GPMC_A2_MUXMODE_6,GPMC_A2_MUXMODE_7,GPMC_A2_MUXMODE_8,?,?,?,?,?,GPMC_A2_MUXMODE_14,GPMC_A2_MUXMODE_15" line.long 0x4C "CTRL_CORE_PAD_GPMC_A3," rbitfld.long 0x4C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x4C 25. "GPMC_A3_WAKEUPEVENT," "GPMC_A3_WAKEUPEVENT_0,GPMC_A3_WAKEUPEVENT_1" newline bitfld.long 0x4C 24. "GPMC_A3_WAKEUPENABLE," "GPMC_A3_WAKEUPENABLE_0,GPMC_A3_WAKEUPENABLE_1" newline rbitfld.long 0x4C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x4C 19. "GPMC_A3_SLEWCONTROL," "GPMC_A3_SLEWCONTROL_0,GPMC_A3_SLEWCONTROL_1" newline bitfld.long 0x4C 18. "GPMC_A3_INPUTENABLE," "GPMC_A3_INPUTENABLE_0,GPMC_A3_INPUTENABLE_1" newline bitfld.long 0x4C 17. "GPMC_A3_PULLTYPESELECT," "GPMC_A3_PULLTYPESELECT_0,GPMC_A3_PULLTYPESELECT_1" newline bitfld.long 0x4C 16. "GPMC_A3_PULLUDENABLE," "GPMC_A3_PULLUDENABLE_0,GPMC_A3_PULLUDENABLE_1" newline hexmask.long.byte 0x4C 9.--15. 1. "RESERVED," newline bitfld.long 0x4C 8. "GPMC_A3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A3_MODESELECT_0,GPMC_A3_MODESELECT_1" newline bitfld.long 0x4C 4.--7. "GPMC_A3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x4C 0.--3. "GPMC_A3_MUXMODE," "GPMC_A3_MUXMODE_0,GPMC_A3_MUXMODE_1,GPMC_A3_MUXMODE_2,GPMC_A3_MUXMODE_3,GPMC_A3_MUXMODE_4,?,GPMC_A3_MUXMODE_6,GPMC_A3_MUXMODE_7,GPMC_A3_MUXMODE_8,?,?,?,?,?,GPMC_A3_MUXMODE_14,GPMC_A3_MUXMODE_15" line.long 0x50 "CTRL_CORE_PAD_GPMC_A4," rbitfld.long 0x50 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x50 25. "GPMC_A4_WAKEUPEVENT," "GPMC_A4_WAKEUPEVENT_0,GPMC_A4_WAKEUPEVENT_1" newline bitfld.long 0x50 24. "GPMC_A4_WAKEUPENABLE," "GPMC_A4_WAKEUPENABLE_0,GPMC_A4_WAKEUPENABLE_1" newline rbitfld.long 0x50 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x50 19. "GPMC_A4_SLEWCONTROL," "GPMC_A4_SLEWCONTROL_0,GPMC_A4_SLEWCONTROL_1" newline bitfld.long 0x50 18. "GPMC_A4_INPUTENABLE," "GPMC_A4_INPUTENABLE_0,GPMC_A4_INPUTENABLE_1" newline bitfld.long 0x50 17. "GPMC_A4_PULLTYPESELECT," "GPMC_A4_PULLTYPESELECT_0,GPMC_A4_PULLTYPESELECT_1" newline bitfld.long 0x50 16. "GPMC_A4_PULLUDENABLE," "GPMC_A4_PULLUDENABLE_0,GPMC_A4_PULLUDENABLE_1" newline hexmask.long.byte 0x50 9.--15. 1. "RESERVED," newline bitfld.long 0x50 8. "GPMC_A4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A4_MODESELECT_0,GPMC_A4_MODESELECT_1" newline bitfld.long 0x50 4.--7. "GPMC_A4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x50 0.--3. "GPMC_A4_MUXMODE," "GPMC_A4_MUXMODE_0,GPMC_A4_MUXMODE_1,GPMC_A4_MUXMODE_2,GPMC_A4_MUXMODE_3,GPMC_A4_MUXMODE_4,?,GPMC_A4_MUXMODE_6,GPMC_A4_MUXMODE_7,GPMC_A4_MUXMODE_8,?,?,?,?,?,GPMC_A4_MUXMODE_14,GPMC_A4_MUXMODE_15" line.long 0x54 "CTRL_CORE_PAD_GPMC_A5," rbitfld.long 0x54 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x54 25. "GPMC_A5_WAKEUPEVENT," "GPMC_A5_WAKEUPEVENT_0,GPMC_A5_WAKEUPEVENT_1" newline bitfld.long 0x54 24. "GPMC_A5_WAKEUPENABLE," "GPMC_A5_WAKEUPENABLE_0,GPMC_A5_WAKEUPENABLE_1" newline rbitfld.long 0x54 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x54 19. "GPMC_A5_SLEWCONTROL," "GPMC_A5_SLEWCONTROL_0,GPMC_A5_SLEWCONTROL_1" newline bitfld.long 0x54 18. "GPMC_A5_INPUTENABLE," "GPMC_A5_INPUTENABLE_0,GPMC_A5_INPUTENABLE_1" newline bitfld.long 0x54 17. "GPMC_A5_PULLTYPESELECT," "GPMC_A5_PULLTYPESELECT_0,GPMC_A5_PULLTYPESELECT_1" newline bitfld.long 0x54 16. "GPMC_A5_PULLUDENABLE," "GPMC_A5_PULLUDENABLE_0,GPMC_A5_PULLUDENABLE_1" newline hexmask.long.byte 0x54 9.--15. 1. "RESERVED," newline bitfld.long 0x54 8. "GPMC_A5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A5_MODESELECT_0,GPMC_A5_MODESELECT_1" newline bitfld.long 0x54 4.--7. "GPMC_A5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x54 0.--3. "GPMC_A5_MUXMODE," "GPMC_A5_MUXMODE_0,?,GPMC_A5_MUXMODE_2,GPMC_A5_MUXMODE_3,GPMC_A5_MUXMODE_4,?,GPMC_A5_MUXMODE_6,GPMC_A5_MUXMODE_7,GPMC_A5_MUXMODE_8,?,?,?,?,?,GPMC_A5_MUXMODE_14,GPMC_A5_MUXMODE_15" line.long 0x58 "CTRL_CORE_PAD_GPMC_A6," rbitfld.long 0x58 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x58 25. "GPMC_A6_WAKEUPEVENT," "GPMC_A6_WAKEUPEVENT_0,GPMC_A6_WAKEUPEVENT_1" newline bitfld.long 0x58 24. "GPMC_A6_WAKEUPENABLE," "GPMC_A6_WAKEUPENABLE_0,GPMC_A6_WAKEUPENABLE_1" newline rbitfld.long 0x58 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x58 19. "GPMC_A6_SLEWCONTROL," "GPMC_A6_SLEWCONTROL_0,GPMC_A6_SLEWCONTROL_1" newline bitfld.long 0x58 18. "GPMC_A6_INPUTENABLE," "GPMC_A6_INPUTENABLE_0,GPMC_A6_INPUTENABLE_1" newline bitfld.long 0x58 17. "GPMC_A6_PULLTYPESELECT," "GPMC_A6_PULLTYPESELECT_0,GPMC_A6_PULLTYPESELECT_1" newline bitfld.long 0x58 16. "GPMC_A6_PULLUDENABLE," "GPMC_A6_PULLUDENABLE_0,GPMC_A6_PULLUDENABLE_1" newline hexmask.long.byte 0x58 9.--15. 1. "RESERVED," newline bitfld.long 0x58 8. "GPMC_A6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A6_MODESELECT_0,GPMC_A6_MODESELECT_1" newline bitfld.long 0x58 4.--7. "GPMC_A6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x58 0.--3. "GPMC_A6_MUXMODE," "GPMC_A6_MUXMODE_0,?,GPMC_A6_MUXMODE_2,GPMC_A6_MUXMODE_3,GPMC_A6_MUXMODE_4,?,GPMC_A6_MUXMODE_6,GPMC_A6_MUXMODE_7,GPMC_A6_MUXMODE_8,?,?,?,?,?,GPMC_A6_MUXMODE_14,GPMC_A6_MUXMODE_15" line.long 0x5C "CTRL_CORE_PAD_GPMC_A7," rbitfld.long 0x5C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x5C 25. "GPMC_A7_WAKEUPEVENT," "GPMC_A7_WAKEUPEVENT_0,GPMC_A7_WAKEUPEVENT_1" newline bitfld.long 0x5C 24. "GPMC_A7_WAKEUPENABLE," "GPMC_A7_WAKEUPENABLE_0,GPMC_A7_WAKEUPENABLE_1" newline rbitfld.long 0x5C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x5C 19. "GPMC_A7_SLEWCONTROL," "GPMC_A7_SLEWCONTROL_0,GPMC_A7_SLEWCONTROL_1" newline bitfld.long 0x5C 18. "GPMC_A7_INPUTENABLE," "GPMC_A7_INPUTENABLE_0,GPMC_A7_INPUTENABLE_1" newline bitfld.long 0x5C 17. "GPMC_A7_PULLTYPESELECT," "GPMC_A7_PULLTYPESELECT_0,GPMC_A7_PULLTYPESELECT_1" newline bitfld.long 0x5C 16. "GPMC_A7_PULLUDENABLE," "GPMC_A7_PULLUDENABLE_0,GPMC_A7_PULLUDENABLE_1" newline hexmask.long.byte 0x5C 9.--15. 1. "RESERVED," newline bitfld.long 0x5C 8. "GPMC_A7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A7_MODESELECT_0,GPMC_A7_MODESELECT_1" newline bitfld.long 0x5C 4.--7. "GPMC_A7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x5C 0.--3. "GPMC_A7_MUXMODE," "GPMC_A7_MUXMODE_0,?,GPMC_A7_MUXMODE_2,GPMC_A7_MUXMODE_3,GPMC_A7_MUXMODE_4,?,GPMC_A7_MUXMODE_6,GPMC_A7_MUXMODE_7,GPMC_A7_MUXMODE_8,?,?,?,?,?,GPMC_A7_MUXMODE_14,GPMC_A7_MUXMODE_15" line.long 0x60 "CTRL_CORE_PAD_GPMC_A8," rbitfld.long 0x60 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x60 25. "GPMC_A8_WAKEUPEVENT," "GPMC_A8_WAKEUPEVENT_0,GPMC_A8_WAKEUPEVENT_1" newline bitfld.long 0x60 24. "GPMC_A8_WAKEUPENABLE," "GPMC_A8_WAKEUPENABLE_0,GPMC_A8_WAKEUPENABLE_1" newline rbitfld.long 0x60 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x60 19. "GPMC_A8_SLEWCONTROL," "GPMC_A8_SLEWCONTROL_0,GPMC_A8_SLEWCONTROL_1" newline bitfld.long 0x60 18. "GPMC_A8_INPUTENABLE," "GPMC_A8_INPUTENABLE_0,GPMC_A8_INPUTENABLE_1" newline bitfld.long 0x60 17. "GPMC_A8_PULLTYPESELECT," "GPMC_A8_PULLTYPESELECT_0,GPMC_A8_PULLTYPESELECT_1" newline bitfld.long 0x60 16. "GPMC_A8_PULLUDENABLE," "GPMC_A8_PULLUDENABLE_0,GPMC_A8_PULLUDENABLE_1" newline hexmask.long.byte 0x60 9.--15. 1. "RESERVED," newline bitfld.long 0x60 8. "GPMC_A8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A8_MODESELECT_0,GPMC_A8_MODESELECT_1" newline bitfld.long 0x60 4.--7. "GPMC_A8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x60 0.--3. "GPMC_A8_MUXMODE," "GPMC_A8_MUXMODE_0,?,GPMC_A8_MUXMODE_2,GPMC_A8_MUXMODE_3,?,?,GPMC_A8_MUXMODE_6,GPMC_A8_MUXMODE_7,GPMC_A8_MUXMODE_8,?,?,?,?,?,GPMC_A8_MUXMODE_14,GPMC_A8_MUXMODE_15" line.long 0x64 "CTRL_CORE_PAD_GPMC_A9," rbitfld.long 0x64 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x64 25. "GPMC_A9_WAKEUPEVENT," "GPMC_A9_WAKEUPEVENT_0,GPMC_A9_WAKEUPEVENT_1" newline bitfld.long 0x64 24. "GPMC_A9_WAKEUPENABLE," "GPMC_A9_WAKEUPENABLE_0,GPMC_A9_WAKEUPENABLE_1" newline rbitfld.long 0x64 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x64 19. "GPMC_A9_SLEWCONTROL," "GPMC_A9_SLEWCONTROL_0,GPMC_A9_SLEWCONTROL_1" newline bitfld.long 0x64 18. "GPMC_A9_INPUTENABLE," "GPMC_A9_INPUTENABLE_0,GPMC_A9_INPUTENABLE_1" newline bitfld.long 0x64 17. "GPMC_A9_PULLTYPESELECT," "GPMC_A9_PULLTYPESELECT_0,GPMC_A9_PULLTYPESELECT_1" newline bitfld.long 0x64 16. "GPMC_A9_PULLUDENABLE," "GPMC_A9_PULLUDENABLE_0,GPMC_A9_PULLUDENABLE_1" newline hexmask.long.byte 0x64 9.--15. 1. "RESERVED," newline bitfld.long 0x64 8. "GPMC_A9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A9_MODESELECT_0,GPMC_A9_MODESELECT_1" newline bitfld.long 0x64 4.--7. "GPMC_A9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x64 0.--3. "GPMC_A9_MUXMODE," "GPMC_A9_MUXMODE_0,?,GPMC_A9_MUXMODE_2,GPMC_A9_MUXMODE_3,?,?,GPMC_A9_MUXMODE_6,GPMC_A9_MUXMODE_7,GPMC_A9_MUXMODE_8,?,?,?,?,?,GPMC_A9_MUXMODE_14,GPMC_A9_MUXMODE_15" line.long 0x68 "CTRL_CORE_PAD_GPMC_A10," rbitfld.long 0x68 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x68 25. "GPMC_A10_WAKEUPEVENT," "GPMC_A10_WAKEUPEVENT_0,GPMC_A10_WAKEUPEVENT_1" newline bitfld.long 0x68 24. "GPMC_A10_WAKEUPENABLE," "GPMC_A10_WAKEUPENABLE_0,GPMC_A10_WAKEUPENABLE_1" newline rbitfld.long 0x68 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x68 19. "GPMC_A10_SLEWCONTROL," "GPMC_A10_SLEWCONTROL_0,GPMC_A10_SLEWCONTROL_1" newline bitfld.long 0x68 18. "GPMC_A10_INPUTENABLE," "GPMC_A10_INPUTENABLE_0,GPMC_A10_INPUTENABLE_1" newline bitfld.long 0x68 17. "GPMC_A10_PULLTYPESELECT," "GPMC_A10_PULLTYPESELECT_0,GPMC_A10_PULLTYPESELECT_1" newline bitfld.long 0x68 16. "GPMC_A10_PULLUDENABLE," "GPMC_A10_PULLUDENABLE_0,GPMC_A10_PULLUDENABLE_1" newline hexmask.long.byte 0x68 9.--15. 1. "RESERVED," newline bitfld.long 0x68 8. "GPMC_A10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A10_MODESELECT_0,GPMC_A10_MODESELECT_1" newline bitfld.long 0x68 4.--7. "GPMC_A10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x68 0.--3. "GPMC_A10_MUXMODE," "GPMC_A10_MUXMODE_0,?,GPMC_A10_MUXMODE_2,GPMC_A10_MUXMODE_3,?,?,GPMC_A10_MUXMODE_6,GPMC_A10_MUXMODE_7,GPMC_A10_MUXMODE_8,?,?,?,?,?,GPMC_A10_MUXMODE_14,GPMC_A10_MUXMODE_15" line.long 0x6C "CTRL_CORE_PAD_GPMC_A11," rbitfld.long 0x6C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x6C 25. "GPMC_A11_WAKEUPEVENT," "GPMC_A11_WAKEUPEVENT_0,GPMC_A11_WAKEUPEVENT_1" newline bitfld.long 0x6C 24. "GPMC_A11_WAKEUPENABLE," "GPMC_A11_WAKEUPENABLE_0,GPMC_A11_WAKEUPENABLE_1" newline rbitfld.long 0x6C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x6C 19. "GPMC_A11_SLEWCONTROL," "GPMC_A11_SLEWCONTROL_0,GPMC_A11_SLEWCONTROL_1" newline bitfld.long 0x6C 18. "GPMC_A11_INPUTENABLE," "GPMC_A11_INPUTENABLE_0,GPMC_A11_INPUTENABLE_1" newline bitfld.long 0x6C 17. "GPMC_A11_PULLTYPESELECT," "GPMC_A11_PULLTYPESELECT_0,GPMC_A11_PULLTYPESELECT_1" newline bitfld.long 0x6C 16. "GPMC_A11_PULLUDENABLE," "GPMC_A11_PULLUDENABLE_0,GPMC_A11_PULLUDENABLE_1" newline hexmask.long.byte 0x6C 9.--15. 1. "RESERVED," newline bitfld.long 0x6C 8. "GPMC_A11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A11_MODESELECT_0,GPMC_A11_MODESELECT_1" newline bitfld.long 0x6C 4.--7. "GPMC_A11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x6C 0.--3. "GPMC_A11_MUXMODE," "GPMC_A11_MUXMODE_0,?,GPMC_A11_MUXMODE_2,GPMC_A11_MUXMODE_3,GPMC_A11_MUXMODE_4,?,GPMC_A11_MUXMODE_6,GPMC_A11_MUXMODE_7,GPMC_A11_MUXMODE_8,?,?,?,?,?,GPMC_A11_MUXMODE_14,GPMC_A11_MUXMODE_15" line.long 0x70 "CTRL_CORE_PAD_GPMC_A12," rbitfld.long 0x70 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x70 25. "GPMC_A12_WAKEUPEVENT," "GPMC_A12_WAKEUPEVENT_0,GPMC_A12_WAKEUPEVENT_1" newline bitfld.long 0x70 24. "GPMC_A12_WAKEUPENABLE," "GPMC_A12_WAKEUPENABLE_0,GPMC_A12_WAKEUPENABLE_1" newline rbitfld.long 0x70 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x70 19. "GPMC_A12_SLEWCONTROL," "GPMC_A12_SLEWCONTROL_0,GPMC_A12_SLEWCONTROL_1" newline bitfld.long 0x70 18. "GPMC_A12_INPUTENABLE," "GPMC_A12_INPUTENABLE_0,GPMC_A12_INPUTENABLE_1" newline bitfld.long 0x70 17. "GPMC_A12_PULLTYPESELECT," "GPMC_A12_PULLTYPESELECT_0,GPMC_A12_PULLTYPESELECT_1" newline bitfld.long 0x70 16. "GPMC_A12_PULLUDENABLE," "GPMC_A12_PULLUDENABLE_0,GPMC_A12_PULLUDENABLE_1" newline hexmask.long.byte 0x70 9.--15. 1. "RESERVED," newline bitfld.long 0x70 8. "GPMC_A12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A12_MODESELECT_0,GPMC_A12_MODESELECT_1" newline bitfld.long 0x70 4.--7. "GPMC_A12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x70 0.--3. "GPMC_A12_MUXMODE," "GPMC_A12_MUXMODE_0,?,?,?,GPMC_A12_MUXMODE_4,GPMC_A12_MUXMODE_5,GPMC_A12_MUXMODE_6,GPMC_A12_MUXMODE_7,GPMC_A12_MUXMODE_8,GPMC_A12_MUXMODE_9,?,?,?,?,GPMC_A12_MUXMODE_14,GPMC_A12_MUXMODE_15" line.long 0x74 "CTRL_CORE_PAD_GPMC_A13," rbitfld.long 0x74 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x74 25. "GPMC_A13_WAKEUPEVENT," "GPMC_A13_WAKEUPEVENT_0,GPMC_A13_WAKEUPEVENT_1" newline bitfld.long 0x74 24. "GPMC_A13_WAKEUPENABLE," "GPMC_A13_WAKEUPENABLE_0,GPMC_A13_WAKEUPENABLE_1" newline rbitfld.long 0x74 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x74 19. "GPMC_A13_SLEWCONTROL," "GPMC_A13_SLEWCONTROL_0,GPMC_A13_SLEWCONTROL_1" newline bitfld.long 0x74 18. "GPMC_A13_INPUTENABLE," "GPMC_A13_INPUTENABLE_0,GPMC_A13_INPUTENABLE_1" newline bitfld.long 0x74 17. "GPMC_A13_PULLTYPESELECT," "GPMC_A13_PULLTYPESELECT_0,GPMC_A13_PULLTYPESELECT_1" newline bitfld.long 0x74 16. "GPMC_A13_PULLUDENABLE," "GPMC_A13_PULLUDENABLE_0,GPMC_A13_PULLUDENABLE_1" newline hexmask.long.byte 0x74 9.--15. 1. "RESERVED," newline bitfld.long 0x74 8. "GPMC_A13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A13_MODESELECT_0,GPMC_A13_MODESELECT_1" newline bitfld.long 0x74 4.--7. "GPMC_A13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x74 0.--3. "GPMC_A13_MUXMODE," "GPMC_A13_MUXMODE_0,GPMC_A13_MUXMODE_1,?,?,GPMC_A13_MUXMODE_4,?,?,GPMC_A13_MUXMODE_7,GPMC_A13_MUXMODE_8,GPMC_A13_MUXMODE_9,?,?,?,?,GPMC_A13_MUXMODE_14,GPMC_A13_MUXMODE_15" line.long 0x78 "CTRL_CORE_PAD_GPMC_A14," rbitfld.long 0x78 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x78 25. "GPMC_A14_WAKEUPEVENT," "GPMC_A14_WAKEUPEVENT_0,GPMC_A14_WAKEUPEVENT_1" newline bitfld.long 0x78 24. "GPMC_A14_WAKEUPENABLE," "GPMC_A14_WAKEUPENABLE_0,GPMC_A14_WAKEUPENABLE_1" newline rbitfld.long 0x78 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x78 19. "GPMC_A14_SLEWCONTROL," "GPMC_A14_SLEWCONTROL_0,GPMC_A14_SLEWCONTROL_1" newline bitfld.long 0x78 18. "GPMC_A14_INPUTENABLE," "GPMC_A14_INPUTENABLE_0,GPMC_A14_INPUTENABLE_1" newline bitfld.long 0x78 17. "GPMC_A14_PULLTYPESELECT," "GPMC_A14_PULLTYPESELECT_0,GPMC_A14_PULLTYPESELECT_1" newline bitfld.long 0x78 16. "GPMC_A14_PULLUDENABLE," "GPMC_A14_PULLUDENABLE_0,GPMC_A14_PULLUDENABLE_1" newline hexmask.long.byte 0x78 9.--15. 1. "RESERVED," newline bitfld.long 0x78 8. "GPMC_A14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A14_MODESELECT_0,GPMC_A14_MODESELECT_1" newline bitfld.long 0x78 4.--7. "GPMC_A14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x78 0.--3. "GPMC_A14_MUXMODE," "GPMC_A14_MUXMODE_0,GPMC_A14_MUXMODE_1,?,?,GPMC_A14_MUXMODE_4,?,?,GPMC_A14_MUXMODE_7,GPMC_A14_MUXMODE_8,?,?,?,?,?,GPMC_A14_MUXMODE_14,GPMC_A14_MUXMODE_15" line.long 0x7C "CTRL_CORE_PAD_GPMC_A15," rbitfld.long 0x7C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x7C 25. "GPMC_A15_WAKEUPEVENT," "GPMC_A15_WAKEUPEVENT_0,GPMC_A15_WAKEUPEVENT_1" newline bitfld.long 0x7C 24. "GPMC_A15_WAKEUPENABLE," "GPMC_A15_WAKEUPENABLE_0,GPMC_A15_WAKEUPENABLE_1" newline rbitfld.long 0x7C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x7C 19. "GPMC_A15_SLEWCONTROL," "GPMC_A15_SLEWCONTROL_0,GPMC_A15_SLEWCONTROL_1" newline bitfld.long 0x7C 18. "GPMC_A15_INPUTENABLE," "GPMC_A15_INPUTENABLE_0,GPMC_A15_INPUTENABLE_1" newline bitfld.long 0x7C 17. "GPMC_A15_PULLTYPESELECT," "GPMC_A15_PULLTYPESELECT_0,GPMC_A15_PULLTYPESELECT_1" newline bitfld.long 0x7C 16. "GPMC_A15_PULLUDENABLE," "GPMC_A15_PULLUDENABLE_0,GPMC_A15_PULLUDENABLE_1" newline hexmask.long.byte 0x7C 9.--15. 1. "RESERVED," newline bitfld.long 0x7C 8. "GPMC_A15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A15_MODESELECT_0,GPMC_A15_MODESELECT_1" newline bitfld.long 0x7C 4.--7. "GPMC_A15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x7C 0.--3. "GPMC_A15_MUXMODE," "GPMC_A15_MUXMODE_0,GPMC_A15_MUXMODE_1,?,?,GPMC_A15_MUXMODE_4,?,?,GPMC_A15_MUXMODE_7,?,?,?,?,?,?,GPMC_A15_MUXMODE_14,GPMC_A15_MUXMODE_15" line.long 0x80 "CTRL_CORE_PAD_GPMC_A16," rbitfld.long 0x80 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x80 25. "GPMC_A16_WAKEUPEVENT," "GPMC_A16_WAKEUPEVENT_0,GPMC_A16_WAKEUPEVENT_1" newline bitfld.long 0x80 24. "GPMC_A16_WAKEUPENABLE," "GPMC_A16_WAKEUPENABLE_0,GPMC_A16_WAKEUPENABLE_1" newline rbitfld.long 0x80 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x80 19. "GPMC_A16_SLEWCONTROL," "GPMC_A16_SLEWCONTROL_0,GPMC_A16_SLEWCONTROL_1" newline bitfld.long 0x80 18. "GPMC_A16_INPUTENABLE," "GPMC_A16_INPUTENABLE_0,GPMC_A16_INPUTENABLE_1" newline bitfld.long 0x80 17. "GPMC_A16_PULLTYPESELECT," "GPMC_A16_PULLTYPESELECT_0,GPMC_A16_PULLTYPESELECT_1" newline bitfld.long 0x80 16. "GPMC_A16_PULLUDENABLE," "GPMC_A16_PULLUDENABLE_0,GPMC_A16_PULLUDENABLE_1" newline hexmask.long.byte 0x80 9.--15. 1. "RESERVED," newline bitfld.long 0x80 8. "GPMC_A16_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A16_MODESELECT_0,GPMC_A16_MODESELECT_1" newline bitfld.long 0x80 4.--7. "GPMC_A16_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x80 0.--3. "GPMC_A16_MUXMODE," "GPMC_A16_MUXMODE_0,GPMC_A16_MUXMODE_1,?,?,GPMC_A16_MUXMODE_4,?,?,?,?,?,?,?,?,?,GPMC_A16_MUXMODE_14,GPMC_A16_MUXMODE_15" line.long 0x84 "CTRL_CORE_PAD_GPMC_A17," rbitfld.long 0x84 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x84 25. "GPMC_A17_WAKEUPEVENT," "GPMC_A17_WAKEUPEVENT_0,GPMC_A17_WAKEUPEVENT_1" newline bitfld.long 0x84 24. "GPMC_A17_WAKEUPENABLE," "GPMC_A17_WAKEUPENABLE_0,GPMC_A17_WAKEUPENABLE_1" newline rbitfld.long 0x84 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x84 19. "GPMC_A17_SLEWCONTROL," "GPMC_A17_SLEWCONTROL_0,GPMC_A17_SLEWCONTROL_1" newline bitfld.long 0x84 18. "GPMC_A17_INPUTENABLE," "GPMC_A17_INPUTENABLE_0,GPMC_A17_INPUTENABLE_1" newline bitfld.long 0x84 17. "GPMC_A17_PULLTYPESELECT," "GPMC_A17_PULLTYPESELECT_0,GPMC_A17_PULLTYPESELECT_1" newline bitfld.long 0x84 16. "GPMC_A17_PULLUDENABLE," "GPMC_A17_PULLUDENABLE_0,GPMC_A17_PULLUDENABLE_1" newline hexmask.long.byte 0x84 9.--15. 1. "RESERVED," newline bitfld.long 0x84 8. "GPMC_A17_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A17_MODESELECT_0,GPMC_A17_MODESELECT_1" newline bitfld.long 0x84 4.--7. "GPMC_A17_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x84 0.--3. "GPMC_A17_MUXMODE," "GPMC_A17_MUXMODE_0,GPMC_A17_MUXMODE_1,?,?,GPMC_A17_MUXMODE_4,?,?,?,?,?,?,?,?,?,GPMC_A17_MUXMODE_14,GPMC_A17_MUXMODE_15" line.long 0x88 "CTRL_CORE_PAD_GPMC_A18," rbitfld.long 0x88 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x88 25. "GPMC_A18_WAKEUPEVENT," "GPMC_A18_WAKEUPEVENT_0,GPMC_A18_WAKEUPEVENT_1" newline bitfld.long 0x88 24. "GPMC_A18_WAKEUPENABLE," "GPMC_A18_WAKEUPENABLE_0,GPMC_A18_WAKEUPENABLE_1" newline rbitfld.long 0x88 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x88 19. "GPMC_A18_SLEWCONTROL," "GPMC_A18_SLEWCONTROL_0,GPMC_A18_SLEWCONTROL_1" newline bitfld.long 0x88 18. "GPMC_A18_INPUTENABLE," "GPMC_A18_INPUTENABLE_0,GPMC_A18_INPUTENABLE_1" newline bitfld.long 0x88 17. "GPMC_A18_PULLTYPESELECT," "GPMC_A18_PULLTYPESELECT_0,GPMC_A18_PULLTYPESELECT_1" newline bitfld.long 0x88 16. "GPMC_A18_PULLUDENABLE," "GPMC_A18_PULLUDENABLE_0,GPMC_A18_PULLUDENABLE_1" newline hexmask.long.byte 0x88 9.--15. 1. "RESERVED," newline bitfld.long 0x88 8. "GPMC_A18_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A18_MODESELECT_0,GPMC_A18_MODESELECT_1" newline bitfld.long 0x88 4.--7. "GPMC_A18_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x88 0.--3. "GPMC_A18_MUXMODE," "GPMC_A18_MUXMODE_0,GPMC_A18_MUXMODE_1,?,?,GPMC_A18_MUXMODE_4,?,?,?,?,?,?,?,?,?,GPMC_A18_MUXMODE_14,GPMC_A18_MUXMODE_15" line.long 0x8C "CTRL_CORE_PAD_GPMC_A19," rbitfld.long 0x8C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x8C 25. "GPMC_A19_WAKEUPEVENT," "GPMC_A19_WAKEUPEVENT_0,GPMC_A19_WAKEUPEVENT_1" newline bitfld.long 0x8C 24. "GPMC_A19_WAKEUPENABLE," "GPMC_A19_WAKEUPENABLE_0,GPMC_A19_WAKEUPENABLE_1" newline rbitfld.long 0x8C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x8C 19. "GPMC_A19_SLEWCONTROL," "GPMC_A19_SLEWCONTROL_0,GPMC_A19_SLEWCONTROL_1" newline bitfld.long 0x8C 18. "GPMC_A19_INPUTENABLE," "GPMC_A19_INPUTENABLE_0,GPMC_A19_INPUTENABLE_1" newline bitfld.long 0x8C 17. "GPMC_A19_PULLTYPESELECT," "GPMC_A19_PULLTYPESELECT_0,GPMC_A19_PULLTYPESELECT_1" newline bitfld.long 0x8C 16. "GPMC_A19_PULLUDENABLE," "GPMC_A19_PULLUDENABLE_0,GPMC_A19_PULLUDENABLE_1" newline hexmask.long.byte 0x8C 9.--15. 1. "RESERVED," newline bitfld.long 0x8C 8. "GPMC_A19_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A19_MODESELECT_0,GPMC_A19_MODESELECT_1" newline bitfld.long 0x8C 4.--7. "GPMC_A19_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x8C 0.--3. "GPMC_A19_MUXMODE," "GPMC_A19_MUXMODE_0,GPMC_A19_MUXMODE_1,GPMC_A19_MUXMODE_2,?,GPMC_A19_MUXMODE_4,?,GPMC_A19_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A19_MUXMODE_14,GPMC_A19_MUXMODE_15" line.long 0x90 "CTRL_CORE_PAD_GPMC_A20," rbitfld.long 0x90 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x90 25. "GPMC_A20_WAKEUPEVENT," "GPMC_A20_WAKEUPEVENT_0,GPMC_A20_WAKEUPEVENT_1" newline bitfld.long 0x90 24. "GPMC_A20_WAKEUPENABLE," "GPMC_A20_WAKEUPENABLE_0,GPMC_A20_WAKEUPENABLE_1" newline rbitfld.long 0x90 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x90 19. "GPMC_A20_SLEWCONTROL," "GPMC_A20_SLEWCONTROL_0,GPMC_A20_SLEWCONTROL_1" newline bitfld.long 0x90 18. "GPMC_A20_INPUTENABLE," "GPMC_A20_INPUTENABLE_0,GPMC_A20_INPUTENABLE_1" newline bitfld.long 0x90 17. "GPMC_A20_PULLTYPESELECT," "GPMC_A20_PULLTYPESELECT_0,GPMC_A20_PULLTYPESELECT_1" newline bitfld.long 0x90 16. "GPMC_A20_PULLUDENABLE," "GPMC_A20_PULLUDENABLE_0,GPMC_A20_PULLUDENABLE_1" newline hexmask.long.byte 0x90 9.--15. 1. "RESERVED," newline bitfld.long 0x90 8. "GPMC_A20_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A20_MODESELECT_0,GPMC_A20_MODESELECT_1" newline bitfld.long 0x90 4.--7. "GPMC_A20_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x90 0.--3. "GPMC_A20_MUXMODE," "GPMC_A20_MUXMODE_0,GPMC_A20_MUXMODE_1,GPMC_A20_MUXMODE_2,?,GPMC_A20_MUXMODE_4,?,GPMC_A20_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A20_MUXMODE_14,GPMC_A20_MUXMODE_15" line.long 0x94 "CTRL_CORE_PAD_GPMC_A21," rbitfld.long 0x94 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x94 25. "GPMC_A21_WAKEUPEVENT," "GPMC_A21_WAKEUPEVENT_0,GPMC_A21_WAKEUPEVENT_1" newline bitfld.long 0x94 24. "GPMC_A21_WAKEUPENABLE," "GPMC_A21_WAKEUPENABLE_0,GPMC_A21_WAKEUPENABLE_1" newline rbitfld.long 0x94 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x94 19. "GPMC_A21_SLEWCONTROL," "GPMC_A21_SLEWCONTROL_0,GPMC_A21_SLEWCONTROL_1" newline bitfld.long 0x94 18. "GPMC_A21_INPUTENABLE," "GPMC_A21_INPUTENABLE_0,GPMC_A21_INPUTENABLE_1" newline bitfld.long 0x94 17. "GPMC_A21_PULLTYPESELECT," "GPMC_A21_PULLTYPESELECT_0,GPMC_A21_PULLTYPESELECT_1" newline bitfld.long 0x94 16. "GPMC_A21_PULLUDENABLE," "GPMC_A21_PULLUDENABLE_0,GPMC_A21_PULLUDENABLE_1" newline hexmask.long.byte 0x94 9.--15. 1. "RESERVED," newline bitfld.long 0x94 8. "GPMC_A21_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A21_MODESELECT_0,GPMC_A21_MODESELECT_1" newline bitfld.long 0x94 4.--7. "GPMC_A21_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x94 0.--3. "GPMC_A21_MUXMODE," "GPMC_A21_MUXMODE_0,GPMC_A21_MUXMODE_1,GPMC_A21_MUXMODE_2,?,GPMC_A21_MUXMODE_4,?,GPMC_A21_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A21_MUXMODE_14,GPMC_A21_MUXMODE_15" line.long 0x98 "CTRL_CORE_PAD_GPMC_A22," rbitfld.long 0x98 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x98 25. "GPMC_A22_WAKEUPEVENT," "GPMC_A22_WAKEUPEVENT_0,GPMC_A22_WAKEUPEVENT_1" newline bitfld.long 0x98 24. "GPMC_A22_WAKEUPENABLE," "GPMC_A22_WAKEUPENABLE_0,GPMC_A22_WAKEUPENABLE_1" newline rbitfld.long 0x98 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x98 19. "GPMC_A22_SLEWCONTROL," "GPMC_A22_SLEWCONTROL_0,GPMC_A22_SLEWCONTROL_1" newline bitfld.long 0x98 18. "GPMC_A22_INPUTENABLE," "GPMC_A22_INPUTENABLE_0,GPMC_A22_INPUTENABLE_1" newline bitfld.long 0x98 17. "GPMC_A22_PULLTYPESELECT," "GPMC_A22_PULLTYPESELECT_0,GPMC_A22_PULLTYPESELECT_1" newline bitfld.long 0x98 16. "GPMC_A22_PULLUDENABLE," "GPMC_A22_PULLUDENABLE_0,GPMC_A22_PULLUDENABLE_1" newline hexmask.long.byte 0x98 9.--15. 1. "RESERVED," newline bitfld.long 0x98 8. "GPMC_A22_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A22_MODESELECT_0,GPMC_A22_MODESELECT_1" newline bitfld.long 0x98 4.--7. "GPMC_A22_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x98 0.--3. "GPMC_A22_MUXMODE," "GPMC_A22_MUXMODE_0,GPMC_A22_MUXMODE_1,GPMC_A22_MUXMODE_2,?,GPMC_A22_MUXMODE_4,?,GPMC_A22_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A22_MUXMODE_14,GPMC_A22_MUXMODE_15" line.long 0x9C "CTRL_CORE_PAD_GPMC_A23," rbitfld.long 0x9C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x9C 25. "GPMC_A23_WAKEUPEVENT," "GPMC_A23_WAKEUPEVENT_0,GPMC_A23_WAKEUPEVENT_1" newline bitfld.long 0x9C 24. "GPMC_A23_WAKEUPENABLE," "GPMC_A23_WAKEUPENABLE_0,GPMC_A23_WAKEUPENABLE_1" newline rbitfld.long 0x9C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x9C 19. "GPMC_A23_SLEWCONTROL," "GPMC_A23_SLEWCONTROL_0,GPMC_A23_SLEWCONTROL_1" newline bitfld.long 0x9C 18. "GPMC_A23_INPUTENABLE," "GPMC_A23_INPUTENABLE_0,GPMC_A23_INPUTENABLE_1" newline bitfld.long 0x9C 17. "GPMC_A23_PULLTYPESELECT," "GPMC_A23_PULLTYPESELECT_0,GPMC_A23_PULLTYPESELECT_1" newline bitfld.long 0x9C 16. "GPMC_A23_PULLUDENABLE," "GPMC_A23_PULLUDENABLE_0,GPMC_A23_PULLUDENABLE_1" newline hexmask.long.byte 0x9C 9.--15. 1. "RESERVED," newline bitfld.long 0x9C 8. "GPMC_A23_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A23_MODESELECT_0,GPMC_A23_MODESELECT_1" newline bitfld.long 0x9C 4.--7. "GPMC_A23_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x9C 0.--3. "GPMC_A23_MUXMODE," "GPMC_A23_MUXMODE_0,GPMC_A23_MUXMODE_1,GPMC_A23_MUXMODE_2,?,GPMC_A23_MUXMODE_4,?,GPMC_A23_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A23_MUXMODE_14,GPMC_A23_MUXMODE_15" line.long 0xA0 "CTRL_CORE_PAD_GPMC_A24," rbitfld.long 0xA0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xA0 25. "GPMC_A24_WAKEUPEVENT," "GPMC_A24_WAKEUPEVENT_0,GPMC_A24_WAKEUPEVENT_1" newline bitfld.long 0xA0 24. "GPMC_A24_WAKEUPENABLE," "GPMC_A24_WAKEUPENABLE_0,GPMC_A24_WAKEUPENABLE_1" newline rbitfld.long 0xA0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA0 19. "GPMC_A24_SLEWCONTROL," "GPMC_A24_SLEWCONTROL_0,GPMC_A24_SLEWCONTROL_1" newline bitfld.long 0xA0 18. "GPMC_A24_INPUTENABLE," "GPMC_A24_INPUTENABLE_0,GPMC_A24_INPUTENABLE_1" newline bitfld.long 0xA0 17. "GPMC_A24_PULLTYPESELECT," "GPMC_A24_PULLTYPESELECT_0,GPMC_A24_PULLTYPESELECT_1" newline bitfld.long 0xA0 16. "GPMC_A24_PULLUDENABLE," "GPMC_A24_PULLUDENABLE_0,GPMC_A24_PULLUDENABLE_1" newline hexmask.long.byte 0xA0 9.--15. 1. "RESERVED," newline bitfld.long 0xA0 8. "GPMC_A24_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A24_MODESELECT_0,GPMC_A24_MODESELECT_1" newline bitfld.long 0xA0 4.--7. "GPMC_A24_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA0 0.--3. "GPMC_A24_MUXMODE," "GPMC_A24_MUXMODE_0,GPMC_A24_MUXMODE_1,GPMC_A24_MUXMODE_2,?,?,?,GPMC_A24_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A24_MUXMODE_14,GPMC_A24_MUXMODE_15" line.long 0xA4 "CTRL_CORE_PAD_GPMC_A25," rbitfld.long 0xA4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xA4 25. "GPMC_A25_WAKEUPEVENT," "GPMC_A25_WAKEUPEVENT_0,GPMC_A25_WAKEUPEVENT_1" newline bitfld.long 0xA4 24. "GPMC_A25_WAKEUPENABLE," "GPMC_A25_WAKEUPENABLE_0,GPMC_A25_WAKEUPENABLE_1" newline rbitfld.long 0xA4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA4 19. "GPMC_A25_SLEWCONTROL," "GPMC_A25_SLEWCONTROL_0,GPMC_A25_SLEWCONTROL_1" newline bitfld.long 0xA4 18. "GPMC_A25_INPUTENABLE," "GPMC_A25_INPUTENABLE_0,GPMC_A25_INPUTENABLE_1" newline bitfld.long 0xA4 17. "GPMC_A25_PULLTYPESELECT," "GPMC_A25_PULLTYPESELECT_0,GPMC_A25_PULLTYPESELECT_1" newline bitfld.long 0xA4 16. "GPMC_A25_PULLUDENABLE," "GPMC_A25_PULLUDENABLE_0,GPMC_A25_PULLUDENABLE_1" newline hexmask.long.byte 0xA4 9.--15. 1. "RESERVED," newline bitfld.long 0xA4 8. "GPMC_A25_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A25_MODESELECT_0,GPMC_A25_MODESELECT_1" newline bitfld.long 0xA4 4.--7. "GPMC_A25_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA4 0.--3. "GPMC_A25_MUXMODE," "GPMC_A25_MUXMODE_0,GPMC_A25_MUXMODE_1,GPMC_A25_MUXMODE_2,?,?,?,GPMC_A25_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A25_MUXMODE_14,GPMC_A25_MUXMODE_15" line.long 0xA8 "CTRL_CORE_PAD_GPMC_A26," rbitfld.long 0xA8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xA8 25. "GPMC_A26_WAKEUPEVENT," "GPMC_A26_WAKEUPEVENT_0,GPMC_A26_WAKEUPEVENT_1" newline bitfld.long 0xA8 24. "GPMC_A26_WAKEUPENABLE," "GPMC_A26_WAKEUPENABLE_0,GPMC_A26_WAKEUPENABLE_1" newline rbitfld.long 0xA8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA8 19. "GPMC_A26_SLEWCONTROL," "GPMC_A26_SLEWCONTROL_0,GPMC_A26_SLEWCONTROL_1" newline bitfld.long 0xA8 18. "GPMC_A26_INPUTENABLE," "GPMC_A26_INPUTENABLE_0,GPMC_A26_INPUTENABLE_1" newline bitfld.long 0xA8 17. "GPMC_A26_PULLTYPESELECT," "GPMC_A26_PULLTYPESELECT_0,GPMC_A26_PULLTYPESELECT_1" newline bitfld.long 0xA8 16. "GPMC_A26_PULLUDENABLE," "GPMC_A26_PULLUDENABLE_0,GPMC_A26_PULLUDENABLE_1" newline hexmask.long.byte 0xA8 9.--15. 1. "RESERVED," newline bitfld.long 0xA8 8. "GPMC_A26_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A26_MODESELECT_0,GPMC_A26_MODESELECT_1" newline bitfld.long 0xA8 4.--7. "GPMC_A26_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xA8 0.--3. "GPMC_A26_MUXMODE," "GPMC_A26_MUXMODE_0,GPMC_A26_MUXMODE_1,GPMC_A26_MUXMODE_2,?,?,?,GPMC_A26_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A26_MUXMODE_14,GPMC_A26_MUXMODE_15" line.long 0xAC "CTRL_CORE_PAD_GPMC_A27," rbitfld.long 0xAC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xAC 25. "GPMC_A27_WAKEUPEVENT," "GPMC_A27_WAKEUPEVENT_0,GPMC_A27_WAKEUPEVENT_1" newline bitfld.long 0xAC 24. "GPMC_A27_WAKEUPENABLE," "GPMC_A27_WAKEUPENABLE_0,GPMC_A27_WAKEUPENABLE_1" newline rbitfld.long 0xAC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xAC 19. "GPMC_A27_SLEWCONTROL," "GPMC_A27_SLEWCONTROL_0,GPMC_A27_SLEWCONTROL_1" newline bitfld.long 0xAC 18. "GPMC_A27_INPUTENABLE," "GPMC_A27_INPUTENABLE_0,GPMC_A27_INPUTENABLE_1" newline bitfld.long 0xAC 17. "GPMC_A27_PULLTYPESELECT," "GPMC_A27_PULLTYPESELECT_0,GPMC_A27_PULLTYPESELECT_1" newline bitfld.long 0xAC 16. "GPMC_A27_PULLUDENABLE," "GPMC_A27_PULLUDENABLE_0,GPMC_A27_PULLUDENABLE_1" newline hexmask.long.byte 0xAC 9.--15. 1. "RESERVED," newline bitfld.long 0xAC 8. "GPMC_A27_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_A27_MODESELECT_0,GPMC_A27_MODESELECT_1" newline bitfld.long 0xAC 4.--7. "GPMC_A27_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xAC 0.--3. "GPMC_A27_MUXMODE," "GPMC_A27_MUXMODE_0,GPMC_A27_MUXMODE_1,GPMC_A27_MUXMODE_2,?,?,?,GPMC_A27_MUXMODE_6,?,?,?,?,?,?,?,GPMC_A27_MUXMODE_14,GPMC_A27_MUXMODE_15" line.long 0xB0 "CTRL_CORE_PAD_GPMC_CS1," rbitfld.long 0xB0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xB0 25. "GPMC_CS1_WAKEUPEVENT," "GPMC_CS1_WAKEUPEVENT_0,GPMC_CS1_WAKEUPEVENT_1" newline bitfld.long 0xB0 24. "GPMC_CS1_WAKEUPENABLE," "GPMC_CS1_WAKEUPENABLE_0,GPMC_CS1_WAKEUPENABLE_1" newline rbitfld.long 0xB0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB0 19. "GPMC_CS1_SLEWCONTROL," "GPMC_CS1_SLEWCONTROL_0,GPMC_CS1_SLEWCONTROL_1" newline bitfld.long 0xB0 18. "GPMC_CS1_INPUTENABLE," "GPMC_CS1_INPUTENABLE_0,GPMC_CS1_INPUTENABLE_1" newline bitfld.long 0xB0 17. "GPMC_CS1_PULLTYPESELECT," "GPMC_CS1_PULLTYPESELECT_0,GPMC_CS1_PULLTYPESELECT_1" newline bitfld.long 0xB0 16. "GPMC_CS1_PULLUDENABLE," "GPMC_CS1_PULLUDENABLE_0,GPMC_CS1_PULLUDENABLE_1" newline hexmask.long.byte 0xB0 9.--15. 1. "RESERVED," newline bitfld.long 0xB0 8. "GPMC_CS1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_CS1_MODESELECT_0,GPMC_CS1_MODESELECT_1" newline bitfld.long 0xB0 4.--7. "GPMC_CS1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB0 0.--3. "GPMC_CS1_MUXMODE," "GPMC_CS1_MUXMODE_0,GPMC_CS1_MUXMODE_1,GPMC_CS1_MUXMODE_2,?,GPMC_CS1_MUXMODE_4,?,GPMC_CS1_MUXMODE_6,?,?,?,?,?,?,?,GPMC_CS1_MUXMODE_14,GPMC_CS1_MUXMODE_15" line.long 0xB4 "CTRL_CORE_PAD_GPMC_CS0," rbitfld.long 0xB4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xB4 25. "GPMC_CS0_WAKEUPEVENT," "GPMC_CS0_WAKEUPEVENT_0,GPMC_CS0_WAKEUPEVENT_1" newline bitfld.long 0xB4 24. "GPMC_CS0_WAKEUPENABLE," "GPMC_CS0_WAKEUPENABLE_0,GPMC_CS0_WAKEUPENABLE_1" newline rbitfld.long 0xB4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB4 19. "GPMC_CS0_SLEWCONTROL," "GPMC_CS0_SLEWCONTROL_0,GPMC_CS0_SLEWCONTROL_1" newline bitfld.long 0xB4 18. "GPMC_CS0_INPUTENABLE," "GPMC_CS0_INPUTENABLE_0,GPMC_CS0_INPUTENABLE_1" newline bitfld.long 0xB4 17. "GPMC_CS0_PULLTYPESELECT," "GPMC_CS0_PULLTYPESELECT_0,GPMC_CS0_PULLTYPESELECT_1" newline bitfld.long 0xB4 16. "GPMC_CS0_PULLUDENABLE," "GPMC_CS0_PULLUDENABLE_0,GPMC_CS0_PULLUDENABLE_1" newline hexmask.long.byte 0xB4 9.--15. 1. "RESERVED," newline bitfld.long 0xB4 8. "GPMC_CS0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_CS0_MODESELECT_0,GPMC_CS0_MODESELECT_1" newline bitfld.long 0xB4 4.--7. "GPMC_CS0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB4 0.--3. "GPMC_CS0_MUXMODE," "GPMC_CS0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,GPMC_CS0_MUXMODE_14,GPMC_CS0_MUXMODE_15" line.long 0xB8 "CTRL_CORE_PAD_GPMC_CS2," rbitfld.long 0xB8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xB8 25. "GPMC_CS2_WAKEUPEVENT," "GPMC_CS2_WAKEUPEVENT_0,GPMC_CS2_WAKEUPEVENT_1" newline bitfld.long 0xB8 24. "GPMC_CS2_WAKEUPENABLE," "GPMC_CS2_WAKEUPENABLE_0,GPMC_CS2_WAKEUPENABLE_1" newline rbitfld.long 0xB8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB8 19. "GPMC_CS2_SLEWCONTROL," "GPMC_CS2_SLEWCONTROL_0,GPMC_CS2_SLEWCONTROL_1" newline bitfld.long 0xB8 18. "GPMC_CS2_INPUTENABLE," "GPMC_CS2_INPUTENABLE_0,GPMC_CS2_INPUTENABLE_1" newline bitfld.long 0xB8 17. "GPMC_CS2_PULLTYPESELECT," "GPMC_CS2_PULLTYPESELECT_0,GPMC_CS2_PULLTYPESELECT_1" newline bitfld.long 0xB8 16. "GPMC_CS2_PULLUDENABLE," "GPMC_CS2_PULLUDENABLE_0,GPMC_CS2_PULLUDENABLE_1" newline hexmask.long.byte 0xB8 9.--15. 1. "RESERVED," newline bitfld.long 0xB8 8. "GPMC_CS2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_CS2_MODESELECT_0,GPMC_CS2_MODESELECT_1" newline bitfld.long 0xB8 4.--7. "GPMC_CS2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xB8 0.--3. "GPMC_CS2_MUXMODE," "GPMC_CS2_MUXMODE_0,GPMC_CS2_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,GPMC_CS2_MUXMODE_14,GPMC_CS2_MUXMODE_15" line.long 0xBC "CTRL_CORE_PAD_GPMC_CS3," rbitfld.long 0xBC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xBC 25. "GPMC_CS3_WAKEUPEVENT," "GPMC_CS3_WAKEUPEVENT_0,GPMC_CS3_WAKEUPEVENT_1" newline bitfld.long 0xBC 24. "GPMC_CS3_WAKEUPENABLE," "GPMC_CS3_WAKEUPENABLE_0,GPMC_CS3_WAKEUPENABLE_1" newline rbitfld.long 0xBC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xBC 19. "GPMC_CS3_SLEWCONTROL," "GPMC_CS3_SLEWCONTROL_0,GPMC_CS3_SLEWCONTROL_1" newline bitfld.long 0xBC 18. "GPMC_CS3_INPUTENABLE," "GPMC_CS3_INPUTENABLE_0,GPMC_CS3_INPUTENABLE_1" newline bitfld.long 0xBC 17. "GPMC_CS3_PULLTYPESELECT," "GPMC_CS3_PULLTYPESELECT_0,GPMC_CS3_PULLTYPESELECT_1" newline bitfld.long 0xBC 16. "GPMC_CS3_PULLUDENABLE," "GPMC_CS3_PULLUDENABLE_0,GPMC_CS3_PULLUDENABLE_1" newline hexmask.long.byte 0xBC 9.--15. 1. "RESERVED," newline bitfld.long 0xBC 8. "GPMC_CS3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_CS3_MODESELECT_0,GPMC_CS3_MODESELECT_1" newline bitfld.long 0xBC 4.--7. "GPMC_CS3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xBC 0.--3. "GPMC_CS3_MUXMODE," "GPMC_CS3_MUXMODE_0,GPMC_CS3_MUXMODE_1,GPMC_CS3_MUXMODE_2,GPMC_CS3_MUXMODE_3,?,GPMC_CS3_MUXMODE_5,?,?,?,?,?,?,?,?,GPMC_CS3_MUXMODE_14,GPMC_CS3_MUXMODE_15" line.long 0xC0 "CTRL_CORE_PAD_GPMC_CLK," rbitfld.long 0xC0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xC0 25. "GPMC_CLK_WAKEUPEVENT," "GPMC_CLK_WAKEUPEVENT_0,GPMC_CLK_WAKEUPEVENT_1" newline bitfld.long 0xC0 24. "GPMC_CLK_WAKEUPENABLE," "GPMC_CLK_WAKEUPENABLE_0,GPMC_CLK_WAKEUPENABLE_1" newline rbitfld.long 0xC0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC0 19. "GPMC_CLK_SLEWCONTROL," "GPMC_CLK_SLEWCONTROL_0,GPMC_CLK_SLEWCONTROL_1" newline bitfld.long 0xC0 18. "GPMC_CLK_INPUTENABLE," "GPMC_CLK_INPUTENABLE_0,GPMC_CLK_INPUTENABLE_1" newline bitfld.long 0xC0 17. "GPMC_CLK_PULLTYPESELECT," "GPMC_CLK_PULLTYPESELECT_0,GPMC_CLK_PULLTYPESELECT_1" newline bitfld.long 0xC0 16. "GPMC_CLK_PULLUDENABLE," "GPMC_CLK_PULLUDENABLE_0,GPMC_CLK_PULLUDENABLE_1" newline hexmask.long.byte 0xC0 9.--15. 1. "RESERVED," newline bitfld.long 0xC0 8. "GPMC_CLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_CLK_MODESELECT_0,GPMC_CLK_MODESELECT_1" newline bitfld.long 0xC0 4.--7. "GPMC_CLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC0 0.--3. "GPMC_CLK_MUXMODE," "GPMC_CLK_MUXMODE_0,GPMC_CLK_MUXMODE_1,GPMC_CLK_MUXMODE_2,GPMC_CLK_MUXMODE_3,GPMC_CLK_MUXMODE_4,GPMC_CLK_MUXMODE_5,GPMC_CLK_MUXMODE_6,GPMC_CLK_MUXMODE_7,GPMC_CLK_MUXMODE_8,GPMC_CLK_MUXMODE_9,?,?,?,?,GPMC_CLK_MUXMODE_14,GPMC_CLK_MUXMODE_15" line.long 0xC4 "CTRL_CORE_PAD_GPMC_ADVN_ALE," rbitfld.long 0xC4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xC4 25. "GPMC_ADVN_ALE_WAKEUPEVENT," "GPMC_ADVN_ALE_WAKEUPEVENT_0,GPMC_ADVN_ALE_WAKEUPEVENT_1" newline bitfld.long 0xC4 24. "GPMC_ADVN_ALE_WAKEUPENABLE," "GPMC_ADVN_ALE_WAKEUPENABLE_0,GPMC_ADVN_ALE_WAKEUPENABLE_1" newline rbitfld.long 0xC4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC4 19. "GPMC_ADVN_ALE_SLEWCONTROL," "GPMC_ADVN_ALE_SLEWCONTROL_0,GPMC_ADVN_ALE_SLEWCONTROL_1" newline bitfld.long 0xC4 18. "GPMC_ADVN_ALE_INPUTENABLE," "GPMC_ADVN_ALE_INPUTENABLE_0,GPMC_ADVN_ALE_INPUTENABLE_1" newline bitfld.long 0xC4 17. "GPMC_ADVN_ALE_PULLTYPESELECT," "GPMC_ADVN_ALE_PULLTYPESELECT_0,GPMC_ADVN_ALE_PULLTYPESELECT_1" newline bitfld.long 0xC4 16. "GPMC_ADVN_ALE_PULLUDENABLE," "GPMC_ADVN_ALE_PULLUDENABLE_0,GPMC_ADVN_ALE_PULLUDENABLE_1" newline hexmask.long.byte 0xC4 9.--15. 1. "RESERVED," newline bitfld.long 0xC4 8. "GPMC_ADVN_ALE_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_ADVN_ALE_MODESELECT_0,GPMC_ADVN_ALE_MODESELECT_1" newline bitfld.long 0xC4 4.--7. "GPMC_ADVN_ALE_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC4 0.--3. "GPMC_ADVN_ALE_MUXMODE," "GPMC_ADVN_ALE_MUXMODE_0,GPMC_ADVN_ALE_MUXMODE_1,GPMC_ADVN_ALE_MUXMODE_2,GPMC_ADVN_ALE_MUXMODE_3,GPMC_ADVN_ALE_MUXMODE_4,GPMC_ADVN_ALE_MUXMODE_5,GPMC_ADVN_ALE_MUXMODE_6,GPMC_ADVN_ALE_MUXMODE_7,GPMC_ADVN_ALE_MUXMODE_8,GPMC_ADVN_ALE_MUXMODE_9,?,?,?,?,GPMC_ADVN_ALE_MUXMODE_14,GPMC_ADVN_ALE_MUXMODE_15" line.long 0xC8 "CTRL_CORE_PAD_GPMC_OEN_REN," rbitfld.long 0xC8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xC8 25. "GPMC_OEN_REN_WAKEUPEVENT," "GPMC_OEN_REN_WAKEUPEVENT_0,GPMC_OEN_REN_WAKEUPEVENT_1" newline bitfld.long 0xC8 24. "GPMC_OEN_REN_WAKEUPENABLE," "GPMC_OEN_REN_WAKEUPENABLE_0,GPMC_OEN_REN_WAKEUPENABLE_1" newline rbitfld.long 0xC8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC8 19. "GPMC_OEN_REN_SLEWCONTROL," "GPMC_OEN_REN_SLEWCONTROL_0,GPMC_OEN_REN_SLEWCONTROL_1" newline bitfld.long 0xC8 18. "GPMC_OEN_REN_INPUTENABLE," "GPMC_OEN_REN_INPUTENABLE_0,GPMC_OEN_REN_INPUTENABLE_1" newline bitfld.long 0xC8 17. "GPMC_OEN_REN_PULLTYPESELECT," "GPMC_OEN_REN_PULLTYPESELECT_0,GPMC_OEN_REN_PULLTYPESELECT_1" newline bitfld.long 0xC8 16. "GPMC_OEN_REN_PULLUDENABLE," "GPMC_OEN_REN_PULLUDENABLE_0,GPMC_OEN_REN_PULLUDENABLE_1" newline hexmask.long.byte 0xC8 9.--15. 1. "RESERVED," newline bitfld.long 0xC8 8. "GPMC_OEN_REN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_OEN_REN_MODESELECT_0,GPMC_OEN_REN_MODESELECT_1" newline bitfld.long 0xC8 4.--7. "GPMC_OEN_REN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xC8 0.--3. "GPMC_OEN_REN_MUXMODE," "GPMC_OEN_REN_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,GPMC_OEN_REN_MUXMODE_14,GPMC_OEN_REN_MUXMODE_15" line.long 0xCC "CTRL_CORE_PAD_GPMC_WEN," rbitfld.long 0xCC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xCC 25. "GPMC_WEN_WAKEUPEVENT," "GPMC_WEN_WAKEUPEVENT_0,GPMC_WEN_WAKEUPEVENT_1" newline bitfld.long 0xCC 24. "GPMC_WEN_WAKEUPENABLE," "GPMC_WEN_WAKEUPENABLE_0,GPMC_WEN_WAKEUPENABLE_1" newline rbitfld.long 0xCC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xCC 19. "GPMC_WEN_SLEWCONTROL," "GPMC_WEN_SLEWCONTROL_0,GPMC_WEN_SLEWCONTROL_1" newline bitfld.long 0xCC 18. "GPMC_WEN_INPUTENABLE," "GPMC_WEN_INPUTENABLE_0,GPMC_WEN_INPUTENABLE_1" newline bitfld.long 0xCC 17. "GPMC_WEN_PULLTYPESELECT," "GPMC_WEN_PULLTYPESELECT_0,GPMC_WEN_PULLTYPESELECT_1" newline bitfld.long 0xCC 16. "GPMC_WEN_PULLUDENABLE," "GPMC_WEN_PULLUDENABLE_0,GPMC_WEN_PULLUDENABLE_1" newline hexmask.long.byte 0xCC 9.--15. 1. "RESERVED," newline bitfld.long 0xCC 8. "GPMC_WEN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_WEN_MODESELECT_0,GPMC_WEN_MODESELECT_1" newline bitfld.long 0xCC 4.--7. "GPMC_WEN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xCC 0.--3. "GPMC_WEN_MUXMODE," "GPMC_WEN_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,GPMC_WEN_MUXMODE_14,GPMC_WEN_MUXMODE_15" line.long 0xD0 "CTRL_CORE_PAD_GPMC_BEN0," rbitfld.long 0xD0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xD0 25. "GPMC_BEN0_WAKEUPEVENT," "GPMC_BEN0_WAKEUPEVENT_0,GPMC_BEN0_WAKEUPEVENT_1" newline bitfld.long 0xD0 24. "GPMC_BEN0_WAKEUPENABLE," "GPMC_BEN0_WAKEUPENABLE_0,GPMC_BEN0_WAKEUPENABLE_1" newline rbitfld.long 0xD0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD0 19. "GPMC_BEN0_SLEWCONTROL," "GPMC_BEN0_SLEWCONTROL_0,GPMC_BEN0_SLEWCONTROL_1" newline bitfld.long 0xD0 18. "GPMC_BEN0_INPUTENABLE," "GPMC_BEN0_INPUTENABLE_0,GPMC_BEN0_INPUTENABLE_1" newline bitfld.long 0xD0 17. "GPMC_BEN0_PULLTYPESELECT," "GPMC_BEN0_PULLTYPESELECT_0,GPMC_BEN0_PULLTYPESELECT_1" newline bitfld.long 0xD0 16. "GPMC_BEN0_PULLUDENABLE," "GPMC_BEN0_PULLUDENABLE_0,GPMC_BEN0_PULLUDENABLE_1" newline hexmask.long.byte 0xD0 9.--15. 1. "RESERVED," newline bitfld.long 0xD0 8. "GPMC_BEN0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_BEN0_MODESELECT_0,GPMC_BEN0_MODESELECT_1" newline bitfld.long 0xD0 4.--7. "GPMC_BEN0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD0 0.--3. "GPMC_BEN0_MUXMODE," "GPMC_BEN0_MUXMODE_0,GPMC_BEN0_MUXMODE_1,?,GPMC_BEN0_MUXMODE_3,?,?,GPMC_BEN0_MUXMODE_6,GPMC_BEN0_MUXMODE_7,?,GPMC_BEN0_MUXMODE_9,?,?,?,?,GPMC_BEN0_MUXMODE_14,GPMC_BEN0_MUXMODE_15" line.long 0xD4 "CTRL_CORE_PAD_GPMC_BEN1," rbitfld.long 0xD4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xD4 25. "GPMC_BEN1_WAKEUPEVENT," "GPMC_BEN1_WAKEUPEVENT_0,GPMC_BEN1_WAKEUPEVENT_1" newline bitfld.long 0xD4 24. "GPMC_BEN1_WAKEUPENABLE," "GPMC_BEN1_WAKEUPENABLE_0,GPMC_BEN1_WAKEUPENABLE_1" newline rbitfld.long 0xD4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD4 19. "GPMC_BEN1_SLEWCONTROL," "GPMC_BEN1_SLEWCONTROL_0,GPMC_BEN1_SLEWCONTROL_1" newline bitfld.long 0xD4 18. "GPMC_BEN1_INPUTENABLE," "GPMC_BEN1_INPUTENABLE_0,GPMC_BEN1_INPUTENABLE_1" newline bitfld.long 0xD4 17. "GPMC_BEN1_PULLTYPESELECT," "GPMC_BEN1_PULLTYPESELECT_0,GPMC_BEN1_PULLTYPESELECT_1" newline bitfld.long 0xD4 16. "GPMC_BEN1_PULLUDENABLE," "GPMC_BEN1_PULLUDENABLE_0,GPMC_BEN1_PULLUDENABLE_1" newline hexmask.long.byte 0xD4 9.--15. 1. "RESERVED," newline bitfld.long 0xD4 8. "GPMC_BEN1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_BEN1_MODESELECT_0,GPMC_BEN1_MODESELECT_1" newline bitfld.long 0xD4 4.--7. "GPMC_BEN1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD4 0.--3. "GPMC_BEN1_MUXMODE," "GPMC_BEN1_MUXMODE_0,GPMC_BEN1_MUXMODE_1,?,GPMC_BEN1_MUXMODE_3,GPMC_BEN1_MUXMODE_4,GPMC_BEN1_MUXMODE_5,GPMC_BEN1_MUXMODE_6,GPMC_BEN1_MUXMODE_7,?,GPMC_BEN1_MUXMODE_9,?,?,?,?,GPMC_BEN1_MUXMODE_14,GPMC_BEN1_MUXMODE_15" line.long 0xD8 "CTRL_CORE_PAD_GPMC_WAIT0," rbitfld.long 0xD8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xD8 25. "GPMC_WAIT0_WAKEUPEVENT," "GPMC_WAIT0_WAKEUPEVENT_0,GPMC_WAIT0_WAKEUPEVENT_1" newline bitfld.long 0xD8 24. "GPMC_WAIT0_WAKEUPENABLE," "GPMC_WAIT0_WAKEUPENABLE_0,GPMC_WAIT0_WAKEUPENABLE_1" newline rbitfld.long 0xD8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD8 19. "GPMC_WAIT0_SLEWCONTROL," "GPMC_WAIT0_SLEWCONTROL_0,GPMC_WAIT0_SLEWCONTROL_1" newline bitfld.long 0xD8 18. "GPMC_WAIT0_INPUTENABLE," "GPMC_WAIT0_INPUTENABLE_0,GPMC_WAIT0_INPUTENABLE_1" newline bitfld.long 0xD8 17. "GPMC_WAIT0_PULLTYPESELECT," "GPMC_WAIT0_PULLTYPESELECT_0,GPMC_WAIT0_PULLTYPESELECT_1" newline bitfld.long 0xD8 16. "GPMC_WAIT0_PULLUDENABLE," "GPMC_WAIT0_PULLUDENABLE_0,GPMC_WAIT0_PULLUDENABLE_1" newline hexmask.long.byte 0xD8 9.--15. 1. "RESERVED," newline bitfld.long 0xD8 8. "GPMC_WAIT0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPMC_WAIT0_MODESELECT_0,GPMC_WAIT0_MODESELECT_1" newline bitfld.long 0xD8 4.--7. "GPMC_WAIT0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xD8 0.--3. "GPMC_WAIT0_MUXMODE," "GPMC_WAIT0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,GPMC_WAIT0_MUXMODE_14,GPMC_WAIT0_MUXMODE_15" line.long 0xDC "CTRL_CORE_PAD_VIN1A_CLK0," rbitfld.long 0xDC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xDC 25. "VIN1A_CLK0_WAKEUPEVENT," "VIN1A_CLK0_WAKEUPEVENT_0,VIN1A_CLK0_WAKEUPEVENT_1" newline bitfld.long 0xDC 24. "VIN1A_CLK0_WAKEUPENABLE," "VIN1A_CLK0_WAKEUPENABLE_0,VIN1A_CLK0_WAKEUPENABLE_1" newline rbitfld.long 0xDC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xDC 19. "VIN1A_CLK0_SLEWCONTROL," "VIN1A_CLK0_SLEWCONTROL_0,VIN1A_CLK0_SLEWCONTROL_1" newline bitfld.long 0xDC 18. "VIN1A_CLK0_INPUTENABLE," "VIN1A_CLK0_INPUTENABLE_0,VIN1A_CLK0_INPUTENABLE_1" newline bitfld.long 0xDC 17. "VIN1A_CLK0_PULLTYPESELECT," "VIN1A_CLK0_PULLTYPESELECT_0,VIN1A_CLK0_PULLTYPESELECT_1" newline bitfld.long 0xDC 16. "VIN1A_CLK0_PULLUDENABLE," "VIN1A_CLK0_PULLUDENABLE_0,VIN1A_CLK0_PULLUDENABLE_1" newline hexmask.long.byte 0xDC 9.--15. 1. "RESERVED," newline bitfld.long 0xDC 8. "VIN1A_CLK0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_CLK0_MODESELECT_0,VIN1A_CLK0_MODESELECT_1" newline bitfld.long 0xDC 4.--7. "VIN1A_CLK0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xDC 0.--3. "VIN1A_CLK0_MUXMODE," "VIN1A_CLK0_MUXMODE_0,?,?,VIN1A_CLK0_MUXMODE_3,VIN1A_CLK0_MUXMODE_4,?,?,?,?,?,?,?,?,?,VIN1A_CLK0_MUXMODE_14,VIN1A_CLK0_MUXMODE_15" line.long 0xE0 "CTRL_CORE_PAD_VIN1B_CLK1," rbitfld.long 0xE0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xE0 25. "VIN1B_CLK1_WAKEUPEVENT," "VIN1B_CLK1_WAKEUPEVENT_0,VIN1B_CLK1_WAKEUPEVENT_1" newline bitfld.long 0xE0 24. "VIN1B_CLK1_WAKEUPENABLE," "VIN1B_CLK1_WAKEUPENABLE_0,VIN1B_CLK1_WAKEUPENABLE_1" newline rbitfld.long 0xE0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE0 19. "VIN1B_CLK1_SLEWCONTROL," "VIN1B_CLK1_SLEWCONTROL_0,VIN1B_CLK1_SLEWCONTROL_1" newline bitfld.long 0xE0 18. "VIN1B_CLK1_INPUTENABLE," "VIN1B_CLK1_INPUTENABLE_0,VIN1B_CLK1_INPUTENABLE_1" newline bitfld.long 0xE0 17. "VIN1B_CLK1_PULLTYPESELECT," "VIN1B_CLK1_PULLTYPESELECT_0,VIN1B_CLK1_PULLTYPESELECT_1" newline bitfld.long 0xE0 16. "VIN1B_CLK1_PULLUDENABLE," "VIN1B_CLK1_PULLUDENABLE_0,VIN1B_CLK1_PULLUDENABLE_1" newline hexmask.long.byte 0xE0 9.--15. 1. "RESERVED," newline bitfld.long 0xE0 8. "VIN1B_CLK1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1B_CLK1_MODESELECT_0,VIN1B_CLK1_MODESELECT_1" newline bitfld.long 0xE0 4.--7. "VIN1B_CLK1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE0 0.--3. "VIN1B_CLK1_MUXMODE," "VIN1B_CLK1_MUXMODE_0,?,?,?,?,?,VIN1B_CLK1_MUXMODE_6,?,?,?,?,?,?,?,VIN1B_CLK1_MUXMODE_14,VIN1B_CLK1_MUXMODE_15" line.long 0xE4 "CTRL_CORE_PAD_VIN1A_DE0," rbitfld.long 0xE4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xE4 25. "VIN1A_DE0_WAKEUPEVENT," "VIN1A_DE0_WAKEUPEVENT_0,VIN1A_DE0_WAKEUPEVENT_1" newline bitfld.long 0xE4 24. "VIN1A_DE0_WAKEUPENABLE," "VIN1A_DE0_WAKEUPENABLE_0,VIN1A_DE0_WAKEUPENABLE_1" newline rbitfld.long 0xE4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE4 19. "VIN1A_DE0_SLEWCONTROL," "VIN1A_DE0_SLEWCONTROL_0,VIN1A_DE0_SLEWCONTROL_1" newline bitfld.long 0xE4 18. "VIN1A_DE0_INPUTENABLE," "VIN1A_DE0_INPUTENABLE_0,VIN1A_DE0_INPUTENABLE_1" newline bitfld.long 0xE4 17. "VIN1A_DE0_PULLTYPESELECT," "VIN1A_DE0_PULLTYPESELECT_0,VIN1A_DE0_PULLTYPESELECT_1" newline bitfld.long 0xE4 16. "VIN1A_DE0_PULLUDENABLE," "VIN1A_DE0_PULLUDENABLE_0,VIN1A_DE0_PULLUDENABLE_1" newline hexmask.long.byte 0xE4 9.--15. 1. "RESERVED," newline bitfld.long 0xE4 8. "VIN1A_DE0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_DE0_MODESELECT_0,VIN1A_DE0_MODESELECT_1" newline bitfld.long 0xE4 4.--7. "VIN1A_DE0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE4 0.--3. "VIN1A_DE0_MUXMODE," "VIN1A_DE0_MUXMODE_0,VIN1A_DE0_MUXMODE_1,?,VIN1A_DE0_MUXMODE_3,VIN1A_DE0_MUXMODE_4,VIN1A_DE0_MUXMODE_5,?,VIN1A_DE0_MUXMODE_7,VIN1A_DE0_MUXMODE_8,VIN1A_DE0_MUXMODE_9,VIN1A_DE0_MUXMODE_10,?,?,?,VIN1A_DE0_MUXMODE_14,VIN1A_DE0_MUXMODE_15" line.long 0xE8 "CTRL_CORE_PAD_VIN1A_FLD0," rbitfld.long 0xE8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xE8 25. "VIN1A_FLD0_WAKEUPEVENT," "VIN1A_FLD0_WAKEUPEVENT_0,VIN1A_FLD0_WAKEUPEVENT_1" newline bitfld.long 0xE8 24. "VIN1A_FLD0_WAKEUPENABLE," "VIN1A_FLD0_WAKEUPENABLE_0,VIN1A_FLD0_WAKEUPENABLE_1" newline rbitfld.long 0xE8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE8 19. "VIN1A_FLD0_SLEWCONTROL," "VIN1A_FLD0_SLEWCONTROL_0,VIN1A_FLD0_SLEWCONTROL_1" newline bitfld.long 0xE8 18. "VIN1A_FLD0_INPUTENABLE," "VIN1A_FLD0_INPUTENABLE_0,VIN1A_FLD0_INPUTENABLE_1" newline bitfld.long 0xE8 17. "VIN1A_FLD0_PULLTYPESELECT," "VIN1A_FLD0_PULLTYPESELECT_0,VIN1A_FLD0_PULLTYPESELECT_1" newline bitfld.long 0xE8 16. "VIN1A_FLD0_PULLUDENABLE," "VIN1A_FLD0_PULLUDENABLE_0,VIN1A_FLD0_PULLUDENABLE_1" newline hexmask.long.byte 0xE8 9.--15. 1. "RESERVED," newline bitfld.long 0xE8 8. "VIN1A_FLD0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_FLD0_MODESELECT_0,VIN1A_FLD0_MODESELECT_1" newline bitfld.long 0xE8 4.--7. "VIN1A_FLD0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xE8 0.--3. "VIN1A_FLD0_MUXMODE," "VIN1A_FLD0_MUXMODE_0,VIN1A_FLD0_MUXMODE_1,?,?,VIN1A_FLD0_MUXMODE_4,VIN1A_FLD0_MUXMODE_5,?,VIN1A_FLD0_MUXMODE_7,VIN1A_FLD0_MUXMODE_8,VIN1A_FLD0_MUXMODE_9,VIN1A_FLD0_MUXMODE_10,?,?,?,VIN1A_FLD0_MUXMODE_14,VIN1A_FLD0_MUXMODE_15" line.long 0xEC "CTRL_CORE_PAD_VIN1A_HSYNC0," rbitfld.long 0xEC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xEC 25. "VIN1A_HSYNC0_WAKEUPEVENT," "VIN1A_HSYNC0_WAKEUPEVENT_0,VIN1A_HSYNC0_WAKEUPEVENT_1" newline bitfld.long 0xEC 24. "VIN1A_HSYNC0_WAKEUPENABLE," "VIN1A_HSYNC0_WAKEUPENABLE_0,VIN1A_HSYNC0_WAKEUPENABLE_1" newline rbitfld.long 0xEC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xEC 19. "VIN1A_HSYNC0_SLEWCONTROL," "VIN1A_HSYNC0_SLEWCONTROL_0,VIN1A_HSYNC0_SLEWCONTROL_1" newline bitfld.long 0xEC 18. "VIN1A_HSYNC0_INPUTENABLE," "VIN1A_HSYNC0_INPUTENABLE_0,VIN1A_HSYNC0_INPUTENABLE_1" newline bitfld.long 0xEC 17. "VIN1A_HSYNC0_PULLTYPESELECT," "VIN1A_HSYNC0_PULLTYPESELECT_0,VIN1A_HSYNC0_PULLTYPESELECT_1" newline bitfld.long 0xEC 16. "VIN1A_HSYNC0_PULLUDENABLE," "VIN1A_HSYNC0_PULLUDENABLE_0,VIN1A_HSYNC0_PULLUDENABLE_1" newline hexmask.long.byte 0xEC 9.--15. 1. "RESERVED," newline bitfld.long 0xEC 8. "VIN1A_HSYNC0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_HSYNC0_MODESELECT_0,VIN1A_HSYNC0_MODESELECT_1" newline bitfld.long 0xEC 4.--7. "VIN1A_HSYNC0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xEC 0.--3. "VIN1A_HSYNC0_MUXMODE," "VIN1A_HSYNC0_MUXMODE_0,VIN1A_HSYNC0_MUXMODE_1,?,?,VIN1A_HSYNC0_MUXMODE_4,VIN1A_HSYNC0_MUXMODE_5,?,VIN1A_HSYNC0_MUXMODE_7,VIN1A_HSYNC0_MUXMODE_8,?,VIN1A_HSYNC0_MUXMODE_10,?,?,?,VIN1A_HSYNC0_MUXMODE_14,VIN1A_HSYNC0_MUXMODE_15" line.long 0xF0 "CTRL_CORE_PAD_VIN1A_VSYNC0," rbitfld.long 0xF0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xF0 25. "VIN1A_VSYNC0_WAKEUPEVENT," "VIN1A_VSYNC0_WAKEUPEVENT_0,VIN1A_VSYNC0_WAKEUPEVENT_1" newline bitfld.long 0xF0 24. "VIN1A_VSYNC0_WAKEUPENABLE," "VIN1A_VSYNC0_WAKEUPENABLE_0,VIN1A_VSYNC0_WAKEUPENABLE_1" newline rbitfld.long 0xF0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF0 19. "VIN1A_VSYNC0_SLEWCONTROL," "VIN1A_VSYNC0_SLEWCONTROL_0,VIN1A_VSYNC0_SLEWCONTROL_1" newline bitfld.long 0xF0 18. "VIN1A_VSYNC0_INPUTENABLE," "VIN1A_VSYNC0_INPUTENABLE_0,VIN1A_VSYNC0_INPUTENABLE_1" newline bitfld.long 0xF0 17. "VIN1A_VSYNC0_PULLTYPESELECT," "VIN1A_VSYNC0_PULLTYPESELECT_0,VIN1A_VSYNC0_PULLTYPESELECT_1" newline bitfld.long 0xF0 16. "VIN1A_VSYNC0_PULLUDENABLE," "VIN1A_VSYNC0_PULLUDENABLE_0,VIN1A_VSYNC0_PULLUDENABLE_1" newline hexmask.long.byte 0xF0 9.--15. 1. "RESERVED," newline bitfld.long 0xF0 8. "VIN1A_VSYNC0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_VSYNC0_MODESELECT_0,VIN1A_VSYNC0_MODESELECT_1" newline bitfld.long 0xF0 4.--7. "VIN1A_VSYNC0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF0 0.--3. "VIN1A_VSYNC0_MUXMODE," "VIN1A_VSYNC0_MUXMODE_0,VIN1A_VSYNC0_MUXMODE_1,?,?,VIN1A_VSYNC0_MUXMODE_4,VIN1A_VSYNC0_MUXMODE_5,?,VIN1A_VSYNC0_MUXMODE_7,VIN1A_VSYNC0_MUXMODE_8,?,VIN1A_VSYNC0_MUXMODE_10,?,?,?,VIN1A_VSYNC0_MUXMODE_14,VIN1A_VSYNC0_MUXMODE_15" line.long 0xF4 "CTRL_CORE_PAD_VIN1A_D0," rbitfld.long 0xF4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xF4 25. "VIN1A_D0_WAKEUPEVENT," "VIN1A_D0_WAKEUPEVENT_0,VIN1A_D0_WAKEUPEVENT_1" newline bitfld.long 0xF4 24. "VIN1A_D0_WAKEUPENABLE," "VIN1A_D0_WAKEUPENABLE_0,VIN1A_D0_WAKEUPENABLE_1" newline rbitfld.long 0xF4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF4 19. "VIN1A_D0_SLEWCONTROL," "VIN1A_D0_SLEWCONTROL_0,VIN1A_D0_SLEWCONTROL_1" newline bitfld.long 0xF4 18. "VIN1A_D0_INPUTENABLE," "VIN1A_D0_INPUTENABLE_0,VIN1A_D0_INPUTENABLE_1" newline bitfld.long 0xF4 17. "VIN1A_D0_PULLTYPESELECT," "VIN1A_D0_PULLTYPESELECT_0,VIN1A_D0_PULLTYPESELECT_1" newline bitfld.long 0xF4 16. "VIN1A_D0_PULLUDENABLE," "VIN1A_D0_PULLUDENABLE_0,VIN1A_D0_PULLUDENABLE_1" newline hexmask.long.byte 0xF4 9.--15. 1. "RESERVED," newline bitfld.long 0xF4 8. "VIN1A_D0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D0_MODESELECT_0,VIN1A_D0_MODESELECT_1" newline bitfld.long 0xF4 4.--7. "VIN1A_D0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF4 0.--3. "VIN1A_D0_MUXMODE," "VIN1A_D0_MUXMODE_0,?,?,VIN1A_D0_MUXMODE_3,VIN1A_D0_MUXMODE_4,VIN1A_D0_MUXMODE_5,?,?,?,?,VIN1A_D0_MUXMODE_10,?,?,?,VIN1A_D0_MUXMODE_14,VIN1A_D0_MUXMODE_15" line.long 0xF8 "CTRL_CORE_PAD_VIN1A_D1," rbitfld.long 0xF8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xF8 25. "VIN1A_D1_WAKEUPEVENT," "VIN1A_D1_WAKEUPEVENT_0,VIN1A_D1_WAKEUPEVENT_1" newline bitfld.long 0xF8 24. "VIN1A_D1_WAKEUPENABLE," "VIN1A_D1_WAKEUPENABLE_0,VIN1A_D1_WAKEUPENABLE_1" newline rbitfld.long 0xF8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF8 19. "VIN1A_D1_SLEWCONTROL," "VIN1A_D1_SLEWCONTROL_0,VIN1A_D1_SLEWCONTROL_1" newline bitfld.long 0xF8 18. "VIN1A_D1_INPUTENABLE," "VIN1A_D1_INPUTENABLE_0,VIN1A_D1_INPUTENABLE_1" newline bitfld.long 0xF8 17. "VIN1A_D1_PULLTYPESELECT," "VIN1A_D1_PULLTYPESELECT_0,VIN1A_D1_PULLTYPESELECT_1" newline bitfld.long 0xF8 16. "VIN1A_D1_PULLUDENABLE," "VIN1A_D1_PULLUDENABLE_0,VIN1A_D1_PULLUDENABLE_1" newline hexmask.long.byte 0xF8 9.--15. 1. "RESERVED," newline bitfld.long 0xF8 8. "VIN1A_D1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D1_MODESELECT_0,VIN1A_D1_MODESELECT_1" newline bitfld.long 0xF8 4.--7. "VIN1A_D1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xF8 0.--3. "VIN1A_D1_MUXMODE," "VIN1A_D1_MUXMODE_0,?,?,VIN1A_D1_MUXMODE_3,VIN1A_D1_MUXMODE_4,VIN1A_D1_MUXMODE_5,?,?,?,?,VIN1A_D1_MUXMODE_10,?,?,?,VIN1A_D1_MUXMODE_14,VIN1A_D1_MUXMODE_15" line.long 0xFC "CTRL_CORE_PAD_VIN1A_D2," rbitfld.long 0xFC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0xFC 25. "VIN1A_D2_WAKEUPEVENT," "VIN1A_D2_WAKEUPEVENT_0,VIN1A_D2_WAKEUPEVENT_1" newline bitfld.long 0xFC 24. "VIN1A_D2_WAKEUPENABLE," "VIN1A_D2_WAKEUPENABLE_0,VIN1A_D2_WAKEUPENABLE_1" newline rbitfld.long 0xFC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xFC 19. "VIN1A_D2_SLEWCONTROL," "VIN1A_D2_SLEWCONTROL_0,VIN1A_D2_SLEWCONTROL_1" newline bitfld.long 0xFC 18. "VIN1A_D2_INPUTENABLE," "VIN1A_D2_INPUTENABLE_0,VIN1A_D2_INPUTENABLE_1" newline bitfld.long 0xFC 17. "VIN1A_D2_PULLTYPESELECT," "VIN1A_D2_PULLTYPESELECT_0,VIN1A_D2_PULLTYPESELECT_1" newline bitfld.long 0xFC 16. "VIN1A_D2_PULLUDENABLE," "VIN1A_D2_PULLUDENABLE_0,VIN1A_D2_PULLUDENABLE_1" newline hexmask.long.byte 0xFC 9.--15. 1. "RESERVED," newline bitfld.long 0xFC 8. "VIN1A_D2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D2_MODESELECT_0,VIN1A_D2_MODESELECT_1" newline bitfld.long 0xFC 4.--7. "VIN1A_D2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0xFC 0.--3. "VIN1A_D2_MUXMODE," "VIN1A_D2_MUXMODE_0,?,?,VIN1A_D2_MUXMODE_3,VIN1A_D2_MUXMODE_4,VIN1A_D2_MUXMODE_5,?,?,?,?,VIN1A_D2_MUXMODE_10,?,?,?,VIN1A_D2_MUXMODE_14,VIN1A_D2_MUXMODE_15" line.long 0x100 "CTRL_CORE_PAD_VIN1A_D3," rbitfld.long 0x100 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x100 25. "VIN1A_D3_WAKEUPEVENT," "VIN1A_D3_WAKEUPEVENT_0,VIN1A_D3_WAKEUPEVENT_1" newline bitfld.long 0x100 24. "VIN1A_D3_WAKEUPENABLE," "VIN1A_D3_WAKEUPENABLE_0,VIN1A_D3_WAKEUPENABLE_1" newline rbitfld.long 0x100 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x100 19. "VIN1A_D3_SLEWCONTROL," "VIN1A_D3_SLEWCONTROL_0,VIN1A_D3_SLEWCONTROL_1" newline bitfld.long 0x100 18. "VIN1A_D3_INPUTENABLE," "VIN1A_D3_INPUTENABLE_0,VIN1A_D3_INPUTENABLE_1" newline bitfld.long 0x100 17. "VIN1A_D3_PULLTYPESELECT," "VIN1A_D3_PULLTYPESELECT_0,VIN1A_D3_PULLTYPESELECT_1" newline bitfld.long 0x100 16. "VIN1A_D3_PULLUDENABLE," "VIN1A_D3_PULLUDENABLE_0,VIN1A_D3_PULLUDENABLE_1" newline hexmask.long.byte 0x100 9.--15. 1. "RESERVED," newline bitfld.long 0x100 8. "VIN1A_D3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D3_MODESELECT_0,VIN1A_D3_MODESELECT_1" newline bitfld.long 0x100 4.--7. "VIN1A_D3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x100 0.--3. "VIN1A_D3_MUXMODE," "VIN1A_D3_MUXMODE_0,?,?,VIN1A_D3_MUXMODE_3,VIN1A_D3_MUXMODE_4,VIN1A_D3_MUXMODE_5,?,?,?,?,VIN1A_D3_MUXMODE_10,?,VIN1A_D3_MUXMODE_12,VIN1A_D3_MUXMODE_13,VIN1A_D3_MUXMODE_14,VIN1A_D3_MUXMODE_15" line.long 0x104 "CTRL_CORE_PAD_VIN1A_D4," rbitfld.long 0x104 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x104 25. "VIN1A_D4_WAKEUPEVENT," "VIN1A_D4_WAKEUPEVENT_0,VIN1A_D4_WAKEUPEVENT_1" newline bitfld.long 0x104 24. "VIN1A_D4_WAKEUPENABLE," "VIN1A_D4_WAKEUPENABLE_0,VIN1A_D4_WAKEUPENABLE_1" newline rbitfld.long 0x104 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x104 19. "VIN1A_D4_SLEWCONTROL," "VIN1A_D4_SLEWCONTROL_0,VIN1A_D4_SLEWCONTROL_1" newline bitfld.long 0x104 18. "VIN1A_D4_INPUTENABLE," "VIN1A_D4_INPUTENABLE_0,VIN1A_D4_INPUTENABLE_1" newline bitfld.long 0x104 17. "VIN1A_D4_PULLTYPESELECT," "VIN1A_D4_PULLTYPESELECT_0,VIN1A_D4_PULLTYPESELECT_1" newline bitfld.long 0x104 16. "VIN1A_D4_PULLUDENABLE," "VIN1A_D4_PULLUDENABLE_0,VIN1A_D4_PULLUDENABLE_1" newline hexmask.long.byte 0x104 9.--15. 1. "RESERVED," newline bitfld.long 0x104 8. "VIN1A_D4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D4_MODESELECT_0,VIN1A_D4_MODESELECT_1" newline bitfld.long 0x104 4.--7. "VIN1A_D4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x104 0.--3. "VIN1A_D4_MUXMODE," "VIN1A_D4_MUXMODE_0,?,?,VIN1A_D4_MUXMODE_3,VIN1A_D4_MUXMODE_4,?,?,?,?,?,VIN1A_D4_MUXMODE_10,?,VIN1A_D4_MUXMODE_12,VIN1A_D4_MUXMODE_13,VIN1A_D4_MUXMODE_14,VIN1A_D4_MUXMODE_15" line.long 0x108 "CTRL_CORE_PAD_VIN1A_D5," rbitfld.long 0x108 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x108 25. "VIN1A_D5_WAKEUPEVENT," "VIN1A_D5_WAKEUPEVENT_0,VIN1A_D5_WAKEUPEVENT_1" newline bitfld.long 0x108 24. "VIN1A_D5_WAKEUPENABLE," "VIN1A_D5_WAKEUPENABLE_0,VIN1A_D5_WAKEUPENABLE_1" newline rbitfld.long 0x108 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x108 19. "VIN1A_D5_SLEWCONTROL," "VIN1A_D5_SLEWCONTROL_0,VIN1A_D5_SLEWCONTROL_1" newline bitfld.long 0x108 18. "VIN1A_D5_INPUTENABLE," "VIN1A_D5_INPUTENABLE_0,VIN1A_D5_INPUTENABLE_1" newline bitfld.long 0x108 17. "VIN1A_D5_PULLTYPESELECT," "VIN1A_D5_PULLTYPESELECT_0,VIN1A_D5_PULLTYPESELECT_1" newline bitfld.long 0x108 16. "VIN1A_D5_PULLUDENABLE," "VIN1A_D5_PULLUDENABLE_0,VIN1A_D5_PULLUDENABLE_1" newline hexmask.long.byte 0x108 9.--15. 1. "RESERVED," newline bitfld.long 0x108 8. "VIN1A_D5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D5_MODESELECT_0,VIN1A_D5_MODESELECT_1" newline bitfld.long 0x108 4.--7. "VIN1A_D5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x108 0.--3. "VIN1A_D5_MUXMODE," "VIN1A_D5_MUXMODE_0,?,?,VIN1A_D5_MUXMODE_3,VIN1A_D5_MUXMODE_4,?,?,?,?,?,VIN1A_D5_MUXMODE_10,?,VIN1A_D5_MUXMODE_12,VIN1A_D5_MUXMODE_13,VIN1A_D5_MUXMODE_14,VIN1A_D5_MUXMODE_15" line.long 0x10C "CTRL_CORE_PAD_VIN1A_D6," rbitfld.long 0x10C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x10C 25. "VIN1A_D6_WAKEUPEVENT," "VIN1A_D6_WAKEUPEVENT_0,VIN1A_D6_WAKEUPEVENT_1" newline bitfld.long 0x10C 24. "VIN1A_D6_WAKEUPENABLE," "VIN1A_D6_WAKEUPENABLE_0,VIN1A_D6_WAKEUPENABLE_1" newline rbitfld.long 0x10C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10C 19. "VIN1A_D6_SLEWCONTROL," "VIN1A_D6_SLEWCONTROL_0,VIN1A_D6_SLEWCONTROL_1" newline bitfld.long 0x10C 18. "VIN1A_D6_INPUTENABLE," "VIN1A_D6_INPUTENABLE_0,VIN1A_D6_INPUTENABLE_1" newline bitfld.long 0x10C 17. "VIN1A_D6_PULLTYPESELECT," "VIN1A_D6_PULLTYPESELECT_0,VIN1A_D6_PULLTYPESELECT_1" newline bitfld.long 0x10C 16. "VIN1A_D6_PULLUDENABLE," "VIN1A_D6_PULLUDENABLE_0,VIN1A_D6_PULLUDENABLE_1" newline hexmask.long.byte 0x10C 9.--15. 1. "RESERVED," newline bitfld.long 0x10C 8. "VIN1A_D6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D6_MODESELECT_0,VIN1A_D6_MODESELECT_1" newline bitfld.long 0x10C 4.--7. "VIN1A_D6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10C 0.--3. "VIN1A_D6_MUXMODE," "VIN1A_D6_MUXMODE_0,?,?,VIN1A_D6_MUXMODE_3,VIN1A_D6_MUXMODE_4,?,?,?,?,?,VIN1A_D6_MUXMODE_10,?,VIN1A_D6_MUXMODE_12,VIN1A_D6_MUXMODE_13,VIN1A_D6_MUXMODE_14,VIN1A_D6_MUXMODE_15" line.long 0x110 "CTRL_CORE_PAD_VIN1A_D7," rbitfld.long 0x110 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x110 25. "VIN1A_D7_WAKEUPEVENT," "VIN1A_D7_WAKEUPEVENT_0,VIN1A_D7_WAKEUPEVENT_1" newline bitfld.long 0x110 24. "VIN1A_D7_WAKEUPENABLE," "VIN1A_D7_WAKEUPENABLE_0,VIN1A_D7_WAKEUPENABLE_1" newline rbitfld.long 0x110 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x110 19. "VIN1A_D7_SLEWCONTROL," "VIN1A_D7_SLEWCONTROL_0,VIN1A_D7_SLEWCONTROL_1" newline bitfld.long 0x110 18. "VIN1A_D7_INPUTENABLE," "VIN1A_D7_INPUTENABLE_0,VIN1A_D7_INPUTENABLE_1" newline bitfld.long 0x110 17. "VIN1A_D7_PULLTYPESELECT," "VIN1A_D7_PULLTYPESELECT_0,VIN1A_D7_PULLTYPESELECT_1" newline bitfld.long 0x110 16. "VIN1A_D7_PULLUDENABLE," "VIN1A_D7_PULLUDENABLE_0,VIN1A_D7_PULLUDENABLE_1" newline hexmask.long.byte 0x110 9.--15. 1. "RESERVED," newline bitfld.long 0x110 8. "VIN1A_D7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D7_MODESELECT_0,VIN1A_D7_MODESELECT_1" newline bitfld.long 0x110 4.--7. "VIN1A_D7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x110 0.--3. "VIN1A_D7_MUXMODE," "VIN1A_D7_MUXMODE_0,?,?,VIN1A_D7_MUXMODE_3,VIN1A_D7_MUXMODE_4,?,?,?,?,?,VIN1A_D7_MUXMODE_10,?,VIN1A_D7_MUXMODE_12,VIN1A_D7_MUXMODE_13,VIN1A_D7_MUXMODE_14,VIN1A_D7_MUXMODE_15" line.long 0x114 "CTRL_CORE_PAD_VIN1A_D8," rbitfld.long 0x114 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x114 25. "VIN1A_D8_WAKEUPEVENT," "VIN1A_D8_WAKEUPEVENT_0,VIN1A_D8_WAKEUPEVENT_1" newline bitfld.long 0x114 24. "VIN1A_D8_WAKEUPENABLE," "VIN1A_D8_WAKEUPENABLE_0,VIN1A_D8_WAKEUPENABLE_1" newline rbitfld.long 0x114 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x114 19. "VIN1A_D8_SLEWCONTROL," "VIN1A_D8_SLEWCONTROL_0,VIN1A_D8_SLEWCONTROL_1" newline bitfld.long 0x114 18. "VIN1A_D8_INPUTENABLE," "VIN1A_D8_INPUTENABLE_0,VIN1A_D8_INPUTENABLE_1" newline bitfld.long 0x114 17. "VIN1A_D8_PULLTYPESELECT," "VIN1A_D8_PULLTYPESELECT_0,VIN1A_D8_PULLTYPESELECT_1" newline bitfld.long 0x114 16. "VIN1A_D8_PULLUDENABLE," "VIN1A_D8_PULLUDENABLE_0,VIN1A_D8_PULLUDENABLE_1" newline hexmask.long.byte 0x114 9.--15. 1. "RESERVED," newline bitfld.long 0x114 8. "VIN1A_D8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D8_MODESELECT_0,VIN1A_D8_MODESELECT_1" newline bitfld.long 0x114 4.--7. "VIN1A_D8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x114 0.--3. "VIN1A_D8_MUXMODE," "VIN1A_D8_MUXMODE_0,VIN1A_D8_MUXMODE_1,?,?,VIN1A_D8_MUXMODE_4,?,?,?,?,VIN1A_D8_MUXMODE_9,VIN1A_D8_MUXMODE_10,?,VIN1A_D8_MUXMODE_12,VIN1A_D8_MUXMODE_13,VIN1A_D8_MUXMODE_14,VIN1A_D8_MUXMODE_15" line.long 0x118 "CTRL_CORE_PAD_VIN1A_D9," rbitfld.long 0x118 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x118 25. "VIN1A_D9_WAKEUPEVENT," "VIN1A_D9_WAKEUPEVENT_0,VIN1A_D9_WAKEUPEVENT_1" newline bitfld.long 0x118 24. "VIN1A_D9_WAKEUPENABLE," "VIN1A_D9_WAKEUPENABLE_0,VIN1A_D9_WAKEUPENABLE_1" newline rbitfld.long 0x118 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x118 19. "VIN1A_D9_SLEWCONTROL," "VIN1A_D9_SLEWCONTROL_0,VIN1A_D9_SLEWCONTROL_1" newline bitfld.long 0x118 18. "VIN1A_D9_INPUTENABLE," "VIN1A_D9_INPUTENABLE_0,VIN1A_D9_INPUTENABLE_1" newline bitfld.long 0x118 17. "VIN1A_D9_PULLTYPESELECT," "VIN1A_D9_PULLTYPESELECT_0,VIN1A_D9_PULLTYPESELECT_1" newline bitfld.long 0x118 16. "VIN1A_D9_PULLUDENABLE," "VIN1A_D9_PULLUDENABLE_0,VIN1A_D9_PULLUDENABLE_1" newline hexmask.long.byte 0x118 9.--15. 1. "RESERVED," newline bitfld.long 0x118 8. "VIN1A_D9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D9_MODESELECT_0,VIN1A_D9_MODESELECT_1" newline bitfld.long 0x118 4.--7. "VIN1A_D9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x118 0.--3. "VIN1A_D9_MUXMODE," "VIN1A_D9_MUXMODE_0,VIN1A_D9_MUXMODE_1,?,?,VIN1A_D9_MUXMODE_4,?,?,?,?,VIN1A_D9_MUXMODE_9,VIN1A_D9_MUXMODE_10,?,VIN1A_D9_MUXMODE_12,VIN1A_D9_MUXMODE_13,VIN1A_D9_MUXMODE_14,VIN1A_D9_MUXMODE_15" line.long 0x11C "CTRL_CORE_PAD_VIN1A_D10," rbitfld.long 0x11C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x11C 25. "VIN1A_D10_WAKEUPEVENT," "VIN1A_D10_WAKEUPEVENT_0,VIN1A_D10_WAKEUPEVENT_1" newline bitfld.long 0x11C 24. "VIN1A_D10_WAKEUPENABLE," "VIN1A_D10_WAKEUPENABLE_0,VIN1A_D10_WAKEUPENABLE_1" newline rbitfld.long 0x11C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x11C 19. "VIN1A_D10_SLEWCONTROL," "VIN1A_D10_SLEWCONTROL_0,VIN1A_D10_SLEWCONTROL_1" newline bitfld.long 0x11C 18. "VIN1A_D10_INPUTENABLE," "VIN1A_D10_INPUTENABLE_0,VIN1A_D10_INPUTENABLE_1" newline bitfld.long 0x11C 17. "VIN1A_D10_PULLTYPESELECT," "VIN1A_D10_PULLTYPESELECT_0,VIN1A_D10_PULLTYPESELECT_1" newline bitfld.long 0x11C 16. "VIN1A_D10_PULLUDENABLE," "VIN1A_D10_PULLUDENABLE_0,VIN1A_D10_PULLUDENABLE_1" newline hexmask.long.byte 0x11C 9.--15. 1. "RESERVED," newline bitfld.long 0x11C 8. "VIN1A_D10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D10_MODESELECT_0,VIN1A_D10_MODESELECT_1" newline bitfld.long 0x11C 4.--7. "VIN1A_D10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x11C 0.--3. "VIN1A_D10_MUXMODE," "VIN1A_D10_MUXMODE_0,VIN1A_D10_MUXMODE_1,?,?,VIN1A_D10_MUXMODE_4,?,?,?,?,VIN1A_D10_MUXMODE_9,VIN1A_D10_MUXMODE_10,?,VIN1A_D10_MUXMODE_12,VIN1A_D10_MUXMODE_13,VIN1A_D10_MUXMODE_14,VIN1A_D10_MUXMODE_15" line.long 0x120 "CTRL_CORE_PAD_VIN1A_D11," rbitfld.long 0x120 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x120 25. "VIN1A_D11_WAKEUPEVENT," "VIN1A_D11_WAKEUPEVENT_0,VIN1A_D11_WAKEUPEVENT_1" newline bitfld.long 0x120 24. "VIN1A_D11_WAKEUPENABLE," "VIN1A_D11_WAKEUPENABLE_0,VIN1A_D11_WAKEUPENABLE_1" newline rbitfld.long 0x120 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x120 19. "VIN1A_D11_SLEWCONTROL," "VIN1A_D11_SLEWCONTROL_0,VIN1A_D11_SLEWCONTROL_1" newline bitfld.long 0x120 18. "VIN1A_D11_INPUTENABLE," "VIN1A_D11_INPUTENABLE_0,VIN1A_D11_INPUTENABLE_1" newline bitfld.long 0x120 17. "VIN1A_D11_PULLTYPESELECT," "VIN1A_D11_PULLTYPESELECT_0,VIN1A_D11_PULLTYPESELECT_1" newline bitfld.long 0x120 16. "VIN1A_D11_PULLUDENABLE," "VIN1A_D11_PULLUDENABLE_0,VIN1A_D11_PULLUDENABLE_1" newline hexmask.long.byte 0x120 9.--15. 1. "RESERVED," newline bitfld.long 0x120 8. "VIN1A_D11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D11_MODESELECT_0,VIN1A_D11_MODESELECT_1" newline bitfld.long 0x120 4.--7. "VIN1A_D11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x120 0.--3. "VIN1A_D11_MUXMODE," "VIN1A_D11_MUXMODE_0,VIN1A_D11_MUXMODE_1,?,?,VIN1A_D11_MUXMODE_4,VIN1A_D11_MUXMODE_5,?,?,?,VIN1A_D11_MUXMODE_9,VIN1A_D11_MUXMODE_10,?,VIN1A_D11_MUXMODE_12,VIN1A_D11_MUXMODE_13,VIN1A_D11_MUXMODE_14,VIN1A_D11_MUXMODE_15" line.long 0x124 "CTRL_CORE_PAD_VIN1A_D12," rbitfld.long 0x124 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x124 25. "VIN1A_D12_WAKEUPEVENT," "VIN1A_D12_WAKEUPEVENT_0,VIN1A_D12_WAKEUPEVENT_1" newline bitfld.long 0x124 24. "VIN1A_D12_WAKEUPENABLE," "VIN1A_D12_WAKEUPENABLE_0,VIN1A_D12_WAKEUPENABLE_1" newline rbitfld.long 0x124 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x124 19. "VIN1A_D12_SLEWCONTROL," "VIN1A_D12_SLEWCONTROL_0,VIN1A_D12_SLEWCONTROL_1" newline bitfld.long 0x124 18. "VIN1A_D12_INPUTENABLE," "VIN1A_D12_INPUTENABLE_0,VIN1A_D12_INPUTENABLE_1" newline bitfld.long 0x124 17. "VIN1A_D12_PULLTYPESELECT," "VIN1A_D12_PULLTYPESELECT_0,VIN1A_D12_PULLTYPESELECT_1" newline bitfld.long 0x124 16. "VIN1A_D12_PULLUDENABLE," "VIN1A_D12_PULLUDENABLE_0,VIN1A_D12_PULLUDENABLE_1" newline hexmask.long.byte 0x124 9.--15. 1. "RESERVED," newline bitfld.long 0x124 8. "VIN1A_D12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D12_MODESELECT_0,VIN1A_D12_MODESELECT_1" newline bitfld.long 0x124 4.--7. "VIN1A_D12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x124 0.--3. "VIN1A_D12_MUXMODE," "VIN1A_D12_MUXMODE_0,VIN1A_D12_MUXMODE_1,?,?,VIN1A_D12_MUXMODE_4,VIN1A_D12_MUXMODE_5,?,?,?,VIN1A_D12_MUXMODE_9,VIN1A_D12_MUXMODE_10,?,VIN1A_D12_MUXMODE_12,VIN1A_D12_MUXMODE_13,VIN1A_D12_MUXMODE_14,VIN1A_D12_MUXMODE_15" line.long 0x128 "CTRL_CORE_PAD_VIN1A_D13," rbitfld.long 0x128 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x128 25. "VIN1A_D13_WAKEUPEVENT," "VIN1A_D13_WAKEUPEVENT_0,VIN1A_D13_WAKEUPEVENT_1" newline bitfld.long 0x128 24. "VIN1A_D13_WAKEUPENABLE," "VIN1A_D13_WAKEUPENABLE_0,VIN1A_D13_WAKEUPENABLE_1" newline rbitfld.long 0x128 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x128 19. "VIN1A_D13_SLEWCONTROL," "VIN1A_D13_SLEWCONTROL_0,VIN1A_D13_SLEWCONTROL_1" newline bitfld.long 0x128 18. "VIN1A_D13_INPUTENABLE," "VIN1A_D13_INPUTENABLE_0,VIN1A_D13_INPUTENABLE_1" newline bitfld.long 0x128 17. "VIN1A_D13_PULLTYPESELECT," "VIN1A_D13_PULLTYPESELECT_0,VIN1A_D13_PULLTYPESELECT_1" newline bitfld.long 0x128 16. "VIN1A_D13_PULLUDENABLE," "VIN1A_D13_PULLUDENABLE_0,VIN1A_D13_PULLUDENABLE_1" newline hexmask.long.byte 0x128 9.--15. 1. "RESERVED," newline bitfld.long 0x128 8. "VIN1A_D13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D13_MODESELECT_0,VIN1A_D13_MODESELECT_1" newline bitfld.long 0x128 4.--7. "VIN1A_D13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x128 0.--3. "VIN1A_D13_MUXMODE," "VIN1A_D13_MUXMODE_0,VIN1A_D13_MUXMODE_1,?,?,VIN1A_D13_MUXMODE_4,VIN1A_D13_MUXMODE_5,?,?,?,VIN1A_D13_MUXMODE_9,VIN1A_D13_MUXMODE_10,?,VIN1A_D13_MUXMODE_12,VIN1A_D13_MUXMODE_13,VIN1A_D13_MUXMODE_14,VIN1A_D13_MUXMODE_15" line.long 0x12C "CTRL_CORE_PAD_VIN1A_D14," rbitfld.long 0x12C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x12C 25. "VIN1A_D14_WAKEUPEVENT," "VIN1A_D14_WAKEUPEVENT_0,VIN1A_D14_WAKEUPEVENT_1" newline bitfld.long 0x12C 24. "VIN1A_D14_WAKEUPENABLE," "VIN1A_D14_WAKEUPENABLE_0,VIN1A_D14_WAKEUPENABLE_1" newline rbitfld.long 0x12C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x12C 19. "VIN1A_D14_SLEWCONTROL," "VIN1A_D14_SLEWCONTROL_0,VIN1A_D14_SLEWCONTROL_1" newline bitfld.long 0x12C 18. "VIN1A_D14_INPUTENABLE," "VIN1A_D14_INPUTENABLE_0,VIN1A_D14_INPUTENABLE_1" newline bitfld.long 0x12C 17. "VIN1A_D14_PULLTYPESELECT," "VIN1A_D14_PULLTYPESELECT_0,VIN1A_D14_PULLTYPESELECT_1" newline bitfld.long 0x12C 16. "VIN1A_D14_PULLUDENABLE," "VIN1A_D14_PULLUDENABLE_0,VIN1A_D14_PULLUDENABLE_1" newline hexmask.long.byte 0x12C 9.--15. 1. "RESERVED," newline bitfld.long 0x12C 8. "VIN1A_D14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D14_MODESELECT_0,VIN1A_D14_MODESELECT_1" newline bitfld.long 0x12C 4.--7. "VIN1A_D14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x12C 0.--3. "VIN1A_D14_MUXMODE," "VIN1A_D14_MUXMODE_0,VIN1A_D14_MUXMODE_1,?,?,VIN1A_D14_MUXMODE_4,VIN1A_D14_MUXMODE_5,?,?,?,VIN1A_D14_MUXMODE_9,VIN1A_D14_MUXMODE_10,?,VIN1A_D14_MUXMODE_12,VIN1A_D14_MUXMODE_13,VIN1A_D14_MUXMODE_14,VIN1A_D14_MUXMODE_15" line.long 0x130 "CTRL_CORE_PAD_VIN1A_D15," rbitfld.long 0x130 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x130 25. "VIN1A_D15_WAKEUPEVENT," "VIN1A_D15_WAKEUPEVENT_0,VIN1A_D15_WAKEUPEVENT_1" newline bitfld.long 0x130 24. "VIN1A_D15_WAKEUPENABLE," "VIN1A_D15_WAKEUPENABLE_0,VIN1A_D15_WAKEUPENABLE_1" newline rbitfld.long 0x130 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x130 19. "VIN1A_D15_SLEWCONTROL," "VIN1A_D15_SLEWCONTROL_0,VIN1A_D15_SLEWCONTROL_1" newline bitfld.long 0x130 18. "VIN1A_D15_INPUTENABLE," "VIN1A_D15_INPUTENABLE_0,VIN1A_D15_INPUTENABLE_1" newline bitfld.long 0x130 17. "VIN1A_D15_PULLTYPESELECT," "VIN1A_D15_PULLTYPESELECT_0,VIN1A_D15_PULLTYPESELECT_1" newline bitfld.long 0x130 16. "VIN1A_D15_PULLUDENABLE," "VIN1A_D15_PULLUDENABLE_0,VIN1A_D15_PULLUDENABLE_1" newline hexmask.long.byte 0x130 9.--15. 1. "RESERVED," newline bitfld.long 0x130 8. "VIN1A_D15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D15_MODESELECT_0,VIN1A_D15_MODESELECT_1" newline bitfld.long 0x130 4.--7. "VIN1A_D15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x130 0.--3. "VIN1A_D15_MUXMODE," "VIN1A_D15_MUXMODE_0,VIN1A_D15_MUXMODE_1,?,?,VIN1A_D15_MUXMODE_4,VIN1A_D15_MUXMODE_5,?,?,?,VIN1A_D15_MUXMODE_9,VIN1A_D15_MUXMODE_10,?,VIN1A_D15_MUXMODE_12,VIN1A_D15_MUXMODE_13,VIN1A_D15_MUXMODE_14,VIN1A_D15_MUXMODE_15" line.long 0x134 "CTRL_CORE_PAD_VIN1A_D16," rbitfld.long 0x134 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x134 25. "VIN1A_D16_WAKEUPEVENT," "VIN1A_D16_WAKEUPEVENT_0,VIN1A_D16_WAKEUPEVENT_1" newline bitfld.long 0x134 24. "VIN1A_D16_WAKEUPENABLE," "VIN1A_D16_WAKEUPENABLE_0,VIN1A_D16_WAKEUPENABLE_1" newline rbitfld.long 0x134 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x134 19. "VIN1A_D16_SLEWCONTROL," "VIN1A_D16_SLEWCONTROL_0,VIN1A_D16_SLEWCONTROL_1" newline bitfld.long 0x134 18. "VIN1A_D16_INPUTENABLE," "VIN1A_D16_INPUTENABLE_0,VIN1A_D16_INPUTENABLE_1" newline bitfld.long 0x134 17. "VIN1A_D16_PULLTYPESELECT," "VIN1A_D16_PULLTYPESELECT_0,VIN1A_D16_PULLTYPESELECT_1" newline bitfld.long 0x134 16. "VIN1A_D16_PULLUDENABLE," "VIN1A_D16_PULLUDENABLE_0,VIN1A_D16_PULLUDENABLE_1" newline hexmask.long.byte 0x134 9.--15. 1. "RESERVED," newline bitfld.long 0x134 8. "VIN1A_D16_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D16_MODESELECT_0,VIN1A_D16_MODESELECT_1" newline bitfld.long 0x134 4.--7. "VIN1A_D16_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x134 0.--3. "VIN1A_D16_MUXMODE," "VIN1A_D16_MUXMODE_0,VIN1A_D16_MUXMODE_1,?,?,VIN1A_D16_MUXMODE_4,?,VIN1A_D16_MUXMODE_6,?,?,VIN1A_D16_MUXMODE_9,VIN1A_D16_MUXMODE_10,VIN1A_D16_MUXMODE_11,VIN1A_D16_MUXMODE_12,VIN1A_D16_MUXMODE_13,VIN1A_D16_MUXMODE_14,VIN1A_D16_MUXMODE_15" line.long 0x138 "CTRL_CORE_PAD_VIN1A_D17," rbitfld.long 0x138 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x138 25. "VIN1A_D17_WAKEUPEVENT," "VIN1A_D17_WAKEUPEVENT_0,VIN1A_D17_WAKEUPEVENT_1" newline bitfld.long 0x138 24. "VIN1A_D17_WAKEUPENABLE," "VIN1A_D17_WAKEUPENABLE_0,VIN1A_D17_WAKEUPENABLE_1" newline rbitfld.long 0x138 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x138 19. "VIN1A_D17_SLEWCONTROL," "VIN1A_D17_SLEWCONTROL_0,VIN1A_D17_SLEWCONTROL_1" newline bitfld.long 0x138 18. "VIN1A_D17_INPUTENABLE," "VIN1A_D17_INPUTENABLE_0,VIN1A_D17_INPUTENABLE_1" newline bitfld.long 0x138 17. "VIN1A_D17_PULLTYPESELECT," "VIN1A_D17_PULLTYPESELECT_0,VIN1A_D17_PULLTYPESELECT_1" newline bitfld.long 0x138 16. "VIN1A_D17_PULLUDENABLE," "VIN1A_D17_PULLUDENABLE_0,VIN1A_D17_PULLUDENABLE_1" newline hexmask.long.byte 0x138 9.--15. 1. "RESERVED," newline bitfld.long 0x138 8. "VIN1A_D17_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D17_MODESELECT_0,VIN1A_D17_MODESELECT_1" newline bitfld.long 0x138 4.--7. "VIN1A_D17_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x138 0.--3. "VIN1A_D17_MUXMODE," "VIN1A_D17_MUXMODE_0,VIN1A_D17_MUXMODE_1,?,?,VIN1A_D17_MUXMODE_4,?,VIN1A_D17_MUXMODE_6,?,?,VIN1A_D17_MUXMODE_9,VIN1A_D17_MUXMODE_10,VIN1A_D17_MUXMODE_11,VIN1A_D17_MUXMODE_12,VIN1A_D17_MUXMODE_13,VIN1A_D17_MUXMODE_14,VIN1A_D17_MUXMODE_15" line.long 0x13C "CTRL_CORE_PAD_VIN1A_D18," rbitfld.long 0x13C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x13C 25. "VIN1A_D18_WAKEUPEVENT," "VIN1A_D18_WAKEUPEVENT_0,VIN1A_D18_WAKEUPEVENT_1" newline bitfld.long 0x13C 24. "VIN1A_D18_WAKEUPENABLE," "VIN1A_D18_WAKEUPENABLE_0,VIN1A_D18_WAKEUPENABLE_1" newline rbitfld.long 0x13C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x13C 19. "VIN1A_D18_SLEWCONTROL," "VIN1A_D18_SLEWCONTROL_0,VIN1A_D18_SLEWCONTROL_1" newline bitfld.long 0x13C 18. "VIN1A_D18_INPUTENABLE," "VIN1A_D18_INPUTENABLE_0,VIN1A_D18_INPUTENABLE_1" newline bitfld.long 0x13C 17. "VIN1A_D18_PULLTYPESELECT," "VIN1A_D18_PULLTYPESELECT_0,VIN1A_D18_PULLTYPESELECT_1" newline bitfld.long 0x13C 16. "VIN1A_D18_PULLUDENABLE," "VIN1A_D18_PULLUDENABLE_0,VIN1A_D18_PULLUDENABLE_1" newline hexmask.long.byte 0x13C 9.--15. 1. "RESERVED," newline bitfld.long 0x13C 8. "VIN1A_D18_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D18_MODESELECT_0,VIN1A_D18_MODESELECT_1" newline bitfld.long 0x13C 4.--7. "VIN1A_D18_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x13C 0.--3. "VIN1A_D18_MUXMODE," "VIN1A_D18_MUXMODE_0,VIN1A_D18_MUXMODE_1,?,?,VIN1A_D18_MUXMODE_4,?,VIN1A_D18_MUXMODE_6,?,?,VIN1A_D18_MUXMODE_9,VIN1A_D18_MUXMODE_10,VIN1A_D18_MUXMODE_11,VIN1A_D18_MUXMODE_12,VIN1A_D18_MUXMODE_13,VIN1A_D18_MUXMODE_14,VIN1A_D18_MUXMODE_15" line.long 0x140 "CTRL_CORE_PAD_VIN1A_D19," rbitfld.long 0x140 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x140 25. "VIN1A_D19_WAKEUPEVENT," "VIN1A_D19_WAKEUPEVENT_0,VIN1A_D19_WAKEUPEVENT_1" newline bitfld.long 0x140 24. "VIN1A_D19_WAKEUPENABLE," "VIN1A_D19_WAKEUPENABLE_0,VIN1A_D19_WAKEUPENABLE_1" newline rbitfld.long 0x140 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x140 19. "VIN1A_D19_SLEWCONTROL," "VIN1A_D19_SLEWCONTROL_0,VIN1A_D19_SLEWCONTROL_1" newline bitfld.long 0x140 18. "VIN1A_D19_INPUTENABLE," "VIN1A_D19_INPUTENABLE_0,VIN1A_D19_INPUTENABLE_1" newline bitfld.long 0x140 17. "VIN1A_D19_PULLTYPESELECT," "VIN1A_D19_PULLTYPESELECT_0,VIN1A_D19_PULLTYPESELECT_1" newline bitfld.long 0x140 16. "VIN1A_D19_PULLUDENABLE," "VIN1A_D19_PULLUDENABLE_0,VIN1A_D19_PULLUDENABLE_1" newline hexmask.long.byte 0x140 9.--15. 1. "RESERVED," newline bitfld.long 0x140 8. "VIN1A_D19_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D19_MODESELECT_0,VIN1A_D19_MODESELECT_1" newline bitfld.long 0x140 4.--7. "VIN1A_D19_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x140 0.--3. "VIN1A_D19_MUXMODE," "VIN1A_D19_MUXMODE_0,VIN1A_D19_MUXMODE_1,?,?,VIN1A_D19_MUXMODE_4,?,VIN1A_D19_MUXMODE_6,?,?,VIN1A_D19_MUXMODE_9,VIN1A_D19_MUXMODE_10,VIN1A_D19_MUXMODE_11,VIN1A_D19_MUXMODE_12,VIN1A_D19_MUXMODE_13,VIN1A_D19_MUXMODE_14,VIN1A_D19_MUXMODE_15" line.long 0x144 "CTRL_CORE_PAD_VIN1A_D20," rbitfld.long 0x144 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x144 25. "VIN1A_D20_WAKEUPEVENT," "VIN1A_D20_WAKEUPEVENT_0,VIN1A_D20_WAKEUPEVENT_1" newline bitfld.long 0x144 24. "VIN1A_D20_WAKEUPENABLE," "VIN1A_D20_WAKEUPENABLE_0,VIN1A_D20_WAKEUPENABLE_1" newline rbitfld.long 0x144 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x144 19. "VIN1A_D20_SLEWCONTROL," "VIN1A_D20_SLEWCONTROL_0,VIN1A_D20_SLEWCONTROL_1" newline bitfld.long 0x144 18. "VIN1A_D20_INPUTENABLE," "VIN1A_D20_INPUTENABLE_0,VIN1A_D20_INPUTENABLE_1" newline bitfld.long 0x144 17. "VIN1A_D20_PULLTYPESELECT," "VIN1A_D20_PULLTYPESELECT_0,VIN1A_D20_PULLTYPESELECT_1" newline bitfld.long 0x144 16. "VIN1A_D20_PULLUDENABLE," "VIN1A_D20_PULLUDENABLE_0,VIN1A_D20_PULLUDENABLE_1" newline hexmask.long.byte 0x144 9.--15. 1. "RESERVED," newline bitfld.long 0x144 8. "VIN1A_D20_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D20_MODESELECT_0,VIN1A_D20_MODESELECT_1" newline bitfld.long 0x144 4.--7. "VIN1A_D20_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x144 0.--3. "VIN1A_D20_MUXMODE," "VIN1A_D20_MUXMODE_0,VIN1A_D20_MUXMODE_1,?,?,VIN1A_D20_MUXMODE_4,?,VIN1A_D20_MUXMODE_6,?,?,VIN1A_D20_MUXMODE_9,VIN1A_D20_MUXMODE_10,VIN1A_D20_MUXMODE_11,VIN1A_D20_MUXMODE_12,VIN1A_D20_MUXMODE_13,VIN1A_D20_MUXMODE_14,VIN1A_D20_MUXMODE_15" line.long 0x148 "CTRL_CORE_PAD_VIN1A_D21," rbitfld.long 0x148 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x148 25. "VIN1A_D21_WAKEUPEVENT," "VIN1A_D21_WAKEUPEVENT_0,VIN1A_D21_WAKEUPEVENT_1" newline bitfld.long 0x148 24. "VIN1A_D21_WAKEUPENABLE," "VIN1A_D21_WAKEUPENABLE_0,VIN1A_D21_WAKEUPENABLE_1" newline rbitfld.long 0x148 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x148 19. "VIN1A_D21_SLEWCONTROL," "VIN1A_D21_SLEWCONTROL_0,VIN1A_D21_SLEWCONTROL_1" newline bitfld.long 0x148 18. "VIN1A_D21_INPUTENABLE," "VIN1A_D21_INPUTENABLE_0,VIN1A_D21_INPUTENABLE_1" newline bitfld.long 0x148 17. "VIN1A_D21_PULLTYPESELECT," "VIN1A_D21_PULLTYPESELECT_0,VIN1A_D21_PULLTYPESELECT_1" newline bitfld.long 0x148 16. "VIN1A_D21_PULLUDENABLE," "VIN1A_D21_PULLUDENABLE_0,VIN1A_D21_PULLUDENABLE_1" newline hexmask.long.byte 0x148 9.--15. 1. "RESERVED," newline bitfld.long 0x148 8. "VIN1A_D21_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D21_MODESELECT_0,VIN1A_D21_MODESELECT_1" newline bitfld.long 0x148 4.--7. "VIN1A_D21_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x148 0.--3. "VIN1A_D21_MUXMODE," "VIN1A_D21_MUXMODE_0,VIN1A_D21_MUXMODE_1,?,?,VIN1A_D21_MUXMODE_4,?,VIN1A_D21_MUXMODE_6,?,?,VIN1A_D21_MUXMODE_9,VIN1A_D21_MUXMODE_10,VIN1A_D21_MUXMODE_11,VIN1A_D21_MUXMODE_12,VIN1A_D21_MUXMODE_13,VIN1A_D21_MUXMODE_14,VIN1A_D21_MUXMODE_15" line.long 0x14C "CTRL_CORE_PAD_VIN1A_D22," rbitfld.long 0x14C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x14C 25. "VIN1A_D22_WAKEUPEVENT," "VIN1A_D22_WAKEUPEVENT_0,VIN1A_D22_WAKEUPEVENT_1" newline bitfld.long 0x14C 24. "VIN1A_D22_WAKEUPENABLE," "VIN1A_D22_WAKEUPENABLE_0,VIN1A_D22_WAKEUPENABLE_1" newline rbitfld.long 0x14C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14C 19. "VIN1A_D22_SLEWCONTROL," "VIN1A_D22_SLEWCONTROL_0,VIN1A_D22_SLEWCONTROL_1" newline bitfld.long 0x14C 18. "VIN1A_D22_INPUTENABLE," "VIN1A_D22_INPUTENABLE_0,VIN1A_D22_INPUTENABLE_1" newline bitfld.long 0x14C 17. "VIN1A_D22_PULLTYPESELECT," "VIN1A_D22_PULLTYPESELECT_0,VIN1A_D22_PULLTYPESELECT_1" newline bitfld.long 0x14C 16. "VIN1A_D22_PULLUDENABLE," "VIN1A_D22_PULLUDENABLE_0,VIN1A_D22_PULLUDENABLE_1" newline hexmask.long.byte 0x14C 9.--15. 1. "RESERVED," newline bitfld.long 0x14C 8. "VIN1A_D22_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D22_MODESELECT_0,VIN1A_D22_MODESELECT_1" newline bitfld.long 0x14C 4.--7. "VIN1A_D22_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14C 0.--3. "VIN1A_D22_MUXMODE," "VIN1A_D22_MUXMODE_0,VIN1A_D22_MUXMODE_1,?,?,VIN1A_D22_MUXMODE_4,?,VIN1A_D22_MUXMODE_6,?,?,VIN1A_D22_MUXMODE_9,VIN1A_D22_MUXMODE_10,VIN1A_D22_MUXMODE_11,VIN1A_D22_MUXMODE_12,VIN1A_D22_MUXMODE_13,VIN1A_D22_MUXMODE_14,VIN1A_D22_MUXMODE_15" line.long 0x150 "CTRL_CORE_PAD_VIN1A_D23," rbitfld.long 0x150 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x150 25. "VIN1A_D23_WAKEUPEVENT," "VIN1A_D23_WAKEUPEVENT_0,VIN1A_D23_WAKEUPEVENT_1" newline bitfld.long 0x150 24. "VIN1A_D23_WAKEUPENABLE," "VIN1A_D23_WAKEUPENABLE_0,VIN1A_D23_WAKEUPENABLE_1" newline rbitfld.long 0x150 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x150 19. "VIN1A_D23_SLEWCONTROL," "VIN1A_D23_SLEWCONTROL_0,VIN1A_D23_SLEWCONTROL_1" newline bitfld.long 0x150 18. "VIN1A_D23_INPUTENABLE," "VIN1A_D23_INPUTENABLE_0,VIN1A_D23_INPUTENABLE_1" newline bitfld.long 0x150 17. "VIN1A_D23_PULLTYPESELECT," "VIN1A_D23_PULLTYPESELECT_0,VIN1A_D23_PULLTYPESELECT_1" newline bitfld.long 0x150 16. "VIN1A_D23_PULLUDENABLE," "VIN1A_D23_PULLUDENABLE_0,VIN1A_D23_PULLUDENABLE_1" newline hexmask.long.byte 0x150 9.--15. 1. "RESERVED," newline bitfld.long 0x150 8. "VIN1A_D23_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN1A_D23_MODESELECT_0,VIN1A_D23_MODESELECT_1" newline bitfld.long 0x150 4.--7. "VIN1A_D23_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x150 0.--3. "VIN1A_D23_MUXMODE," "VIN1A_D23_MUXMODE_0,VIN1A_D23_MUXMODE_1,?,?,VIN1A_D23_MUXMODE_4,?,VIN1A_D23_MUXMODE_6,?,?,VIN1A_D23_MUXMODE_9,VIN1A_D23_MUXMODE_10,VIN1A_D23_MUXMODE_11,VIN1A_D23_MUXMODE_12,VIN1A_D23_MUXMODE_13,VIN1A_D23_MUXMODE_14,VIN1A_D23_MUXMODE_15" line.long 0x154 "CTRL_CORE_PAD_VIN2A_CLK0," rbitfld.long 0x154 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x154 25. "VIN2A_CLK0_WAKEUPEVENT," "VIN2A_CLK0_WAKEUPEVENT_0,VIN2A_CLK0_WAKEUPEVENT_1" newline bitfld.long 0x154 24. "VIN2A_CLK0_WAKEUPENABLE," "VIN2A_CLK0_WAKEUPENABLE_0,VIN2A_CLK0_WAKEUPENABLE_1" newline rbitfld.long 0x154 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x154 19. "VIN2A_CLK0_SLEWCONTROL," "VIN2A_CLK0_SLEWCONTROL_0,VIN2A_CLK0_SLEWCONTROL_1" newline bitfld.long 0x154 18. "VIN2A_CLK0_INPUTENABLE," "VIN2A_CLK0_INPUTENABLE_0,VIN2A_CLK0_INPUTENABLE_1" newline bitfld.long 0x154 17. "VIN2A_CLK0_PULLTYPESELECT," "VIN2A_CLK0_PULLTYPESELECT_0,VIN2A_CLK0_PULLTYPESELECT_1" newline bitfld.long 0x154 16. "VIN2A_CLK0_PULLUDENABLE," "VIN2A_CLK0_PULLUDENABLE_0,VIN2A_CLK0_PULLUDENABLE_1" newline hexmask.long.byte 0x154 9.--15. 1. "RESERVED," newline bitfld.long 0x154 8. "VIN2A_CLK0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_CLK0_MODESELECT_0,VIN2A_CLK0_MODESELECT_1" newline bitfld.long 0x154 4.--7. "VIN2A_CLK0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x154 0.--3. "VIN2A_CLK0_MUXMODE," "VIN2A_CLK0_MUXMODE_0,?,?,?,VIN2A_CLK0_MUXMODE_4,VIN2A_CLK0_MUXMODE_5,?,?,?,VIN2A_CLK0_MUXMODE_9,VIN2A_CLK0_MUXMODE_10,?,VIN2A_CLK0_MUXMODE_12,VIN2A_CLK0_MUXMODE_13,VIN2A_CLK0_MUXMODE_14,VIN2A_CLK0_MUXMODE_15" line.long 0x158 "CTRL_CORE_PAD_VIN2A_DE0," rbitfld.long 0x158 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x158 25. "VIN2A_DE0_WAKEUPEVENT," "VIN2A_DE0_WAKEUPEVENT_0,VIN2A_DE0_WAKEUPEVENT_1" newline bitfld.long 0x158 24. "VIN2A_DE0_WAKEUPENABLE," "VIN2A_DE0_WAKEUPENABLE_0,VIN2A_DE0_WAKEUPENABLE_1" newline rbitfld.long 0x158 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x158 19. "VIN2A_DE0_SLEWCONTROL," "VIN2A_DE0_SLEWCONTROL_0,VIN2A_DE0_SLEWCONTROL_1" newline bitfld.long 0x158 18. "VIN2A_DE0_INPUTENABLE," "VIN2A_DE0_INPUTENABLE_0,VIN2A_DE0_INPUTENABLE_1" newline bitfld.long 0x158 17. "VIN2A_DE0_PULLTYPESELECT," "VIN2A_DE0_PULLTYPESELECT_0,VIN2A_DE0_PULLTYPESELECT_1" newline bitfld.long 0x158 16. "VIN2A_DE0_PULLUDENABLE," "VIN2A_DE0_PULLUDENABLE_0,VIN2A_DE0_PULLUDENABLE_1" newline hexmask.long.byte 0x158 9.--15. 1. "RESERVED," newline bitfld.long 0x158 8. "VIN2A_DE0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_DE0_MODESELECT_0,VIN2A_DE0_MODESELECT_1" newline bitfld.long 0x158 4.--7. "VIN2A_DE0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x158 0.--3. "VIN2A_DE0_MUXMODE," "VIN2A_DE0_MUXMODE_0,VIN2A_DE0_MUXMODE_1,VIN2A_DE0_MUXMODE_2,VIN2A_DE0_MUXMODE_3,VIN2A_DE0_MUXMODE_4,VIN2A_DE0_MUXMODE_5,?,?,?,VIN2A_DE0_MUXMODE_9,VIN2A_DE0_MUXMODE_10,?,VIN2A_DE0_MUXMODE_12,VIN2A_DE0_MUXMODE_13,VIN2A_DE0_MUXMODE_14,VIN2A_DE0_MUXMODE_15" line.long 0x15C "CTRL_CORE_PAD_VIN2A_FLD0," rbitfld.long 0x15C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x15C 25. "VIN2A_FLD0_WAKEUPEVENT," "VIN2A_FLD0_WAKEUPEVENT_0,VIN2A_FLD0_WAKEUPEVENT_1" newline bitfld.long 0x15C 24. "VIN2A_FLD0_WAKEUPENABLE," "VIN2A_FLD0_WAKEUPENABLE_0,VIN2A_FLD0_WAKEUPENABLE_1" newline rbitfld.long 0x15C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x15C 19. "VIN2A_FLD0_SLEWCONTROL," "VIN2A_FLD0_SLEWCONTROL_0,VIN2A_FLD0_SLEWCONTROL_1" newline bitfld.long 0x15C 18. "VIN2A_FLD0_INPUTENABLE," "VIN2A_FLD0_INPUTENABLE_0,VIN2A_FLD0_INPUTENABLE_1" newline bitfld.long 0x15C 17. "VIN2A_FLD0_PULLTYPESELECT," "VIN2A_FLD0_PULLTYPESELECT_0,VIN2A_FLD0_PULLTYPESELECT_1" newline bitfld.long 0x15C 16. "VIN2A_FLD0_PULLUDENABLE," "VIN2A_FLD0_PULLUDENABLE_0,VIN2A_FLD0_PULLUDENABLE_1" newline hexmask.long.byte 0x15C 9.--15. 1. "RESERVED," newline bitfld.long 0x15C 8. "VIN2A_FLD0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_FLD0_MODESELECT_0,VIN2A_FLD0_MODESELECT_1" newline bitfld.long 0x15C 4.--7. "VIN2A_FLD0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x15C 0.--3. "VIN2A_FLD0_MUXMODE," "VIN2A_FLD0_MUXMODE_0,?,VIN2A_FLD0_MUXMODE_2,?,VIN2A_FLD0_MUXMODE_4,VIN2A_FLD0_MUXMODE_5,?,?,?,?,VIN2A_FLD0_MUXMODE_10,?,VIN2A_FLD0_MUXMODE_12,VIN2A_FLD0_MUXMODE_13,VIN2A_FLD0_MUXMODE_14,VIN2A_FLD0_MUXMODE_15" line.long 0x160 "CTRL_CORE_PAD_VIN2A_HSYNC0," rbitfld.long 0x160 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x160 25. "VIN2A_HSYNC0_WAKEUPEVENT," "VIN2A_HSYNC0_WAKEUPEVENT_0,VIN2A_HSYNC0_WAKEUPEVENT_1" newline bitfld.long 0x160 24. "VIN2A_HSYNC0_WAKEUPENABLE," "VIN2A_HSYNC0_WAKEUPENABLE_0,VIN2A_HSYNC0_WAKEUPENABLE_1" newline rbitfld.long 0x160 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x160 19. "VIN2A_HSYNC0_SLEWCONTROL," "VIN2A_HSYNC0_SLEWCONTROL_0,VIN2A_HSYNC0_SLEWCONTROL_1" newline bitfld.long 0x160 18. "VIN2A_HSYNC0_INPUTENABLE," "VIN2A_HSYNC0_INPUTENABLE_0,VIN2A_HSYNC0_INPUTENABLE_1" newline bitfld.long 0x160 17. "VIN2A_HSYNC0_PULLTYPESELECT," "VIN2A_HSYNC0_PULLTYPESELECT_0,VIN2A_HSYNC0_PULLTYPESELECT_1" newline bitfld.long 0x160 16. "VIN2A_HSYNC0_PULLUDENABLE," "VIN2A_HSYNC0_PULLUDENABLE_0,VIN2A_HSYNC0_PULLUDENABLE_1" newline hexmask.long.byte 0x160 9.--15. 1. "RESERVED," newline bitfld.long 0x160 8. "VIN2A_HSYNC0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_HSYNC0_MODESELECT_0,VIN2A_HSYNC0_MODESELECT_1" newline bitfld.long 0x160 4.--7. "VIN2A_HSYNC0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x160 0.--3. "VIN2A_HSYNC0_MUXMODE," "VIN2A_HSYNC0_MUXMODE_0,?,?,VIN2A_HSYNC0_MUXMODE_3,VIN2A_HSYNC0_MUXMODE_4,VIN2A_HSYNC0_MUXMODE_5,?,VIN2A_HSYNC0_MUXMODE_7,VIN2A_HSYNC0_MUXMODE_8,VIN2A_HSYNC0_MUXMODE_9,VIN2A_HSYNC0_MUXMODE_10,VIN2A_HSYNC0_MUXMODE_11,VIN2A_HSYNC0_MUXMODE_12,VIN2A_HSYNC0_MUXMODE_13,VIN2A_HSYNC0_MUXMODE_14,VIN2A_HSYNC0_MUXMODE_15" line.long 0x164 "CTRL_CORE_PAD_VIN2A_VSYNC0," rbitfld.long 0x164 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x164 25. "VIN2A_VSYNC0_WAKEUPEVENT," "VIN2A_VSYNC0_WAKEUPEVENT_0,VIN2A_VSYNC0_WAKEUPEVENT_1" newline bitfld.long 0x164 24. "VIN2A_VSYNC0_WAKEUPENABLE," "VIN2A_VSYNC0_WAKEUPENABLE_0,VIN2A_VSYNC0_WAKEUPENABLE_1" newline rbitfld.long 0x164 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x164 19. "VIN2A_VSYNC0_SLEWCONTROL," "VIN2A_VSYNC0_SLEWCONTROL_0,VIN2A_VSYNC0_SLEWCONTROL_1" newline bitfld.long 0x164 18. "VIN2A_VSYNC0_INPUTENABLE," "VIN2A_VSYNC0_INPUTENABLE_0,VIN2A_VSYNC0_INPUTENABLE_1" newline bitfld.long 0x164 17. "VIN2A_VSYNC0_PULLTYPESELECT," "VIN2A_VSYNC0_PULLTYPESELECT_0,VIN2A_VSYNC0_PULLTYPESELECT_1" newline bitfld.long 0x164 16. "VIN2A_VSYNC0_PULLUDENABLE," "VIN2A_VSYNC0_PULLUDENABLE_0,VIN2A_VSYNC0_PULLUDENABLE_1" newline hexmask.long.byte 0x164 9.--15. 1. "RESERVED," newline bitfld.long 0x164 8. "VIN2A_VSYNC0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_VSYNC0_MODESELECT_0,VIN2A_VSYNC0_MODESELECT_1" newline bitfld.long 0x164 4.--7. "VIN2A_VSYNC0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x164 0.--3. "VIN2A_VSYNC0_MUXMODE," "VIN2A_VSYNC0_MUXMODE_0,?,?,VIN2A_VSYNC0_MUXMODE_3,VIN2A_VSYNC0_MUXMODE_4,VIN2A_VSYNC0_MUXMODE_5,?,VIN2A_VSYNC0_MUXMODE_7,VIN2A_VSYNC0_MUXMODE_8,VIN2A_VSYNC0_MUXMODE_9,VIN2A_VSYNC0_MUXMODE_10,VIN2A_VSYNC0_MUXMODE_11,VIN2A_VSYNC0_MUXMODE_12,VIN2A_VSYNC0_MUXMODE_13,VIN2A_VSYNC0_MUXMODE_14,VIN2A_VSYNC0_MUXMODE_15" line.long 0x168 "CTRL_CORE_PAD_VIN2A_D0," rbitfld.long 0x168 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x168 25. "VIN2A_D0_WAKEUPEVENT," "VIN2A_D0_WAKEUPEVENT_0,VIN2A_D0_WAKEUPEVENT_1" newline bitfld.long 0x168 24. "VIN2A_D0_WAKEUPENABLE," "VIN2A_D0_WAKEUPENABLE_0,VIN2A_D0_WAKEUPENABLE_1" newline rbitfld.long 0x168 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x168 19. "VIN2A_D0_SLEWCONTROL," "VIN2A_D0_SLEWCONTROL_0,VIN2A_D0_SLEWCONTROL_1" newline bitfld.long 0x168 18. "VIN2A_D0_INPUTENABLE," "VIN2A_D0_INPUTENABLE_0,VIN2A_D0_INPUTENABLE_1" newline bitfld.long 0x168 17. "VIN2A_D0_PULLTYPESELECT," "VIN2A_D0_PULLTYPESELECT_0,VIN2A_D0_PULLTYPESELECT_1" newline bitfld.long 0x168 16. "VIN2A_D0_PULLUDENABLE," "VIN2A_D0_PULLUDENABLE_0,VIN2A_D0_PULLUDENABLE_1" newline hexmask.long.byte 0x168 9.--15. 1. "RESERVED," newline bitfld.long 0x168 8. "VIN2A_D0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D0_MODESELECT_0,VIN2A_D0_MODESELECT_1" newline bitfld.long 0x168 4.--7. "VIN2A_D0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x168 0.--3. "VIN2A_D0_MUXMODE," "VIN2A_D0_MUXMODE_0,?,?,?,VIN2A_D0_MUXMODE_4,VIN2A_D0_MUXMODE_5,?,VIN2A_D0_MUXMODE_7,VIN2A_D0_MUXMODE_8,VIN2A_D0_MUXMODE_9,VIN2A_D0_MUXMODE_10,VIN2A_D0_MUXMODE_11,VIN2A_D0_MUXMODE_12,VIN2A_D0_MUXMODE_13,VIN2A_D0_MUXMODE_14,VIN2A_D0_MUXMODE_15" line.long 0x16C "CTRL_CORE_PAD_VIN2A_D1," rbitfld.long 0x16C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x16C 25. "VIN2A_D1_WAKEUPEVENT," "VIN2A_D1_WAKEUPEVENT_0,VIN2A_D1_WAKEUPEVENT_1" newline bitfld.long 0x16C 24. "VIN2A_D1_WAKEUPENABLE," "VIN2A_D1_WAKEUPENABLE_0,VIN2A_D1_WAKEUPENABLE_1" newline rbitfld.long 0x16C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x16C 19. "VIN2A_D1_SLEWCONTROL," "VIN2A_D1_SLEWCONTROL_0,VIN2A_D1_SLEWCONTROL_1" newline bitfld.long 0x16C 18. "VIN2A_D1_INPUTENABLE," "VIN2A_D1_INPUTENABLE_0,VIN2A_D1_INPUTENABLE_1" newline bitfld.long 0x16C 17. "VIN2A_D1_PULLTYPESELECT," "VIN2A_D1_PULLTYPESELECT_0,VIN2A_D1_PULLTYPESELECT_1" newline bitfld.long 0x16C 16. "VIN2A_D1_PULLUDENABLE," "VIN2A_D1_PULLUDENABLE_0,VIN2A_D1_PULLUDENABLE_1" newline hexmask.long.byte 0x16C 9.--15. 1. "RESERVED," newline bitfld.long 0x16C 8. "VIN2A_D1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D1_MODESELECT_0,VIN2A_D1_MODESELECT_1" newline bitfld.long 0x16C 4.--7. "VIN2A_D1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x16C 0.--3. "VIN2A_D1_MUXMODE," "VIN2A_D1_MUXMODE_0,?,?,?,VIN2A_D1_MUXMODE_4,VIN2A_D1_MUXMODE_5,?,VIN2A_D1_MUXMODE_7,VIN2A_D1_MUXMODE_8,VIN2A_D1_MUXMODE_9,VIN2A_D1_MUXMODE_10,VIN2A_D1_MUXMODE_11,VIN2A_D1_MUXMODE_12,VIN2A_D1_MUXMODE_13,VIN2A_D1_MUXMODE_14,VIN2A_D1_MUXMODE_15" line.long 0x170 "CTRL_CORE_PAD_VIN2A_D2," rbitfld.long 0x170 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x170 25. "VIN2A_D2_WAKEUPEVENT," "VIN2A_D2_WAKEUPEVENT_0,VIN2A_D2_WAKEUPEVENT_1" newline bitfld.long 0x170 24. "VIN2A_D2_WAKEUPENABLE," "VIN2A_D2_WAKEUPENABLE_0,VIN2A_D2_WAKEUPENABLE_1" newline rbitfld.long 0x170 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x170 19. "VIN2A_D2_SLEWCONTROL," "VIN2A_D2_SLEWCONTROL_0,VIN2A_D2_SLEWCONTROL_1" newline bitfld.long 0x170 18. "VIN2A_D2_INPUTENABLE," "VIN2A_D2_INPUTENABLE_0,VIN2A_D2_INPUTENABLE_1" newline bitfld.long 0x170 17. "VIN2A_D2_PULLTYPESELECT," "VIN2A_D2_PULLTYPESELECT_0,VIN2A_D2_PULLTYPESELECT_1" newline bitfld.long 0x170 16. "VIN2A_D2_PULLUDENABLE," "VIN2A_D2_PULLUDENABLE_0,VIN2A_D2_PULLUDENABLE_1" newline hexmask.long.byte 0x170 9.--15. 1. "RESERVED," newline bitfld.long 0x170 8. "VIN2A_D2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D2_MODESELECT_0,VIN2A_D2_MODESELECT_1" newline bitfld.long 0x170 4.--7. "VIN2A_D2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x170 0.--3. "VIN2A_D2_MUXMODE," "VIN2A_D2_MUXMODE_0,?,?,?,VIN2A_D2_MUXMODE_4,VIN2A_D2_MUXMODE_5,?,?,VIN2A_D2_MUXMODE_8,VIN2A_D2_MUXMODE_9,VIN2A_D2_MUXMODE_10,VIN2A_D2_MUXMODE_11,VIN2A_D2_MUXMODE_12,VIN2A_D2_MUXMODE_13,VIN2A_D2_MUXMODE_14,VIN2A_D2_MUXMODE_15" line.long 0x174 "CTRL_CORE_PAD_VIN2A_D3," rbitfld.long 0x174 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x174 25. "VIN2A_D3_WAKEUPEVENT," "VIN2A_D3_WAKEUPEVENT_0,VIN2A_D3_WAKEUPEVENT_1" newline bitfld.long 0x174 24. "VIN2A_D3_WAKEUPENABLE," "VIN2A_D3_WAKEUPENABLE_0,VIN2A_D3_WAKEUPENABLE_1" newline rbitfld.long 0x174 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x174 19. "VIN2A_D3_SLEWCONTROL," "VIN2A_D3_SLEWCONTROL_0,VIN2A_D3_SLEWCONTROL_1" newline bitfld.long 0x174 18. "VIN2A_D3_INPUTENABLE," "VIN2A_D3_INPUTENABLE_0,VIN2A_D3_INPUTENABLE_1" newline bitfld.long 0x174 17. "VIN2A_D3_PULLTYPESELECT," "VIN2A_D3_PULLTYPESELECT_0,VIN2A_D3_PULLTYPESELECT_1" newline bitfld.long 0x174 16. "VIN2A_D3_PULLUDENABLE," "VIN2A_D3_PULLUDENABLE_0,VIN2A_D3_PULLUDENABLE_1" newline hexmask.long.byte 0x174 9.--15. 1. "RESERVED," newline bitfld.long 0x174 8. "VIN2A_D3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D3_MODESELECT_0,VIN2A_D3_MODESELECT_1" newline bitfld.long 0x174 4.--7. "VIN2A_D3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x174 0.--3. "VIN2A_D3_MUXMODE," "VIN2A_D3_MUXMODE_0,?,?,?,VIN2A_D3_MUXMODE_4,VIN2A_D3_MUXMODE_5,?,?,VIN2A_D3_MUXMODE_8,VIN2A_D3_MUXMODE_9,VIN2A_D3_MUXMODE_10,VIN2A_D3_MUXMODE_11,VIN2A_D3_MUXMODE_12,VIN2A_D3_MUXMODE_13,VIN2A_D3_MUXMODE_14,VIN2A_D3_MUXMODE_15" line.long 0x178 "CTRL_CORE_PAD_VIN2A_D4," rbitfld.long 0x178 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x178 25. "VIN2A_D4_WAKEUPEVENT," "VIN2A_D4_WAKEUPEVENT_0,VIN2A_D4_WAKEUPEVENT_1" newline bitfld.long 0x178 24. "VIN2A_D4_WAKEUPENABLE," "VIN2A_D4_WAKEUPENABLE_0,VIN2A_D4_WAKEUPENABLE_1" newline rbitfld.long 0x178 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x178 19. "VIN2A_D4_SLEWCONTROL," "VIN2A_D4_SLEWCONTROL_0,VIN2A_D4_SLEWCONTROL_1" newline bitfld.long 0x178 18. "VIN2A_D4_INPUTENABLE," "VIN2A_D4_INPUTENABLE_0,VIN2A_D4_INPUTENABLE_1" newline bitfld.long 0x178 17. "VIN2A_D4_PULLTYPESELECT," "VIN2A_D4_PULLTYPESELECT_0,VIN2A_D4_PULLTYPESELECT_1" newline bitfld.long 0x178 16. "VIN2A_D4_PULLUDENABLE," "VIN2A_D4_PULLUDENABLE_0,VIN2A_D4_PULLUDENABLE_1" newline hexmask.long.byte 0x178 9.--15. 1. "RESERVED," newline bitfld.long 0x178 8. "VIN2A_D4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D4_MODESELECT_0,VIN2A_D4_MODESELECT_1" newline bitfld.long 0x178 4.--7. "VIN2A_D4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x178 0.--3. "VIN2A_D4_MUXMODE," "VIN2A_D4_MUXMODE_0,?,?,?,VIN2A_D4_MUXMODE_4,VIN2A_D4_MUXMODE_5,?,?,VIN2A_D4_MUXMODE_8,VIN2A_D4_MUXMODE_9,VIN2A_D4_MUXMODE_10,VIN2A_D4_MUXMODE_11,VIN2A_D4_MUXMODE_12,VIN2A_D4_MUXMODE_13,VIN2A_D4_MUXMODE_14,VIN2A_D4_MUXMODE_15" line.long 0x17C "CTRL_CORE_PAD_VIN2A_D5," rbitfld.long 0x17C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x17C 25. "VIN2A_D5_WAKEUPEVENT," "VIN2A_D5_WAKEUPEVENT_0,VIN2A_D5_WAKEUPEVENT_1" newline bitfld.long 0x17C 24. "VIN2A_D5_WAKEUPENABLE," "VIN2A_D5_WAKEUPENABLE_0,VIN2A_D5_WAKEUPENABLE_1" newline rbitfld.long 0x17C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x17C 19. "VIN2A_D5_SLEWCONTROL," "VIN2A_D5_SLEWCONTROL_0,VIN2A_D5_SLEWCONTROL_1" newline bitfld.long 0x17C 18. "VIN2A_D5_INPUTENABLE," "VIN2A_D5_INPUTENABLE_0,VIN2A_D5_INPUTENABLE_1" newline bitfld.long 0x17C 17. "VIN2A_D5_PULLTYPESELECT," "VIN2A_D5_PULLTYPESELECT_0,VIN2A_D5_PULLTYPESELECT_1" newline bitfld.long 0x17C 16. "VIN2A_D5_PULLUDENABLE," "VIN2A_D5_PULLUDENABLE_0,VIN2A_D5_PULLUDENABLE_1" newline hexmask.long.byte 0x17C 9.--15. 1. "RESERVED," newline bitfld.long 0x17C 8. "VIN2A_D5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D5_MODESELECT_0,VIN2A_D5_MODESELECT_1" newline bitfld.long 0x17C 4.--7. "VIN2A_D5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x17C 0.--3. "VIN2A_D5_MUXMODE," "VIN2A_D5_MUXMODE_0,?,?,?,VIN2A_D5_MUXMODE_4,VIN2A_D5_MUXMODE_5,?,?,VIN2A_D5_MUXMODE_8,VIN2A_D5_MUXMODE_9,VIN2A_D5_MUXMODE_10,VIN2A_D5_MUXMODE_11,VIN2A_D5_MUXMODE_12,VIN2A_D5_MUXMODE_13,VIN2A_D5_MUXMODE_14,VIN2A_D5_MUXMODE_15" line.long 0x180 "CTRL_CORE_PAD_VIN2A_D6," rbitfld.long 0x180 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x180 25. "VIN2A_D6_WAKEUPEVENT," "VIN2A_D6_WAKEUPEVENT_0,VIN2A_D6_WAKEUPEVENT_1" newline bitfld.long 0x180 24. "VIN2A_D6_WAKEUPENABLE," "VIN2A_D6_WAKEUPENABLE_0,VIN2A_D6_WAKEUPENABLE_1" newline rbitfld.long 0x180 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x180 19. "VIN2A_D6_SLEWCONTROL," "VIN2A_D6_SLEWCONTROL_0,VIN2A_D6_SLEWCONTROL_1" newline bitfld.long 0x180 18. "VIN2A_D6_INPUTENABLE," "VIN2A_D6_INPUTENABLE_0,VIN2A_D6_INPUTENABLE_1" newline bitfld.long 0x180 17. "VIN2A_D6_PULLTYPESELECT," "VIN2A_D6_PULLTYPESELECT_0,VIN2A_D6_PULLTYPESELECT_1" newline bitfld.long 0x180 16. "VIN2A_D6_PULLUDENABLE," "VIN2A_D6_PULLUDENABLE_0,VIN2A_D6_PULLUDENABLE_1" newline hexmask.long.byte 0x180 9.--15. 1. "RESERVED," newline bitfld.long 0x180 8. "VIN2A_D6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D6_MODESELECT_0,VIN2A_D6_MODESELECT_1" newline bitfld.long 0x180 4.--7. "VIN2A_D6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x180 0.--3. "VIN2A_D6_MUXMODE," "VIN2A_D6_MUXMODE_0,?,?,?,VIN2A_D6_MUXMODE_4,VIN2A_D6_MUXMODE_5,?,?,VIN2A_D6_MUXMODE_8,VIN2A_D6_MUXMODE_9,VIN2A_D6_MUXMODE_10,VIN2A_D6_MUXMODE_11,VIN2A_D6_MUXMODE_12,VIN2A_D6_MUXMODE_13,VIN2A_D6_MUXMODE_14,VIN2A_D6_MUXMODE_15" line.long 0x184 "CTRL_CORE_PAD_VIN2A_D7," rbitfld.long 0x184 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x184 25. "VIN2A_D7_WAKEUPEVENT," "VIN2A_D7_WAKEUPEVENT_0,VIN2A_D7_WAKEUPEVENT_1" newline bitfld.long 0x184 24. "VIN2A_D7_WAKEUPENABLE," "VIN2A_D7_WAKEUPENABLE_0,VIN2A_D7_WAKEUPENABLE_1" newline rbitfld.long 0x184 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x184 19. "VIN2A_D7_SLEWCONTROL," "VIN2A_D7_SLEWCONTROL_0,VIN2A_D7_SLEWCONTROL_1" newline bitfld.long 0x184 18. "VIN2A_D7_INPUTENABLE," "VIN2A_D7_INPUTENABLE_0,VIN2A_D7_INPUTENABLE_1" newline bitfld.long 0x184 17. "VIN2A_D7_PULLTYPESELECT," "VIN2A_D7_PULLTYPESELECT_0,VIN2A_D7_PULLTYPESELECT_1" newline bitfld.long 0x184 16. "VIN2A_D7_PULLUDENABLE," "VIN2A_D7_PULLUDENABLE_0,VIN2A_D7_PULLUDENABLE_1" newline hexmask.long.byte 0x184 9.--15. 1. "RESERVED," newline bitfld.long 0x184 8. "VIN2A_D7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D7_MODESELECT_0,VIN2A_D7_MODESELECT_1" newline bitfld.long 0x184 4.--7. "VIN2A_D7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x184 0.--3. "VIN2A_D7_MUXMODE," "VIN2A_D7_MUXMODE_0,?,?,?,VIN2A_D7_MUXMODE_4,VIN2A_D7_MUXMODE_5,?,?,VIN2A_D7_MUXMODE_8,VIN2A_D7_MUXMODE_9,VIN2A_D7_MUXMODE_10,VIN2A_D7_MUXMODE_11,VIN2A_D7_MUXMODE_12,VIN2A_D7_MUXMODE_13,VIN2A_D7_MUXMODE_14,VIN2A_D7_MUXMODE_15" line.long 0x188 "CTRL_CORE_PAD_VIN2A_D8," rbitfld.long 0x188 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x188 25. "VIN2A_D8_WAKEUPEVENT," "VIN2A_D8_WAKEUPEVENT_0,VIN2A_D8_WAKEUPEVENT_1" newline bitfld.long 0x188 24. "VIN2A_D8_WAKEUPENABLE," "VIN2A_D8_WAKEUPENABLE_0,VIN2A_D8_WAKEUPENABLE_1" newline rbitfld.long 0x188 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x188 19. "VIN2A_D8_SLEWCONTROL," "VIN2A_D8_SLEWCONTROL_0,VIN2A_D8_SLEWCONTROL_1" newline bitfld.long 0x188 18. "VIN2A_D8_INPUTENABLE," "VIN2A_D8_INPUTENABLE_0,VIN2A_D8_INPUTENABLE_1" newline bitfld.long 0x188 17. "VIN2A_D8_PULLTYPESELECT," "VIN2A_D8_PULLTYPESELECT_0,VIN2A_D8_PULLTYPESELECT_1" newline bitfld.long 0x188 16. "VIN2A_D8_PULLUDENABLE," "VIN2A_D8_PULLUDENABLE_0,VIN2A_D8_PULLUDENABLE_1" newline hexmask.long.byte 0x188 9.--15. 1. "RESERVED," newline bitfld.long 0x188 8. "VIN2A_D8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D8_MODESELECT_0,VIN2A_D8_MODESELECT_1" newline bitfld.long 0x188 4.--7. "VIN2A_D8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x188 0.--3. "VIN2A_D8_MUXMODE," "VIN2A_D8_MUXMODE_0,?,?,?,VIN2A_D8_MUXMODE_4,VIN2A_D8_MUXMODE_5,?,?,VIN2A_D8_MUXMODE_8,VIN2A_D8_MUXMODE_9,VIN2A_D8_MUXMODE_10,VIN2A_D8_MUXMODE_11,VIN2A_D8_MUXMODE_12,VIN2A_D8_MUXMODE_13,VIN2A_D8_MUXMODE_14,VIN2A_D8_MUXMODE_15" line.long 0x18C "CTRL_CORE_PAD_VIN2A_D9," rbitfld.long 0x18C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x18C 25. "VIN2A_D9_WAKEUPEVENT," "VIN2A_D9_WAKEUPEVENT_0,VIN2A_D9_WAKEUPEVENT_1" newline bitfld.long 0x18C 24. "VIN2A_D9_WAKEUPENABLE," "VIN2A_D9_WAKEUPENABLE_0,VIN2A_D9_WAKEUPENABLE_1" newline rbitfld.long 0x18C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18C 19. "VIN2A_D9_SLEWCONTROL," "VIN2A_D9_SLEWCONTROL_0,VIN2A_D9_SLEWCONTROL_1" newline bitfld.long 0x18C 18. "VIN2A_D9_INPUTENABLE," "VIN2A_D9_INPUTENABLE_0,VIN2A_D9_INPUTENABLE_1" newline bitfld.long 0x18C 17. "VIN2A_D9_PULLTYPESELECT," "VIN2A_D9_PULLTYPESELECT_0,VIN2A_D9_PULLTYPESELECT_1" newline bitfld.long 0x18C 16. "VIN2A_D9_PULLUDENABLE," "VIN2A_D9_PULLUDENABLE_0,VIN2A_D9_PULLUDENABLE_1" newline hexmask.long.byte 0x18C 9.--15. 1. "RESERVED," newline bitfld.long 0x18C 8. "VIN2A_D9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D9_MODESELECT_0,VIN2A_D9_MODESELECT_1" newline bitfld.long 0x18C 4.--7. "VIN2A_D9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18C 0.--3. "VIN2A_D9_MUXMODE," "VIN2A_D9_MUXMODE_0,?,?,?,VIN2A_D9_MUXMODE_4,VIN2A_D9_MUXMODE_5,?,?,VIN2A_D9_MUXMODE_8,VIN2A_D9_MUXMODE_9,VIN2A_D9_MUXMODE_10,VIN2A_D9_MUXMODE_11,VIN2A_D9_MUXMODE_12,VIN2A_D9_MUXMODE_13,VIN2A_D9_MUXMODE_14,VIN2A_D9_MUXMODE_15" line.long 0x190 "CTRL_CORE_PAD_VIN2A_D10," rbitfld.long 0x190 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x190 25. "VIN2A_D10_WAKEUPEVENT," "VIN2A_D10_WAKEUPEVENT_0,VIN2A_D10_WAKEUPEVENT_1" newline bitfld.long 0x190 24. "VIN2A_D10_WAKEUPENABLE," "VIN2A_D10_WAKEUPENABLE_0,VIN2A_D10_WAKEUPENABLE_1" newline rbitfld.long 0x190 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x190 19. "VIN2A_D10_SLEWCONTROL," "VIN2A_D10_SLEWCONTROL_0,VIN2A_D10_SLEWCONTROL_1" newline bitfld.long 0x190 18. "VIN2A_D10_INPUTENABLE," "VIN2A_D10_INPUTENABLE_0,VIN2A_D10_INPUTENABLE_1" newline bitfld.long 0x190 17. "VIN2A_D10_PULLTYPESELECT," "VIN2A_D10_PULLTYPESELECT_0,VIN2A_D10_PULLTYPESELECT_1" newline bitfld.long 0x190 16. "VIN2A_D10_PULLUDENABLE," "VIN2A_D10_PULLUDENABLE_0,VIN2A_D10_PULLUDENABLE_1" newline hexmask.long.byte 0x190 9.--15. 1. "RESERVED," newline bitfld.long 0x190 8. "VIN2A_D10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D10_MODESELECT_0,VIN2A_D10_MODESELECT_1" newline bitfld.long 0x190 4.--7. "VIN2A_D10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x190 0.--3. "VIN2A_D10_MUXMODE," "VIN2A_D10_MUXMODE_0,?,?,VIN2A_D10_MUXMODE_3,VIN2A_D10_MUXMODE_4,?,?,?,?,VIN2A_D10_MUXMODE_9,VIN2A_D10_MUXMODE_10,VIN2A_D10_MUXMODE_11,VIN2A_D10_MUXMODE_12,VIN2A_D10_MUXMODE_13,VIN2A_D10_MUXMODE_14,VIN2A_D10_MUXMODE_15" line.long 0x194 "CTRL_CORE_PAD_VIN2A_D11," rbitfld.long 0x194 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x194 25. "VIN2A_D11_WAKEUPEVENT," "VIN2A_D11_WAKEUPEVENT_0,VIN2A_D11_WAKEUPEVENT_1" newline bitfld.long 0x194 24. "VIN2A_D11_WAKEUPENABLE," "VIN2A_D11_WAKEUPENABLE_0,VIN2A_D11_WAKEUPENABLE_1" newline rbitfld.long 0x194 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x194 19. "VIN2A_D11_SLEWCONTROL," "VIN2A_D11_SLEWCONTROL_0,VIN2A_D11_SLEWCONTROL_1" newline bitfld.long 0x194 18. "VIN2A_D11_INPUTENABLE," "VIN2A_D11_INPUTENABLE_0,VIN2A_D11_INPUTENABLE_1" newline bitfld.long 0x194 17. "VIN2A_D11_PULLTYPESELECT," "VIN2A_D11_PULLTYPESELECT_0,VIN2A_D11_PULLTYPESELECT_1" newline bitfld.long 0x194 16. "VIN2A_D11_PULLUDENABLE," "VIN2A_D11_PULLUDENABLE_0,VIN2A_D11_PULLUDENABLE_1" newline hexmask.long.byte 0x194 9.--15. 1. "RESERVED," newline bitfld.long 0x194 8. "VIN2A_D11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D11_MODESELECT_0,VIN2A_D11_MODESELECT_1" newline bitfld.long 0x194 4.--7. "VIN2A_D11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x194 0.--3. "VIN2A_D11_MUXMODE," "VIN2A_D11_MUXMODE_0,?,?,VIN2A_D11_MUXMODE_3,VIN2A_D11_MUXMODE_4,?,?,?,?,VIN2A_D11_MUXMODE_9,VIN2A_D11_MUXMODE_10,VIN2A_D11_MUXMODE_11,VIN2A_D11_MUXMODE_12,VIN2A_D11_MUXMODE_13,VIN2A_D11_MUXMODE_14,VIN2A_D11_MUXMODE_15" line.long 0x198 "CTRL_CORE_PAD_VIN2A_D12," rbitfld.long 0x198 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x198 25. "VIN2A_D12_WAKEUPEVENT," "VIN2A_D12_WAKEUPEVENT_0,VIN2A_D12_WAKEUPEVENT_1" newline bitfld.long 0x198 24. "VIN2A_D12_WAKEUPENABLE," "VIN2A_D12_WAKEUPENABLE_0,VIN2A_D12_WAKEUPENABLE_1" newline rbitfld.long 0x198 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x198 19. "VIN2A_D12_SLEWCONTROL," "VIN2A_D12_SLEWCONTROL_0,VIN2A_D12_SLEWCONTROL_1" newline bitfld.long 0x198 18. "VIN2A_D12_INPUTENABLE," "VIN2A_D12_INPUTENABLE_0,VIN2A_D12_INPUTENABLE_1" newline bitfld.long 0x198 17. "VIN2A_D12_PULLTYPESELECT," "VIN2A_D12_PULLTYPESELECT_0,VIN2A_D12_PULLTYPESELECT_1" newline bitfld.long 0x198 16. "VIN2A_D12_PULLUDENABLE," "VIN2A_D12_PULLUDENABLE_0,VIN2A_D12_PULLUDENABLE_1" newline hexmask.long.byte 0x198 9.--15. 1. "RESERVED," newline bitfld.long 0x198 8. "VIN2A_D12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D12_MODESELECT_0,VIN2A_D12_MODESELECT_1" newline bitfld.long 0x198 4.--7. "VIN2A_D12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x198 0.--3. "VIN2A_D12_MUXMODE," "VIN2A_D12_MUXMODE_0,?,?,VIN2A_D12_MUXMODE_3,VIN2A_D12_MUXMODE_4,?,?,?,VIN2A_D12_MUXMODE_8,VIN2A_D12_MUXMODE_9,VIN2A_D12_MUXMODE_10,VIN2A_D12_MUXMODE_11,VIN2A_D12_MUXMODE_12,VIN2A_D12_MUXMODE_13,VIN2A_D12_MUXMODE_14,VIN2A_D12_MUXMODE_15" line.long 0x19C "CTRL_CORE_PAD_VIN2A_D13," rbitfld.long 0x19C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x19C 25. "VIN2A_D13_WAKEUPEVENT," "VIN2A_D13_WAKEUPEVENT_0,VIN2A_D13_WAKEUPEVENT_1" newline bitfld.long 0x19C 24. "VIN2A_D13_WAKEUPENABLE," "VIN2A_D13_WAKEUPENABLE_0,VIN2A_D13_WAKEUPENABLE_1" newline rbitfld.long 0x19C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x19C 19. "VIN2A_D13_SLEWCONTROL," "VIN2A_D13_SLEWCONTROL_0,VIN2A_D13_SLEWCONTROL_1" newline bitfld.long 0x19C 18. "VIN2A_D13_INPUTENABLE," "VIN2A_D13_INPUTENABLE_0,VIN2A_D13_INPUTENABLE_1" newline bitfld.long 0x19C 17. "VIN2A_D13_PULLTYPESELECT," "VIN2A_D13_PULLTYPESELECT_0,VIN2A_D13_PULLTYPESELECT_1" newline bitfld.long 0x19C 16. "VIN2A_D13_PULLUDENABLE," "VIN2A_D13_PULLUDENABLE_0,VIN2A_D13_PULLUDENABLE_1" newline hexmask.long.byte 0x19C 9.--15. 1. "RESERVED," newline bitfld.long 0x19C 8. "VIN2A_D13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D13_MODESELECT_0,VIN2A_D13_MODESELECT_1" newline bitfld.long 0x19C 4.--7. "VIN2A_D13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x19C 0.--3. "VIN2A_D13_MUXMODE," "VIN2A_D13_MUXMODE_0,?,?,VIN2A_D13_MUXMODE_3,VIN2A_D13_MUXMODE_4,?,?,?,VIN2A_D13_MUXMODE_8,VIN2A_D13_MUXMODE_9,VIN2A_D13_MUXMODE_10,VIN2A_D13_MUXMODE_11,VIN2A_D13_MUXMODE_12,VIN2A_D13_MUXMODE_13,VIN2A_D13_MUXMODE_14,VIN2A_D13_MUXMODE_15" line.long 0x1A0 "CTRL_CORE_PAD_VIN2A_D14," rbitfld.long 0x1A0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1A0 25. "VIN2A_D14_WAKEUPEVENT," "VIN2A_D14_WAKEUPEVENT_0,VIN2A_D14_WAKEUPEVENT_1" newline bitfld.long 0x1A0 24. "VIN2A_D14_WAKEUPENABLE," "VIN2A_D14_WAKEUPENABLE_0,VIN2A_D14_WAKEUPENABLE_1" newline rbitfld.long 0x1A0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A0 19. "VIN2A_D14_SLEWCONTROL," "VIN2A_D14_SLEWCONTROL_0,VIN2A_D14_SLEWCONTROL_1" newline bitfld.long 0x1A0 18. "VIN2A_D14_INPUTENABLE," "VIN2A_D14_INPUTENABLE_0,VIN2A_D14_INPUTENABLE_1" newline bitfld.long 0x1A0 17. "VIN2A_D14_PULLTYPESELECT," "VIN2A_D14_PULLTYPESELECT_0,VIN2A_D14_PULLTYPESELECT_1" newline bitfld.long 0x1A0 16. "VIN2A_D14_PULLUDENABLE," "VIN2A_D14_PULLUDENABLE_0,VIN2A_D14_PULLUDENABLE_1" newline hexmask.long.byte 0x1A0 9.--15. 1. "RESERVED," newline bitfld.long 0x1A0 8. "VIN2A_D14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D14_MODESELECT_0,VIN2A_D14_MODESELECT_1" newline bitfld.long 0x1A0 4.--7. "VIN2A_D14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A0 0.--3. "VIN2A_D14_MUXMODE," "VIN2A_D14_MUXMODE_0,?,?,VIN2A_D14_MUXMODE_3,VIN2A_D14_MUXMODE_4,?,?,?,VIN2A_D14_MUXMODE_8,?,VIN2A_D14_MUXMODE_10,VIN2A_D14_MUXMODE_11,VIN2A_D14_MUXMODE_12,VIN2A_D14_MUXMODE_13,VIN2A_D14_MUXMODE_14,VIN2A_D14_MUXMODE_15" line.long 0x1A4 "CTRL_CORE_PAD_VIN2A_D15," rbitfld.long 0x1A4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1A4 25. "VIN2A_D15_WAKEUPEVENT," "VIN2A_D15_WAKEUPEVENT_0,VIN2A_D15_WAKEUPEVENT_1" newline bitfld.long 0x1A4 24. "VIN2A_D15_WAKEUPENABLE," "VIN2A_D15_WAKEUPENABLE_0,VIN2A_D15_WAKEUPENABLE_1" newline rbitfld.long 0x1A4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A4 19. "VIN2A_D15_SLEWCONTROL," "VIN2A_D15_SLEWCONTROL_0,VIN2A_D15_SLEWCONTROL_1" newline bitfld.long 0x1A4 18. "VIN2A_D15_INPUTENABLE," "VIN2A_D15_INPUTENABLE_0,VIN2A_D15_INPUTENABLE_1" newline bitfld.long 0x1A4 17. "VIN2A_D15_PULLTYPESELECT," "VIN2A_D15_PULLTYPESELECT_0,VIN2A_D15_PULLTYPESELECT_1" newline bitfld.long 0x1A4 16. "VIN2A_D15_PULLUDENABLE," "VIN2A_D15_PULLUDENABLE_0,VIN2A_D15_PULLUDENABLE_1" newline hexmask.long.byte 0x1A4 9.--15. 1. "RESERVED," newline bitfld.long 0x1A4 8. "VIN2A_D15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D15_MODESELECT_0,VIN2A_D15_MODESELECT_1" newline bitfld.long 0x1A4 4.--7. "VIN2A_D15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A4 0.--3. "VIN2A_D15_MUXMODE," "VIN2A_D15_MUXMODE_0,?,?,VIN2A_D15_MUXMODE_3,VIN2A_D15_MUXMODE_4,?,?,?,VIN2A_D15_MUXMODE_8,?,VIN2A_D15_MUXMODE_10,VIN2A_D15_MUXMODE_11,VIN2A_D15_MUXMODE_12,VIN2A_D15_MUXMODE_13,VIN2A_D15_MUXMODE_14,VIN2A_D15_MUXMODE_15" line.long 0x1A8 "CTRL_CORE_PAD_VIN2A_D16," rbitfld.long 0x1A8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1A8 25. "VIN2A_D16_WAKEUPEVENT," "VIN2A_D16_WAKEUPEVENT_0,VIN2A_D16_WAKEUPEVENT_1" newline bitfld.long 0x1A8 24. "VIN2A_D16_WAKEUPENABLE," "VIN2A_D16_WAKEUPENABLE_0,VIN2A_D16_WAKEUPENABLE_1" newline rbitfld.long 0x1A8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A8 19. "VIN2A_D16_SLEWCONTROL," "VIN2A_D16_SLEWCONTROL_0,VIN2A_D16_SLEWCONTROL_1" newline bitfld.long 0x1A8 18. "VIN2A_D16_INPUTENABLE," "VIN2A_D16_INPUTENABLE_0,VIN2A_D16_INPUTENABLE_1" newline bitfld.long 0x1A8 17. "VIN2A_D16_PULLTYPESELECT," "VIN2A_D16_PULLTYPESELECT_0,VIN2A_D16_PULLTYPESELECT_1" newline bitfld.long 0x1A8 16. "VIN2A_D16_PULLUDENABLE," "VIN2A_D16_PULLUDENABLE_0,VIN2A_D16_PULLUDENABLE_1" newline hexmask.long.byte 0x1A8 9.--15. 1. "RESERVED," newline bitfld.long 0x1A8 8. "VIN2A_D16_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D16_MODESELECT_0,VIN2A_D16_MODESELECT_1" newline bitfld.long 0x1A8 4.--7. "VIN2A_D16_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1A8 0.--3. "VIN2A_D16_MUXMODE," "VIN2A_D16_MUXMODE_0,?,VIN2A_D16_MUXMODE_2,VIN2A_D16_MUXMODE_3,VIN2A_D16_MUXMODE_4,?,VIN2A_D16_MUXMODE_6,?,VIN2A_D16_MUXMODE_8,?,VIN2A_D16_MUXMODE_10,VIN2A_D16_MUXMODE_11,VIN2A_D16_MUXMODE_12,VIN2A_D16_MUXMODE_13,VIN2A_D16_MUXMODE_14,VIN2A_D16_MUXMODE_15" line.long 0x1AC "CTRL_CORE_PAD_VIN2A_D17," rbitfld.long 0x1AC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1AC 25. "VIN2A_D17_WAKEUPEVENT," "VIN2A_D17_WAKEUPEVENT_0,VIN2A_D17_WAKEUPEVENT_1" newline bitfld.long 0x1AC 24. "VIN2A_D17_WAKEUPENABLE," "VIN2A_D17_WAKEUPENABLE_0,VIN2A_D17_WAKEUPENABLE_1" newline rbitfld.long 0x1AC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1AC 19. "VIN2A_D17_SLEWCONTROL," "VIN2A_D17_SLEWCONTROL_0,VIN2A_D17_SLEWCONTROL_1" newline bitfld.long 0x1AC 18. "VIN2A_D17_INPUTENABLE," "VIN2A_D17_INPUTENABLE_0,VIN2A_D17_INPUTENABLE_1" newline bitfld.long 0x1AC 17. "VIN2A_D17_PULLTYPESELECT," "VIN2A_D17_PULLTYPESELECT_0,VIN2A_D17_PULLTYPESELECT_1" newline bitfld.long 0x1AC 16. "VIN2A_D17_PULLUDENABLE," "VIN2A_D17_PULLUDENABLE_0,VIN2A_D17_PULLUDENABLE_1" newline hexmask.long.byte 0x1AC 9.--15. 1. "RESERVED," newline bitfld.long 0x1AC 8. "VIN2A_D17_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D17_MODESELECT_0,VIN2A_D17_MODESELECT_1" newline bitfld.long 0x1AC 4.--7. "VIN2A_D17_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1AC 0.--3. "VIN2A_D17_MUXMODE," "VIN2A_D17_MUXMODE_0,?,VIN2A_D17_MUXMODE_2,VIN2A_D17_MUXMODE_3,VIN2A_D17_MUXMODE_4,?,VIN2A_D17_MUXMODE_6,?,VIN2A_D17_MUXMODE_8,?,VIN2A_D17_MUXMODE_10,VIN2A_D17_MUXMODE_11,VIN2A_D17_MUXMODE_12,VIN2A_D17_MUXMODE_13,VIN2A_D17_MUXMODE_14,VIN2A_D17_MUXMODE_15" line.long 0x1B0 "CTRL_CORE_PAD_VIN2A_D18," rbitfld.long 0x1B0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1B0 25. "VIN2A_D18_WAKEUPEVENT," "VIN2A_D18_WAKEUPEVENT_0,VIN2A_D18_WAKEUPEVENT_1" newline bitfld.long 0x1B0 24. "VIN2A_D18_WAKEUPENABLE," "VIN2A_D18_WAKEUPENABLE_0,VIN2A_D18_WAKEUPENABLE_1" newline rbitfld.long 0x1B0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B0 19. "VIN2A_D18_SLEWCONTROL," "VIN2A_D18_SLEWCONTROL_0,VIN2A_D18_SLEWCONTROL_1" newline bitfld.long 0x1B0 18. "VIN2A_D18_INPUTENABLE," "VIN2A_D18_INPUTENABLE_0,VIN2A_D18_INPUTENABLE_1" newline bitfld.long 0x1B0 17. "VIN2A_D18_PULLTYPESELECT," "VIN2A_D18_PULLTYPESELECT_0,VIN2A_D18_PULLTYPESELECT_1" newline bitfld.long 0x1B0 16. "VIN2A_D18_PULLUDENABLE," "VIN2A_D18_PULLUDENABLE_0,VIN2A_D18_PULLUDENABLE_1" newline hexmask.long.byte 0x1B0 9.--15. 1. "RESERVED," newline bitfld.long 0x1B0 8. "VIN2A_D18_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D18_MODESELECT_0,VIN2A_D18_MODESELECT_1" newline bitfld.long 0x1B0 4.--7. "VIN2A_D18_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B0 0.--3. "VIN2A_D18_MUXMODE," "VIN2A_D18_MUXMODE_0,?,VIN2A_D18_MUXMODE_2,VIN2A_D18_MUXMODE_3,VIN2A_D18_MUXMODE_4,?,VIN2A_D18_MUXMODE_6,?,VIN2A_D18_MUXMODE_8,?,VIN2A_D18_MUXMODE_10,VIN2A_D18_MUXMODE_11,VIN2A_D18_MUXMODE_12,VIN2A_D18_MUXMODE_13,VIN2A_D18_MUXMODE_14,VIN2A_D18_MUXMODE_15" line.long 0x1B4 "CTRL_CORE_PAD_VIN2A_D19," rbitfld.long 0x1B4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1B4 25. "VIN2A_D19_WAKEUPEVENT," "VIN2A_D19_WAKEUPEVENT_0,VIN2A_D19_WAKEUPEVENT_1" newline bitfld.long 0x1B4 24. "VIN2A_D19_WAKEUPENABLE," "VIN2A_D19_WAKEUPENABLE_0,VIN2A_D19_WAKEUPENABLE_1" newline rbitfld.long 0x1B4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B4 19. "VIN2A_D19_SLEWCONTROL," "VIN2A_D19_SLEWCONTROL_0,VIN2A_D19_SLEWCONTROL_1" newline bitfld.long 0x1B4 18. "VIN2A_D19_INPUTENABLE," "VIN2A_D19_INPUTENABLE_0,VIN2A_D19_INPUTENABLE_1" newline bitfld.long 0x1B4 17. "VIN2A_D19_PULLTYPESELECT," "VIN2A_D19_PULLTYPESELECT_0,VIN2A_D19_PULLTYPESELECT_1" newline bitfld.long 0x1B4 16. "VIN2A_D19_PULLUDENABLE," "VIN2A_D19_PULLUDENABLE_0,VIN2A_D19_PULLUDENABLE_1" newline hexmask.long.byte 0x1B4 9.--15. 1. "RESERVED," newline bitfld.long 0x1B4 8. "VIN2A_D19_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D19_MODESELECT_0,VIN2A_D19_MODESELECT_1" newline bitfld.long 0x1B4 4.--7. "VIN2A_D19_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B4 0.--3. "VIN2A_D19_MUXMODE," "VIN2A_D19_MUXMODE_0,?,VIN2A_D19_MUXMODE_2,VIN2A_D19_MUXMODE_3,VIN2A_D19_MUXMODE_4,?,VIN2A_D19_MUXMODE_6,?,VIN2A_D19_MUXMODE_8,?,VIN2A_D19_MUXMODE_10,VIN2A_D19_MUXMODE_11,VIN2A_D19_MUXMODE_12,VIN2A_D19_MUXMODE_13,VIN2A_D19_MUXMODE_14,VIN2A_D19_MUXMODE_15" line.long 0x1B8 "CTRL_CORE_PAD_VIN2A_D20," rbitfld.long 0x1B8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1B8 25. "VIN2A_D20_WAKEUPEVENT," "VIN2A_D20_WAKEUPEVENT_0,VIN2A_D20_WAKEUPEVENT_1" newline bitfld.long 0x1B8 24. "VIN2A_D20_WAKEUPENABLE," "VIN2A_D20_WAKEUPENABLE_0,VIN2A_D20_WAKEUPENABLE_1" newline rbitfld.long 0x1B8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B8 19. "VIN2A_D20_SLEWCONTROL," "VIN2A_D20_SLEWCONTROL_0,VIN2A_D20_SLEWCONTROL_1" newline bitfld.long 0x1B8 18. "VIN2A_D20_INPUTENABLE," "VIN2A_D20_INPUTENABLE_0,VIN2A_D20_INPUTENABLE_1" newline bitfld.long 0x1B8 17. "VIN2A_D20_PULLTYPESELECT," "VIN2A_D20_PULLTYPESELECT_0,VIN2A_D20_PULLTYPESELECT_1" newline bitfld.long 0x1B8 16. "VIN2A_D20_PULLUDENABLE," "VIN2A_D20_PULLUDENABLE_0,VIN2A_D20_PULLUDENABLE_1" newline hexmask.long.byte 0x1B8 9.--15. 1. "RESERVED," newline bitfld.long 0x1B8 8. "VIN2A_D20_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D20_MODESELECT_0,VIN2A_D20_MODESELECT_1" newline bitfld.long 0x1B8 4.--7. "VIN2A_D20_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1B8 0.--3. "VIN2A_D20_MUXMODE," "VIN2A_D20_MUXMODE_0,?,VIN2A_D20_MUXMODE_2,VIN2A_D20_MUXMODE_3,VIN2A_D20_MUXMODE_4,VIN2A_D20_MUXMODE_5,VIN2A_D20_MUXMODE_6,?,VIN2A_D20_MUXMODE_8,?,VIN2A_D20_MUXMODE_10,VIN2A_D20_MUXMODE_11,VIN2A_D20_MUXMODE_12,VIN2A_D20_MUXMODE_13,VIN2A_D20_MUXMODE_14,VIN2A_D20_MUXMODE_15" line.long 0x1BC "CTRL_CORE_PAD_VIN2A_D21," rbitfld.long 0x1BC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1BC 25. "VIN2A_D21_WAKEUPEVENT," "VIN2A_D21_WAKEUPEVENT_0,VIN2A_D21_WAKEUPEVENT_1" newline bitfld.long 0x1BC 24. "VIN2A_D21_WAKEUPENABLE," "VIN2A_D21_WAKEUPENABLE_0,VIN2A_D21_WAKEUPENABLE_1" newline rbitfld.long 0x1BC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1BC 19. "VIN2A_D21_SLEWCONTROL," "VIN2A_D21_SLEWCONTROL_0,VIN2A_D21_SLEWCONTROL_1" newline bitfld.long 0x1BC 18. "VIN2A_D21_INPUTENABLE," "VIN2A_D21_INPUTENABLE_0,VIN2A_D21_INPUTENABLE_1" newline bitfld.long 0x1BC 17. "VIN2A_D21_PULLTYPESELECT," "VIN2A_D21_PULLTYPESELECT_0,VIN2A_D21_PULLTYPESELECT_1" newline bitfld.long 0x1BC 16. "VIN2A_D21_PULLUDENABLE," "VIN2A_D21_PULLUDENABLE_0,VIN2A_D21_PULLUDENABLE_1" newline hexmask.long.byte 0x1BC 9.--15. 1. "RESERVED," newline bitfld.long 0x1BC 8. "VIN2A_D21_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D21_MODESELECT_0,VIN2A_D21_MODESELECT_1" newline bitfld.long 0x1BC 4.--7. "VIN2A_D21_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1BC 0.--3. "VIN2A_D21_MUXMODE," "VIN2A_D21_MUXMODE_0,?,VIN2A_D21_MUXMODE_2,VIN2A_D21_MUXMODE_3,VIN2A_D21_MUXMODE_4,VIN2A_D21_MUXMODE_5,VIN2A_D21_MUXMODE_6,?,VIN2A_D21_MUXMODE_8,?,?,VIN2A_D21_MUXMODE_11,VIN2A_D21_MUXMODE_12,VIN2A_D21_MUXMODE_13,VIN2A_D21_MUXMODE_14,VIN2A_D21_MUXMODE_15" line.long 0x1C0 "CTRL_CORE_PAD_VIN2A_D22," rbitfld.long 0x1C0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C0 25. "VIN2A_D22_WAKEUPEVENT," "VIN2A_D22_WAKEUPEVENT_0,VIN2A_D22_WAKEUPEVENT_1" newline bitfld.long 0x1C0 24. "VIN2A_D22_WAKEUPENABLE," "VIN2A_D22_WAKEUPENABLE_0,VIN2A_D22_WAKEUPENABLE_1" newline rbitfld.long 0x1C0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C0 19. "VIN2A_D22_SLEWCONTROL," "VIN2A_D22_SLEWCONTROL_0,VIN2A_D22_SLEWCONTROL_1" newline bitfld.long 0x1C0 18. "VIN2A_D22_INPUTENABLE," "VIN2A_D22_INPUTENABLE_0,VIN2A_D22_INPUTENABLE_1" newline bitfld.long 0x1C0 17. "VIN2A_D22_PULLTYPESELECT," "VIN2A_D22_PULLTYPESELECT_0,VIN2A_D22_PULLTYPESELECT_1" newline bitfld.long 0x1C0 16. "VIN2A_D22_PULLUDENABLE," "VIN2A_D22_PULLUDENABLE_0,VIN2A_D22_PULLUDENABLE_1" newline hexmask.long.byte 0x1C0 9.--15. 1. "RESERVED," newline bitfld.long 0x1C0 8. "VIN2A_D22_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D22_MODESELECT_0,VIN2A_D22_MODESELECT_1" newline bitfld.long 0x1C0 4.--7. "VIN2A_D22_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C0 0.--3. "VIN2A_D22_MUXMODE," "VIN2A_D22_MUXMODE_0,?,VIN2A_D22_MUXMODE_2,VIN2A_D22_MUXMODE_3,VIN2A_D22_MUXMODE_4,VIN2A_D22_MUXMODE_5,VIN2A_D22_MUXMODE_6,?,VIN2A_D22_MUXMODE_8,?,?,VIN2A_D22_MUXMODE_11,VIN2A_D22_MUXMODE_12,VIN2A_D22_MUXMODE_13,VIN2A_D22_MUXMODE_14,VIN2A_D22_MUXMODE_15" line.long 0x1C4 "CTRL_CORE_PAD_VIN2A_D23," rbitfld.long 0x1C4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C4 25. "VIN2A_D23_WAKEUPEVENT," "VIN2A_D23_WAKEUPEVENT_0,VIN2A_D23_WAKEUPEVENT_1" newline bitfld.long 0x1C4 24. "VIN2A_D23_WAKEUPENABLE," "VIN2A_D23_WAKEUPENABLE_0,VIN2A_D23_WAKEUPENABLE_1" newline rbitfld.long 0x1C4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C4 19. "VIN2A_D23_SLEWCONTROL," "VIN2A_D23_SLEWCONTROL_0,VIN2A_D23_SLEWCONTROL_1" newline bitfld.long 0x1C4 18. "VIN2A_D23_INPUTENABLE," "VIN2A_D23_INPUTENABLE_0,VIN2A_D23_INPUTENABLE_1" newline bitfld.long 0x1C4 17. "VIN2A_D23_PULLTYPESELECT," "VIN2A_D23_PULLTYPESELECT_0,VIN2A_D23_PULLTYPESELECT_1" newline bitfld.long 0x1C4 16. "VIN2A_D23_PULLUDENABLE," "VIN2A_D23_PULLUDENABLE_0,VIN2A_D23_PULLUDENABLE_1" newline hexmask.long.byte 0x1C4 9.--15. 1. "RESERVED," newline bitfld.long 0x1C4 8. "VIN2A_D23_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VIN2A_D23_MODESELECT_0,VIN2A_D23_MODESELECT_1" newline bitfld.long 0x1C4 4.--7. "VIN2A_D23_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C4 0.--3. "VIN2A_D23_MUXMODE," "VIN2A_D23_MUXMODE_0,?,VIN2A_D23_MUXMODE_2,VIN2A_D23_MUXMODE_3,VIN2A_D23_MUXMODE_4,VIN2A_D23_MUXMODE_5,VIN2A_D23_MUXMODE_6,?,VIN2A_D23_MUXMODE_8,?,?,VIN2A_D23_MUXMODE_11,VIN2A_D23_MUXMODE_12,VIN2A_D23_MUXMODE_13,VIN2A_D23_MUXMODE_14,VIN2A_D23_MUXMODE_15" line.long 0x1C8 "CTRL_CORE_PAD_VOUT1_CLK," rbitfld.long 0x1C8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C8 25. "VOUT1_CLK_WAKEUPEVENT," "VOUT1_CLK_WAKEUPEVENT_0,VOUT1_CLK_WAKEUPEVENT_1" newline bitfld.long 0x1C8 24. "VOUT1_CLK_WAKEUPENABLE," "VOUT1_CLK_WAKEUPENABLE_0,VOUT1_CLK_WAKEUPENABLE_1" newline rbitfld.long 0x1C8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C8 19. "VOUT1_CLK_SLEWCONTROL," "VOUT1_CLK_SLEWCONTROL_0,VOUT1_CLK_SLEWCONTROL_1" newline bitfld.long 0x1C8 18. "VOUT1_CLK_INPUTENABLE," "VOUT1_CLK_INPUTENABLE_0,VOUT1_CLK_INPUTENABLE_1" newline bitfld.long 0x1C8 17. "VOUT1_CLK_PULLTYPESELECT," "VOUT1_CLK_PULLTYPESELECT_0,VOUT1_CLK_PULLTYPESELECT_1" newline bitfld.long 0x1C8 16. "VOUT1_CLK_PULLUDENABLE," "VOUT1_CLK_PULLUDENABLE_0,VOUT1_CLK_PULLUDENABLE_1" newline hexmask.long.byte 0x1C8 9.--15. 1. "RESERVED," newline bitfld.long 0x1C8 8. "VOUT1_CLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_CLK_MODESELECT_0,VOUT1_CLK_MODESELECT_1" newline bitfld.long 0x1C8 4.--7. "VOUT1_CLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C8 0.--3. "VOUT1_CLK_MUXMODE," "VOUT1_CLK_MUXMODE_0,?,?,VOUT1_CLK_MUXMODE_3,VOUT1_CLK_MUXMODE_4,?,?,?,VOUT1_CLK_MUXMODE_8,?,?,?,?,?,VOUT1_CLK_MUXMODE_14,VOUT1_CLK_MUXMODE_15" line.long 0x1CC "CTRL_CORE_PAD_VOUT1_DE," rbitfld.long 0x1CC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1CC 25. "VOUT1_DE_WAKEUPEVENT," "VOUT1_DE_WAKEUPEVENT_0,VOUT1_DE_WAKEUPEVENT_1" newline bitfld.long 0x1CC 24. "VOUT1_DE_WAKEUPENABLE," "VOUT1_DE_WAKEUPENABLE_0,VOUT1_DE_WAKEUPENABLE_1" newline rbitfld.long 0x1CC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1CC 19. "VOUT1_DE_SLEWCONTROL," "VOUT1_DE_SLEWCONTROL_0,VOUT1_DE_SLEWCONTROL_1" newline bitfld.long 0x1CC 18. "VOUT1_DE_INPUTENABLE," "VOUT1_DE_INPUTENABLE_0,VOUT1_DE_INPUTENABLE_1" newline bitfld.long 0x1CC 17. "VOUT1_DE_PULLTYPESELECT," "VOUT1_DE_PULLTYPESELECT_0,VOUT1_DE_PULLTYPESELECT_1" newline bitfld.long 0x1CC 16. "VOUT1_DE_PULLUDENABLE," "VOUT1_DE_PULLUDENABLE_0,VOUT1_DE_PULLUDENABLE_1" newline hexmask.long.byte 0x1CC 9.--15. 1. "RESERVED," newline bitfld.long 0x1CC 8. "VOUT1_DE_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_DE_MODESELECT_0,VOUT1_DE_MODESELECT_1" newline bitfld.long 0x1CC 4.--7. "VOUT1_DE_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1CC 0.--3. "VOUT1_DE_MUXMODE," "VOUT1_DE_MUXMODE_0,?,?,VOUT1_DE_MUXMODE_3,VOUT1_DE_MUXMODE_4,?,?,?,VOUT1_DE_MUXMODE_8,?,?,?,?,?,VOUT1_DE_MUXMODE_14,VOUT1_DE_MUXMODE_15" line.long 0x1D0 "CTRL_CORE_PAD_VOUT1_FLD," rbitfld.long 0x1D0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1D0 25. "VOUT1_FLD_WAKEUPEVENT," "VOUT1_FLD_WAKEUPEVENT_0,VOUT1_FLD_WAKEUPEVENT_1" newline bitfld.long 0x1D0 24. "VOUT1_FLD_WAKEUPENABLE," "VOUT1_FLD_WAKEUPENABLE_0,VOUT1_FLD_WAKEUPENABLE_1" newline rbitfld.long 0x1D0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D0 19. "VOUT1_FLD_SLEWCONTROL," "VOUT1_FLD_SLEWCONTROL_0,VOUT1_FLD_SLEWCONTROL_1" newline bitfld.long 0x1D0 18. "VOUT1_FLD_INPUTENABLE," "VOUT1_FLD_INPUTENABLE_0,VOUT1_FLD_INPUTENABLE_1" newline bitfld.long 0x1D0 17. "VOUT1_FLD_PULLTYPESELECT," "VOUT1_FLD_PULLTYPESELECT_0,VOUT1_FLD_PULLTYPESELECT_1" newline bitfld.long 0x1D0 16. "VOUT1_FLD_PULLUDENABLE," "VOUT1_FLD_PULLUDENABLE_0,VOUT1_FLD_PULLUDENABLE_1" newline hexmask.long.byte 0x1D0 9.--15. 1. "RESERVED," newline bitfld.long 0x1D0 8. "VOUT1_FLD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_FLD_MODESELECT_0,VOUT1_FLD_MODESELECT_1" newline bitfld.long 0x1D0 4.--7. "VOUT1_FLD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D0 0.--3. "VOUT1_FLD_MUXMODE," "VOUT1_FLD_MUXMODE_0,?,?,VOUT1_FLD_MUXMODE_3,VOUT1_FLD_MUXMODE_4,?,?,?,VOUT1_FLD_MUXMODE_8,?,?,?,?,?,VOUT1_FLD_MUXMODE_14,VOUT1_FLD_MUXMODE_15" line.long 0x1D4 "CTRL_CORE_PAD_VOUT1_HSYNC," rbitfld.long 0x1D4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1D4 25. "VOUT1_HSYNC_WAKEUPEVENT," "VOUT1_HSYNC_WAKEUPEVENT_0,VOUT1_HSYNC_WAKEUPEVENT_1" newline bitfld.long 0x1D4 24. "VOUT1_HSYNC_WAKEUPENABLE," "VOUT1_HSYNC_WAKEUPENABLE_0,VOUT1_HSYNC_WAKEUPENABLE_1" newline rbitfld.long 0x1D4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D4 19. "VOUT1_HSYNC_SLEWCONTROL," "VOUT1_HSYNC_SLEWCONTROL_0,VOUT1_HSYNC_SLEWCONTROL_1" newline bitfld.long 0x1D4 18. "VOUT1_HSYNC_INPUTENABLE," "VOUT1_HSYNC_INPUTENABLE_0,VOUT1_HSYNC_INPUTENABLE_1" newline bitfld.long 0x1D4 17. "VOUT1_HSYNC_PULLTYPESELECT," "VOUT1_HSYNC_PULLTYPESELECT_0,VOUT1_HSYNC_PULLTYPESELECT_1" newline bitfld.long 0x1D4 16. "VOUT1_HSYNC_PULLUDENABLE," "VOUT1_HSYNC_PULLUDENABLE_0,VOUT1_HSYNC_PULLUDENABLE_1" newline hexmask.long.byte 0x1D4 9.--15. 1. "RESERVED," newline bitfld.long 0x1D4 8. "VOUT1_HSYNC_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_HSYNC_MODESELECT_0,VOUT1_HSYNC_MODESELECT_1" newline bitfld.long 0x1D4 4.--7. "VOUT1_HSYNC_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D4 0.--3. "VOUT1_HSYNC_MUXMODE," "VOUT1_HSYNC_MUXMODE_0,?,?,VOUT1_HSYNC_MUXMODE_3,VOUT1_HSYNC_MUXMODE_4,?,?,?,VOUT1_HSYNC_MUXMODE_8,?,?,?,?,?,VOUT1_HSYNC_MUXMODE_14,VOUT1_HSYNC_MUXMODE_15" line.long 0x1D8 "CTRL_CORE_PAD_VOUT1_VSYNC," rbitfld.long 0x1D8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1D8 25. "VOUT1_VSYNC_WAKEUPEVENT," "VOUT1_VSYNC_WAKEUPEVENT_0,VOUT1_VSYNC_WAKEUPEVENT_1" newline bitfld.long 0x1D8 24. "VOUT1_VSYNC_WAKEUPENABLE," "VOUT1_VSYNC_WAKEUPENABLE_0,VOUT1_VSYNC_WAKEUPENABLE_1" newline rbitfld.long 0x1D8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D8 19. "VOUT1_VSYNC_SLEWCONTROL," "VOUT1_VSYNC_SLEWCONTROL_0,VOUT1_VSYNC_SLEWCONTROL_1" newline bitfld.long 0x1D8 18. "VOUT1_VSYNC_INPUTENABLE," "VOUT1_VSYNC_INPUTENABLE_0,VOUT1_VSYNC_INPUTENABLE_1" newline bitfld.long 0x1D8 17. "VOUT1_VSYNC_PULLTYPESELECT," "VOUT1_VSYNC_PULLTYPESELECT_0,VOUT1_VSYNC_PULLTYPESELECT_1" newline bitfld.long 0x1D8 16. "VOUT1_VSYNC_PULLUDENABLE," "VOUT1_VSYNC_PULLUDENABLE_0,VOUT1_VSYNC_PULLUDENABLE_1" newline hexmask.long.byte 0x1D8 9.--15. 1. "RESERVED," newline bitfld.long 0x1D8 8. "VOUT1_VSYNC_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_VSYNC_MODESELECT_0,VOUT1_VSYNC_MODESELECT_1" newline bitfld.long 0x1D8 4.--7. "VOUT1_VSYNC_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1D8 0.--3. "VOUT1_VSYNC_MUXMODE," "VOUT1_VSYNC_MUXMODE_0,?,?,VOUT1_VSYNC_MUXMODE_3,VOUT1_VSYNC_MUXMODE_4,?,?,?,VOUT1_VSYNC_MUXMODE_8,?,?,?,VOUT1_VSYNC_MUXMODE_12,VOUT1_VSYNC_MUXMODE_13,VOUT1_VSYNC_MUXMODE_14,VOUT1_VSYNC_MUXMODE_15" line.long 0x1DC "CTRL_CORE_PAD_VOUT1_D0," rbitfld.long 0x1DC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1DC 25. "VOUT1_D0_WAKEUPEVENT," "VOUT1_D0_WAKEUPEVENT_0,VOUT1_D0_WAKEUPEVENT_1" newline bitfld.long 0x1DC 24. "VOUT1_D0_WAKEUPENABLE," "VOUT1_D0_WAKEUPENABLE_0,VOUT1_D0_WAKEUPENABLE_1" newline rbitfld.long 0x1DC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1DC 19. "VOUT1_D0_SLEWCONTROL," "VOUT1_D0_SLEWCONTROL_0,VOUT1_D0_SLEWCONTROL_1" newline bitfld.long 0x1DC 18. "VOUT1_D0_INPUTENABLE," "VOUT1_D0_INPUTENABLE_0,VOUT1_D0_INPUTENABLE_1" newline bitfld.long 0x1DC 17. "VOUT1_D0_PULLTYPESELECT," "VOUT1_D0_PULLTYPESELECT_0,VOUT1_D0_PULLTYPESELECT_1" newline bitfld.long 0x1DC 16. "VOUT1_D0_PULLUDENABLE," "VOUT1_D0_PULLUDENABLE_0,VOUT1_D0_PULLUDENABLE_1" newline hexmask.long.byte 0x1DC 9.--15. 1. "RESERVED," newline bitfld.long 0x1DC 8. "VOUT1_D0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D0_MODESELECT_0,VOUT1_D0_MODESELECT_1" newline bitfld.long 0x1DC 4.--7. "VOUT1_D0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1DC 0.--3. "VOUT1_D0_MUXMODE," "VOUT1_D0_MUXMODE_0,?,VOUT1_D0_MUXMODE_2,VOUT1_D0_MUXMODE_3,VOUT1_D0_MUXMODE_4,?,?,?,VOUT1_D0_MUXMODE_8,?,VOUT1_D0_MUXMODE_10,?,VOUT1_D0_MUXMODE_12,VOUT1_D0_MUXMODE_13,VOUT1_D0_MUXMODE_14,VOUT1_D0_MUXMODE_15" line.long 0x1E0 "CTRL_CORE_PAD_VOUT1_D1," rbitfld.long 0x1E0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1E0 25. "VOUT1_D1_WAKEUPEVENT," "VOUT1_D1_WAKEUPEVENT_0,VOUT1_D1_WAKEUPEVENT_1" newline bitfld.long 0x1E0 24. "VOUT1_D1_WAKEUPENABLE," "VOUT1_D1_WAKEUPENABLE_0,VOUT1_D1_WAKEUPENABLE_1" newline rbitfld.long 0x1E0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E0 19. "VOUT1_D1_SLEWCONTROL," "VOUT1_D1_SLEWCONTROL_0,VOUT1_D1_SLEWCONTROL_1" newline bitfld.long 0x1E0 18. "VOUT1_D1_INPUTENABLE," "VOUT1_D1_INPUTENABLE_0,VOUT1_D1_INPUTENABLE_1" newline bitfld.long 0x1E0 17. "VOUT1_D1_PULLTYPESELECT," "VOUT1_D1_PULLTYPESELECT_0,VOUT1_D1_PULLTYPESELECT_1" newline bitfld.long 0x1E0 16. "VOUT1_D1_PULLUDENABLE," "VOUT1_D1_PULLUDENABLE_0,VOUT1_D1_PULLUDENABLE_1" newline hexmask.long.byte 0x1E0 9.--15. 1. "RESERVED," newline bitfld.long 0x1E0 8. "VOUT1_D1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D1_MODESELECT_0,VOUT1_D1_MODESELECT_1" newline bitfld.long 0x1E0 4.--7. "VOUT1_D1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E0 0.--3. "VOUT1_D1_MUXMODE," "VOUT1_D1_MUXMODE_0,?,VOUT1_D1_MUXMODE_2,VOUT1_D1_MUXMODE_3,VOUT1_D1_MUXMODE_4,?,?,?,?,?,VOUT1_D1_MUXMODE_10,?,VOUT1_D1_MUXMODE_12,VOUT1_D1_MUXMODE_13,VOUT1_D1_MUXMODE_14,VOUT1_D1_MUXMODE_15" line.long 0x1E4 "CTRL_CORE_PAD_VOUT1_D2," rbitfld.long 0x1E4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1E4 25. "VOUT1_D2_WAKEUPEVENT," "VOUT1_D2_WAKEUPEVENT_0,VOUT1_D2_WAKEUPEVENT_1" newline bitfld.long 0x1E4 24. "VOUT1_D2_WAKEUPENABLE," "VOUT1_D2_WAKEUPENABLE_0,VOUT1_D2_WAKEUPENABLE_1" newline rbitfld.long 0x1E4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E4 19. "VOUT1_D2_SLEWCONTROL," "VOUT1_D2_SLEWCONTROL_0,VOUT1_D2_SLEWCONTROL_1" newline bitfld.long 0x1E4 18. "VOUT1_D2_INPUTENABLE," "VOUT1_D2_INPUTENABLE_0,VOUT1_D2_INPUTENABLE_1" newline bitfld.long 0x1E4 17. "VOUT1_D2_PULLTYPESELECT," "VOUT1_D2_PULLTYPESELECT_0,VOUT1_D2_PULLTYPESELECT_1" newline bitfld.long 0x1E4 16. "VOUT1_D2_PULLUDENABLE," "VOUT1_D2_PULLUDENABLE_0,VOUT1_D2_PULLUDENABLE_1" newline hexmask.long.byte 0x1E4 9.--15. 1. "RESERVED," newline bitfld.long 0x1E4 8. "VOUT1_D2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D2_MODESELECT_0,VOUT1_D2_MODESELECT_1" newline bitfld.long 0x1E4 4.--7. "VOUT1_D2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E4 0.--3. "VOUT1_D2_MUXMODE," "VOUT1_D2_MUXMODE_0,?,VOUT1_D2_MUXMODE_2,VOUT1_D2_MUXMODE_3,VOUT1_D2_MUXMODE_4,VOUT1_D2_MUXMODE_5,VOUT1_D2_MUXMODE_6,VOUT1_D2_MUXMODE_7,?,?,VOUT1_D2_MUXMODE_10,?,VOUT1_D2_MUXMODE_12,VOUT1_D2_MUXMODE_13,VOUT1_D2_MUXMODE_14,VOUT1_D2_MUXMODE_15" line.long 0x1E8 "CTRL_CORE_PAD_VOUT1_D3," rbitfld.long 0x1E8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1E8 25. "VOUT1_D3_WAKEUPEVENT," "VOUT1_D3_WAKEUPEVENT_0,VOUT1_D3_WAKEUPEVENT_1" newline bitfld.long 0x1E8 24. "VOUT1_D3_WAKEUPENABLE," "VOUT1_D3_WAKEUPENABLE_0,VOUT1_D3_WAKEUPENABLE_1" newline rbitfld.long 0x1E8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E8 19. "VOUT1_D3_SLEWCONTROL," "VOUT1_D3_SLEWCONTROL_0,VOUT1_D3_SLEWCONTROL_1" newline bitfld.long 0x1E8 18. "VOUT1_D3_INPUTENABLE," "VOUT1_D3_INPUTENABLE_0,VOUT1_D3_INPUTENABLE_1" newline bitfld.long 0x1E8 17. "VOUT1_D3_PULLTYPESELECT," "VOUT1_D3_PULLTYPESELECT_0,VOUT1_D3_PULLTYPESELECT_1" newline bitfld.long 0x1E8 16. "VOUT1_D3_PULLUDENABLE," "VOUT1_D3_PULLUDENABLE_0,VOUT1_D3_PULLUDENABLE_1" newline hexmask.long.byte 0x1E8 9.--15. 1. "RESERVED," newline bitfld.long 0x1E8 8. "VOUT1_D3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D3_MODESELECT_0,VOUT1_D3_MODESELECT_1" newline bitfld.long 0x1E8 4.--7. "VOUT1_D3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1E8 0.--3. "VOUT1_D3_MUXMODE," "VOUT1_D3_MUXMODE_0,?,VOUT1_D3_MUXMODE_2,VOUT1_D3_MUXMODE_3,VOUT1_D3_MUXMODE_4,VOUT1_D3_MUXMODE_5,VOUT1_D3_MUXMODE_6,VOUT1_D3_MUXMODE_7,?,?,VOUT1_D3_MUXMODE_10,?,VOUT1_D3_MUXMODE_12,VOUT1_D3_MUXMODE_13,VOUT1_D3_MUXMODE_14,VOUT1_D3_MUXMODE_15" line.long 0x1EC "CTRL_CORE_PAD_VOUT1_D4," rbitfld.long 0x1EC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1EC 25. "VOUT1_D4_WAKEUPEVENT," "VOUT1_D4_WAKEUPEVENT_0,VOUT1_D4_WAKEUPEVENT_1" newline bitfld.long 0x1EC 24. "VOUT1_D4_WAKEUPENABLE," "VOUT1_D4_WAKEUPENABLE_0,VOUT1_D4_WAKEUPENABLE_1" newline rbitfld.long 0x1EC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1EC 19. "VOUT1_D4_SLEWCONTROL," "VOUT1_D4_SLEWCONTROL_0,VOUT1_D4_SLEWCONTROL_1" newline bitfld.long 0x1EC 18. "VOUT1_D4_INPUTENABLE," "VOUT1_D4_INPUTENABLE_0,VOUT1_D4_INPUTENABLE_1" newline bitfld.long 0x1EC 17. "VOUT1_D4_PULLTYPESELECT," "VOUT1_D4_PULLTYPESELECT_0,VOUT1_D4_PULLTYPESELECT_1" newline bitfld.long 0x1EC 16. "VOUT1_D4_PULLUDENABLE," "VOUT1_D4_PULLUDENABLE_0,VOUT1_D4_PULLUDENABLE_1" newline hexmask.long.byte 0x1EC 9.--15. 1. "RESERVED," newline bitfld.long 0x1EC 8. "VOUT1_D4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D4_MODESELECT_0,VOUT1_D4_MODESELECT_1" newline bitfld.long 0x1EC 4.--7. "VOUT1_D4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1EC 0.--3. "VOUT1_D4_MUXMODE," "VOUT1_D4_MUXMODE_0,?,VOUT1_D4_MUXMODE_2,VOUT1_D4_MUXMODE_3,VOUT1_D4_MUXMODE_4,VOUT1_D4_MUXMODE_5,VOUT1_D4_MUXMODE_6,?,?,?,VOUT1_D4_MUXMODE_10,?,VOUT1_D4_MUXMODE_12,VOUT1_D4_MUXMODE_13,VOUT1_D4_MUXMODE_14,VOUT1_D4_MUXMODE_15" line.long 0x1F0 "CTRL_CORE_PAD_VOUT1_D5," rbitfld.long 0x1F0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1F0 25. "VOUT1_D5_WAKEUPEVENT," "VOUT1_D5_WAKEUPEVENT_0,VOUT1_D5_WAKEUPEVENT_1" newline bitfld.long 0x1F0 24. "VOUT1_D5_WAKEUPENABLE," "VOUT1_D5_WAKEUPENABLE_0,VOUT1_D5_WAKEUPENABLE_1" newline rbitfld.long 0x1F0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F0 19. "VOUT1_D5_SLEWCONTROL," "VOUT1_D5_SLEWCONTROL_0,VOUT1_D5_SLEWCONTROL_1" newline bitfld.long 0x1F0 18. "VOUT1_D5_INPUTENABLE," "VOUT1_D5_INPUTENABLE_0,VOUT1_D5_INPUTENABLE_1" newline bitfld.long 0x1F0 17. "VOUT1_D5_PULLTYPESELECT," "VOUT1_D5_PULLTYPESELECT_0,VOUT1_D5_PULLTYPESELECT_1" newline bitfld.long 0x1F0 16. "VOUT1_D5_PULLUDENABLE," "VOUT1_D5_PULLUDENABLE_0,VOUT1_D5_PULLUDENABLE_1" newline hexmask.long.byte 0x1F0 9.--15. 1. "RESERVED," newline bitfld.long 0x1F0 8. "VOUT1_D5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D5_MODESELECT_0,VOUT1_D5_MODESELECT_1" newline bitfld.long 0x1F0 4.--7. "VOUT1_D5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F0 0.--3. "VOUT1_D5_MUXMODE," "VOUT1_D5_MUXMODE_0,?,VOUT1_D5_MUXMODE_2,VOUT1_D5_MUXMODE_3,VOUT1_D5_MUXMODE_4,VOUT1_D5_MUXMODE_5,VOUT1_D5_MUXMODE_6,?,?,?,VOUT1_D5_MUXMODE_10,?,VOUT1_D5_MUXMODE_12,VOUT1_D5_MUXMODE_13,VOUT1_D5_MUXMODE_14,VOUT1_D5_MUXMODE_15" line.long 0x1F4 "CTRL_CORE_PAD_VOUT1_D6," rbitfld.long 0x1F4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1F4 25. "VOUT1_D6_WAKEUPEVENT," "VOUT1_D6_WAKEUPEVENT_0,VOUT1_D6_WAKEUPEVENT_1" newline bitfld.long 0x1F4 24. "VOUT1_D6_WAKEUPENABLE," "VOUT1_D6_WAKEUPENABLE_0,VOUT1_D6_WAKEUPENABLE_1" newline rbitfld.long 0x1F4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F4 19. "VOUT1_D6_SLEWCONTROL," "VOUT1_D6_SLEWCONTROL_0,VOUT1_D6_SLEWCONTROL_1" newline bitfld.long 0x1F4 18. "VOUT1_D6_INPUTENABLE," "VOUT1_D6_INPUTENABLE_0,VOUT1_D6_INPUTENABLE_1" newline bitfld.long 0x1F4 17. "VOUT1_D6_PULLTYPESELECT," "VOUT1_D6_PULLTYPESELECT_0,VOUT1_D6_PULLTYPESELECT_1" newline bitfld.long 0x1F4 16. "VOUT1_D6_PULLUDENABLE," "VOUT1_D6_PULLUDENABLE_0,VOUT1_D6_PULLUDENABLE_1" newline hexmask.long.byte 0x1F4 9.--15. 1. "RESERVED," newline bitfld.long 0x1F4 8. "VOUT1_D6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D6_MODESELECT_0,VOUT1_D6_MODESELECT_1" newline bitfld.long 0x1F4 4.--7. "VOUT1_D6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F4 0.--3. "VOUT1_D6_MUXMODE," "VOUT1_D6_MUXMODE_0,?,VOUT1_D6_MUXMODE_2,VOUT1_D6_MUXMODE_3,VOUT1_D6_MUXMODE_4,VOUT1_D6_MUXMODE_5,VOUT1_D6_MUXMODE_6,?,?,?,VOUT1_D6_MUXMODE_10,?,VOUT1_D6_MUXMODE_12,VOUT1_D6_MUXMODE_13,VOUT1_D6_MUXMODE_14,VOUT1_D6_MUXMODE_15" line.long 0x1F8 "CTRL_CORE_PAD_VOUT1_D7," rbitfld.long 0x1F8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1F8 25. "VOUT1_D7_WAKEUPEVENT," "VOUT1_D7_WAKEUPEVENT_0,VOUT1_D7_WAKEUPEVENT_1" newline bitfld.long 0x1F8 24. "VOUT1_D7_WAKEUPENABLE," "VOUT1_D7_WAKEUPENABLE_0,VOUT1_D7_WAKEUPENABLE_1" newline rbitfld.long 0x1F8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F8 19. "VOUT1_D7_SLEWCONTROL," "VOUT1_D7_SLEWCONTROL_0,VOUT1_D7_SLEWCONTROL_1" newline bitfld.long 0x1F8 18. "VOUT1_D7_INPUTENABLE," "VOUT1_D7_INPUTENABLE_0,VOUT1_D7_INPUTENABLE_1" newline bitfld.long 0x1F8 17. "VOUT1_D7_PULLTYPESELECT," "VOUT1_D7_PULLTYPESELECT_0,VOUT1_D7_PULLTYPESELECT_1" newline bitfld.long 0x1F8 16. "VOUT1_D7_PULLUDENABLE," "VOUT1_D7_PULLUDENABLE_0,VOUT1_D7_PULLUDENABLE_1" newline hexmask.long.byte 0x1F8 9.--15. 1. "RESERVED," newline bitfld.long 0x1F8 8. "VOUT1_D7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D7_MODESELECT_0,VOUT1_D7_MODESELECT_1" newline bitfld.long 0x1F8 4.--7. "VOUT1_D7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1F8 0.--3. "VOUT1_D7_MUXMODE," "VOUT1_D7_MUXMODE_0,?,VOUT1_D7_MUXMODE_2,VOUT1_D7_MUXMODE_3,VOUT1_D7_MUXMODE_4,?,?,?,?,?,VOUT1_D7_MUXMODE_10,?,VOUT1_D7_MUXMODE_12,VOUT1_D7_MUXMODE_13,VOUT1_D7_MUXMODE_14,VOUT1_D7_MUXMODE_15" line.long 0x1FC "CTRL_CORE_PAD_VOUT1_D8," rbitfld.long 0x1FC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1FC 25. "VOUT1_D8_WAKEUPEVENT," "VOUT1_D8_WAKEUPEVENT_0,VOUT1_D8_WAKEUPEVENT_1" newline bitfld.long 0x1FC 24. "VOUT1_D8_WAKEUPENABLE," "VOUT1_D8_WAKEUPENABLE_0,VOUT1_D8_WAKEUPENABLE_1" newline rbitfld.long 0x1FC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1FC 19. "VOUT1_D8_SLEWCONTROL," "VOUT1_D8_SLEWCONTROL_0,VOUT1_D8_SLEWCONTROL_1" newline bitfld.long 0x1FC 18. "VOUT1_D8_INPUTENABLE," "VOUT1_D8_INPUTENABLE_0,VOUT1_D8_INPUTENABLE_1" newline bitfld.long 0x1FC 17. "VOUT1_D8_PULLTYPESELECT," "VOUT1_D8_PULLTYPESELECT_0,VOUT1_D8_PULLTYPESELECT_1" newline bitfld.long 0x1FC 16. "VOUT1_D8_PULLUDENABLE," "VOUT1_D8_PULLUDENABLE_0,VOUT1_D8_PULLUDENABLE_1" newline hexmask.long.byte 0x1FC 9.--15. 1. "RESERVED," newline bitfld.long 0x1FC 8. "VOUT1_D8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D8_MODESELECT_0,VOUT1_D8_MODESELECT_1" newline bitfld.long 0x1FC 4.--7. "VOUT1_D8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1FC 0.--3. "VOUT1_D8_MUXMODE," "VOUT1_D8_MUXMODE_0,?,VOUT1_D8_MUXMODE_2,VOUT1_D8_MUXMODE_3,VOUT1_D8_MUXMODE_4,?,?,?,?,?,VOUT1_D8_MUXMODE_10,?,VOUT1_D8_MUXMODE_12,VOUT1_D8_MUXMODE_13,VOUT1_D8_MUXMODE_14,VOUT1_D8_MUXMODE_15" line.long 0x200 "CTRL_CORE_PAD_VOUT1_D9," rbitfld.long 0x200 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x200 25. "VOUT1_D9_WAKEUPEVENT," "VOUT1_D9_WAKEUPEVENT_0,VOUT1_D9_WAKEUPEVENT_1" newline bitfld.long 0x200 24. "VOUT1_D9_WAKEUPENABLE," "VOUT1_D9_WAKEUPENABLE_0,VOUT1_D9_WAKEUPENABLE_1" newline rbitfld.long 0x200 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x200 19. "VOUT1_D9_SLEWCONTROL," "VOUT1_D9_SLEWCONTROL_0,VOUT1_D9_SLEWCONTROL_1" newline bitfld.long 0x200 18. "VOUT1_D9_INPUTENABLE," "VOUT1_D9_INPUTENABLE_0,VOUT1_D9_INPUTENABLE_1" newline bitfld.long 0x200 17. "VOUT1_D9_PULLTYPESELECT," "VOUT1_D9_PULLTYPESELECT_0,VOUT1_D9_PULLTYPESELECT_1" newline bitfld.long 0x200 16. "VOUT1_D9_PULLUDENABLE," "VOUT1_D9_PULLUDENABLE_0,VOUT1_D9_PULLUDENABLE_1" newline hexmask.long.byte 0x200 9.--15. 1. "RESERVED," newline bitfld.long 0x200 8. "VOUT1_D9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D9_MODESELECT_0,VOUT1_D9_MODESELECT_1" newline bitfld.long 0x200 4.--7. "VOUT1_D9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x200 0.--3. "VOUT1_D9_MUXMODE," "VOUT1_D9_MUXMODE_0,?,VOUT1_D9_MUXMODE_2,VOUT1_D9_MUXMODE_3,VOUT1_D9_MUXMODE_4,?,?,?,?,?,VOUT1_D9_MUXMODE_10,?,VOUT1_D9_MUXMODE_12,VOUT1_D9_MUXMODE_13,VOUT1_D9_MUXMODE_14,VOUT1_D9_MUXMODE_15" line.long 0x204 "CTRL_CORE_PAD_VOUT1_D10," rbitfld.long 0x204 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x204 25. "VOUT1_D10_WAKEUPEVENT," "VOUT1_D10_WAKEUPEVENT_0,VOUT1_D10_WAKEUPEVENT_1" newline bitfld.long 0x204 24. "VOUT1_D10_WAKEUPENABLE," "VOUT1_D10_WAKEUPENABLE_0,VOUT1_D10_WAKEUPENABLE_1" newline rbitfld.long 0x204 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x204 19. "VOUT1_D10_SLEWCONTROL," "VOUT1_D10_SLEWCONTROL_0,VOUT1_D10_SLEWCONTROL_1" newline bitfld.long 0x204 18. "VOUT1_D10_INPUTENABLE," "VOUT1_D10_INPUTENABLE_0,VOUT1_D10_INPUTENABLE_1" newline bitfld.long 0x204 17. "VOUT1_D10_PULLTYPESELECT," "VOUT1_D10_PULLTYPESELECT_0,VOUT1_D10_PULLTYPESELECT_1" newline bitfld.long 0x204 16. "VOUT1_D10_PULLUDENABLE," "VOUT1_D10_PULLUDENABLE_0,VOUT1_D10_PULLUDENABLE_1" newline hexmask.long.byte 0x204 9.--15. 1. "RESERVED," newline bitfld.long 0x204 8. "VOUT1_D10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D10_MODESELECT_0,VOUT1_D10_MODESELECT_1" newline bitfld.long 0x204 4.--7. "VOUT1_D10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x204 0.--3. "VOUT1_D10_MUXMODE," "VOUT1_D10_MUXMODE_0,?,VOUT1_D10_MUXMODE_2,VOUT1_D10_MUXMODE_3,VOUT1_D10_MUXMODE_4,VOUT1_D10_MUXMODE_5,VOUT1_D10_MUXMODE_6,VOUT1_D10_MUXMODE_7,?,?,VOUT1_D10_MUXMODE_10,?,VOUT1_D10_MUXMODE_12,VOUT1_D10_MUXMODE_13,VOUT1_D10_MUXMODE_14,VOUT1_D10_MUXMODE_15" line.long 0x208 "CTRL_CORE_PAD_VOUT1_D11," rbitfld.long 0x208 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x208 25. "VOUT1_D11_WAKEUPEVENT," "VOUT1_D11_WAKEUPEVENT_0,VOUT1_D11_WAKEUPEVENT_1" newline bitfld.long 0x208 24. "VOUT1_D11_WAKEUPENABLE," "VOUT1_D11_WAKEUPENABLE_0,VOUT1_D11_WAKEUPENABLE_1" newline rbitfld.long 0x208 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x208 19. "VOUT1_D11_SLEWCONTROL," "VOUT1_D11_SLEWCONTROL_0,VOUT1_D11_SLEWCONTROL_1" newline bitfld.long 0x208 18. "VOUT1_D11_INPUTENABLE," "VOUT1_D11_INPUTENABLE_0,VOUT1_D11_INPUTENABLE_1" newline bitfld.long 0x208 17. "VOUT1_D11_PULLTYPESELECT," "VOUT1_D11_PULLTYPESELECT_0,VOUT1_D11_PULLTYPESELECT_1" newline bitfld.long 0x208 16. "VOUT1_D11_PULLUDENABLE," "VOUT1_D11_PULLUDENABLE_0,VOUT1_D11_PULLUDENABLE_1" newline hexmask.long.byte 0x208 9.--15. 1. "RESERVED," newline bitfld.long 0x208 8. "VOUT1_D11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D11_MODESELECT_0,VOUT1_D11_MODESELECT_1" newline bitfld.long 0x208 4.--7. "VOUT1_D11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x208 0.--3. "VOUT1_D11_MUXMODE," "VOUT1_D11_MUXMODE_0,?,VOUT1_D11_MUXMODE_2,VOUT1_D11_MUXMODE_3,VOUT1_D11_MUXMODE_4,VOUT1_D11_MUXMODE_5,VOUT1_D11_MUXMODE_6,VOUT1_D11_MUXMODE_7,?,?,VOUT1_D11_MUXMODE_10,?,VOUT1_D11_MUXMODE_12,VOUT1_D11_MUXMODE_13,VOUT1_D11_MUXMODE_14,VOUT1_D11_MUXMODE_15" line.long 0x20C "CTRL_CORE_PAD_VOUT1_D12," rbitfld.long 0x20C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x20C 25. "VOUT1_D12_WAKEUPEVENT," "VOUT1_D12_WAKEUPEVENT_0,VOUT1_D12_WAKEUPEVENT_1" newline bitfld.long 0x20C 24. "VOUT1_D12_WAKEUPENABLE," "VOUT1_D12_WAKEUPENABLE_0,VOUT1_D12_WAKEUPENABLE_1" newline rbitfld.long 0x20C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20C 19. "VOUT1_D12_SLEWCONTROL," "VOUT1_D12_SLEWCONTROL_0,VOUT1_D12_SLEWCONTROL_1" newline bitfld.long 0x20C 18. "VOUT1_D12_INPUTENABLE," "VOUT1_D12_INPUTENABLE_0,VOUT1_D12_INPUTENABLE_1" newline bitfld.long 0x20C 17. "VOUT1_D12_PULLTYPESELECT," "VOUT1_D12_PULLTYPESELECT_0,VOUT1_D12_PULLTYPESELECT_1" newline bitfld.long 0x20C 16. "VOUT1_D12_PULLUDENABLE," "VOUT1_D12_PULLUDENABLE_0,VOUT1_D12_PULLUDENABLE_1" newline hexmask.long.byte 0x20C 9.--15. 1. "RESERVED," newline bitfld.long 0x20C 8. "VOUT1_D12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D12_MODESELECT_0,VOUT1_D12_MODESELECT_1" newline bitfld.long 0x20C 4.--7. "VOUT1_D12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20C 0.--3. "VOUT1_D12_MUXMODE," "VOUT1_D12_MUXMODE_0,?,VOUT1_D12_MUXMODE_2,VOUT1_D12_MUXMODE_3,VOUT1_D12_MUXMODE_4,VOUT1_D12_MUXMODE_5,VOUT1_D12_MUXMODE_6,?,?,?,VOUT1_D12_MUXMODE_10,?,VOUT1_D12_MUXMODE_12,VOUT1_D12_MUXMODE_13,VOUT1_D12_MUXMODE_14,VOUT1_D12_MUXMODE_15" line.long 0x210 "CTRL_CORE_PAD_VOUT1_D13," rbitfld.long 0x210 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x210 25. "VOUT1_D13_WAKEUPEVENT," "VOUT1_D13_WAKEUPEVENT_0,VOUT1_D13_WAKEUPEVENT_1" newline bitfld.long 0x210 24. "VOUT1_D13_WAKEUPENABLE," "VOUT1_D13_WAKEUPENABLE_0,VOUT1_D13_WAKEUPENABLE_1" newline rbitfld.long 0x210 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x210 19. "VOUT1_D13_SLEWCONTROL," "VOUT1_D13_SLEWCONTROL_0,VOUT1_D13_SLEWCONTROL_1" newline bitfld.long 0x210 18. "VOUT1_D13_INPUTENABLE," "VOUT1_D13_INPUTENABLE_0,VOUT1_D13_INPUTENABLE_1" newline bitfld.long 0x210 17. "VOUT1_D13_PULLTYPESELECT," "VOUT1_D13_PULLTYPESELECT_0,VOUT1_D13_PULLTYPESELECT_1" newline bitfld.long 0x210 16. "VOUT1_D13_PULLUDENABLE," "VOUT1_D13_PULLUDENABLE_0,VOUT1_D13_PULLUDENABLE_1" newline hexmask.long.byte 0x210 9.--15. 1. "RESERVED," newline bitfld.long 0x210 8. "VOUT1_D13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D13_MODESELECT_0,VOUT1_D13_MODESELECT_1" newline bitfld.long 0x210 4.--7. "VOUT1_D13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x210 0.--3. "VOUT1_D13_MUXMODE," "VOUT1_D13_MUXMODE_0,?,VOUT1_D13_MUXMODE_2,VOUT1_D13_MUXMODE_3,VOUT1_D13_MUXMODE_4,VOUT1_D13_MUXMODE_5,VOUT1_D13_MUXMODE_6,?,?,?,VOUT1_D13_MUXMODE_10,?,VOUT1_D13_MUXMODE_12,VOUT1_D13_MUXMODE_13,VOUT1_D13_MUXMODE_14,VOUT1_D13_MUXMODE_15" line.long 0x214 "CTRL_CORE_PAD_VOUT1_D14," rbitfld.long 0x214 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x214 25. "VOUT1_D14_WAKEUPEVENT," "VOUT1_D14_WAKEUPEVENT_0,VOUT1_D14_WAKEUPEVENT_1" newline bitfld.long 0x214 24. "VOUT1_D14_WAKEUPENABLE," "VOUT1_D14_WAKEUPENABLE_0,VOUT1_D14_WAKEUPENABLE_1" newline rbitfld.long 0x214 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x214 19. "VOUT1_D14_SLEWCONTROL," "VOUT1_D14_SLEWCONTROL_0,VOUT1_D14_SLEWCONTROL_1" newline bitfld.long 0x214 18. "VOUT1_D14_INPUTENABLE," "VOUT1_D14_INPUTENABLE_0,VOUT1_D14_INPUTENABLE_1" newline bitfld.long 0x214 17. "VOUT1_D14_PULLTYPESELECT," "VOUT1_D14_PULLTYPESELECT_0,VOUT1_D14_PULLTYPESELECT_1" newline bitfld.long 0x214 16. "VOUT1_D14_PULLUDENABLE," "VOUT1_D14_PULLUDENABLE_0,VOUT1_D14_PULLUDENABLE_1" newline hexmask.long.byte 0x214 9.--15. 1. "RESERVED," newline bitfld.long 0x214 8. "VOUT1_D14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D14_MODESELECT_0,VOUT1_D14_MODESELECT_1" newline bitfld.long 0x214 4.--7. "VOUT1_D14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x214 0.--3. "VOUT1_D14_MUXMODE," "VOUT1_D14_MUXMODE_0,?,VOUT1_D14_MUXMODE_2,VOUT1_D14_MUXMODE_3,VOUT1_D14_MUXMODE_4,VOUT1_D14_MUXMODE_5,VOUT1_D14_MUXMODE_6,?,?,?,VOUT1_D14_MUXMODE_10,?,VOUT1_D14_MUXMODE_12,VOUT1_D14_MUXMODE_13,VOUT1_D14_MUXMODE_14,VOUT1_D14_MUXMODE_15" line.long 0x218 "CTRL_CORE_PAD_VOUT1_D15," rbitfld.long 0x218 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x218 25. "VOUT1_D15_WAKEUPEVENT," "VOUT1_D15_WAKEUPEVENT_0,VOUT1_D15_WAKEUPEVENT_1" newline bitfld.long 0x218 24. "VOUT1_D15_WAKEUPENABLE," "VOUT1_D15_WAKEUPENABLE_0,VOUT1_D15_WAKEUPENABLE_1" newline rbitfld.long 0x218 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x218 19. "VOUT1_D15_SLEWCONTROL," "VOUT1_D15_SLEWCONTROL_0,VOUT1_D15_SLEWCONTROL_1" newline bitfld.long 0x218 18. "VOUT1_D15_INPUTENABLE," "VOUT1_D15_INPUTENABLE_0,VOUT1_D15_INPUTENABLE_1" newline bitfld.long 0x218 17. "VOUT1_D15_PULLTYPESELECT," "VOUT1_D15_PULLTYPESELECT_0,VOUT1_D15_PULLTYPESELECT_1" newline bitfld.long 0x218 16. "VOUT1_D15_PULLUDENABLE," "VOUT1_D15_PULLUDENABLE_0,VOUT1_D15_PULLUDENABLE_1" newline hexmask.long.byte 0x218 9.--15. 1. "RESERVED," newline bitfld.long 0x218 8. "VOUT1_D15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D15_MODESELECT_0,VOUT1_D15_MODESELECT_1" newline bitfld.long 0x218 4.--7. "VOUT1_D15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x218 0.--3. "VOUT1_D15_MUXMODE," "VOUT1_D15_MUXMODE_0,?,VOUT1_D15_MUXMODE_2,VOUT1_D15_MUXMODE_3,VOUT1_D15_MUXMODE_4,VOUT1_D15_MUXMODE_5,VOUT1_D15_MUXMODE_6,?,?,?,VOUT1_D15_MUXMODE_10,?,VOUT1_D15_MUXMODE_12,VOUT1_D15_MUXMODE_13,VOUT1_D15_MUXMODE_14,VOUT1_D15_MUXMODE_15" line.long 0x21C "CTRL_CORE_PAD_VOUT1_D16," rbitfld.long 0x21C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x21C 25. "VOUT1_D16_WAKEUPEVENT," "VOUT1_D16_WAKEUPEVENT_0,VOUT1_D16_WAKEUPEVENT_1" newline bitfld.long 0x21C 24. "VOUT1_D16_WAKEUPENABLE," "VOUT1_D16_WAKEUPENABLE_0,VOUT1_D16_WAKEUPENABLE_1" newline rbitfld.long 0x21C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x21C 19. "VOUT1_D16_SLEWCONTROL," "VOUT1_D16_SLEWCONTROL_0,VOUT1_D16_SLEWCONTROL_1" newline bitfld.long 0x21C 18. "VOUT1_D16_INPUTENABLE," "VOUT1_D16_INPUTENABLE_0,VOUT1_D16_INPUTENABLE_1" newline bitfld.long 0x21C 17. "VOUT1_D16_PULLTYPESELECT," "VOUT1_D16_PULLTYPESELECT_0,VOUT1_D16_PULLTYPESELECT_1" newline bitfld.long 0x21C 16. "VOUT1_D16_PULLUDENABLE," "VOUT1_D16_PULLUDENABLE_0,VOUT1_D16_PULLUDENABLE_1" newline hexmask.long.byte 0x21C 9.--15. 1. "RESERVED," newline bitfld.long 0x21C 8. "VOUT1_D16_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D16_MODESELECT_0,VOUT1_D16_MODESELECT_1" newline bitfld.long 0x21C 4.--7. "VOUT1_D16_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x21C 0.--3. "VOUT1_D16_MUXMODE," "VOUT1_D16_MUXMODE_0,?,VOUT1_D16_MUXMODE_2,VOUT1_D16_MUXMODE_3,VOUT1_D16_MUXMODE_4,?,?,?,?,?,VOUT1_D16_MUXMODE_10,VOUT1_D16_MUXMODE_11,VOUT1_D16_MUXMODE_12,VOUT1_D16_MUXMODE_13,VOUT1_D16_MUXMODE_14,VOUT1_D16_MUXMODE_15" line.long 0x220 "CTRL_CORE_PAD_VOUT1_D17," rbitfld.long 0x220 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x220 25. "VOUT1_D17_WAKEUPEVENT," "VOUT1_D17_WAKEUPEVENT_0,VOUT1_D17_WAKEUPEVENT_1" newline bitfld.long 0x220 24. "VOUT1_D17_WAKEUPENABLE," "VOUT1_D17_WAKEUPENABLE_0,VOUT1_D17_WAKEUPENABLE_1" newline rbitfld.long 0x220 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x220 19. "VOUT1_D17_SLEWCONTROL," "VOUT1_D17_SLEWCONTROL_0,VOUT1_D17_SLEWCONTROL_1" newline bitfld.long 0x220 18. "VOUT1_D17_INPUTENABLE," "VOUT1_D17_INPUTENABLE_0,VOUT1_D17_INPUTENABLE_1" newline bitfld.long 0x220 17. "VOUT1_D17_PULLTYPESELECT," "VOUT1_D17_PULLTYPESELECT_0,VOUT1_D17_PULLTYPESELECT_1" newline bitfld.long 0x220 16. "VOUT1_D17_PULLUDENABLE," "VOUT1_D17_PULLUDENABLE_0,VOUT1_D17_PULLUDENABLE_1" newline hexmask.long.byte 0x220 9.--15. 1. "RESERVED," newline bitfld.long 0x220 8. "VOUT1_D17_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D17_MODESELECT_0,VOUT1_D17_MODESELECT_1" newline bitfld.long 0x220 4.--7. "VOUT1_D17_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x220 0.--3. "VOUT1_D17_MUXMODE," "VOUT1_D17_MUXMODE_0,?,VOUT1_D17_MUXMODE_2,VOUT1_D17_MUXMODE_3,VOUT1_D17_MUXMODE_4,?,?,?,?,?,VOUT1_D17_MUXMODE_10,VOUT1_D17_MUXMODE_11,VOUT1_D17_MUXMODE_12,VOUT1_D17_MUXMODE_13,VOUT1_D17_MUXMODE_14,VOUT1_D17_MUXMODE_15" line.long 0x224 "CTRL_CORE_PAD_VOUT1_D18," rbitfld.long 0x224 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x224 25. "VOUT1_D18_WAKEUPEVENT," "VOUT1_D18_WAKEUPEVENT_0,VOUT1_D18_WAKEUPEVENT_1" newline bitfld.long 0x224 24. "VOUT1_D18_WAKEUPENABLE," "VOUT1_D18_WAKEUPENABLE_0,VOUT1_D18_WAKEUPENABLE_1" newline rbitfld.long 0x224 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x224 19. "VOUT1_D18_SLEWCONTROL," "VOUT1_D18_SLEWCONTROL_0,VOUT1_D18_SLEWCONTROL_1" newline bitfld.long 0x224 18. "VOUT1_D18_INPUTENABLE," "VOUT1_D18_INPUTENABLE_0,VOUT1_D18_INPUTENABLE_1" newline bitfld.long 0x224 17. "VOUT1_D18_PULLTYPESELECT," "VOUT1_D18_PULLTYPESELECT_0,VOUT1_D18_PULLTYPESELECT_1" newline bitfld.long 0x224 16. "VOUT1_D18_PULLUDENABLE," "VOUT1_D18_PULLUDENABLE_0,VOUT1_D18_PULLUDENABLE_1" newline hexmask.long.byte 0x224 9.--15. 1. "RESERVED," newline bitfld.long 0x224 8. "VOUT1_D18_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D18_MODESELECT_0,VOUT1_D18_MODESELECT_1" newline bitfld.long 0x224 4.--7. "VOUT1_D18_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x224 0.--3. "VOUT1_D18_MUXMODE," "VOUT1_D18_MUXMODE_0,?,VOUT1_D18_MUXMODE_2,VOUT1_D18_MUXMODE_3,VOUT1_D18_MUXMODE_4,VOUT1_D18_MUXMODE_5,VOUT1_D18_MUXMODE_6,?,?,?,VOUT1_D18_MUXMODE_10,VOUT1_D18_MUXMODE_11,VOUT1_D18_MUXMODE_12,VOUT1_D18_MUXMODE_13,VOUT1_D18_MUXMODE_14,VOUT1_D18_MUXMODE_15" line.long 0x228 "CTRL_CORE_PAD_VOUT1_D19," rbitfld.long 0x228 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x228 25. "VOUT1_D19_WAKEUPEVENT," "VOUT1_D19_WAKEUPEVENT_0,VOUT1_D19_WAKEUPEVENT_1" newline bitfld.long 0x228 24. "VOUT1_D19_WAKEUPENABLE," "VOUT1_D19_WAKEUPENABLE_0,VOUT1_D19_WAKEUPENABLE_1" newline rbitfld.long 0x228 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x228 19. "VOUT1_D19_SLEWCONTROL," "VOUT1_D19_SLEWCONTROL_0,VOUT1_D19_SLEWCONTROL_1" newline bitfld.long 0x228 18. "VOUT1_D19_INPUTENABLE," "VOUT1_D19_INPUTENABLE_0,VOUT1_D19_INPUTENABLE_1" newline bitfld.long 0x228 17. "VOUT1_D19_PULLTYPESELECT," "VOUT1_D19_PULLTYPESELECT_0,VOUT1_D19_PULLTYPESELECT_1" newline bitfld.long 0x228 16. "VOUT1_D19_PULLUDENABLE," "VOUT1_D19_PULLUDENABLE_0,VOUT1_D19_PULLUDENABLE_1" newline hexmask.long.byte 0x228 9.--15. 1. "RESERVED," newline bitfld.long 0x228 8. "VOUT1_D19_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D19_MODESELECT_0,VOUT1_D19_MODESELECT_1" newline bitfld.long 0x228 4.--7. "VOUT1_D19_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x228 0.--3. "VOUT1_D19_MUXMODE," "VOUT1_D19_MUXMODE_0,?,VOUT1_D19_MUXMODE_2,VOUT1_D19_MUXMODE_3,VOUT1_D19_MUXMODE_4,VOUT1_D19_MUXMODE_5,VOUT1_D19_MUXMODE_6,?,?,?,VOUT1_D19_MUXMODE_10,VOUT1_D19_MUXMODE_11,VOUT1_D19_MUXMODE_12,VOUT1_D19_MUXMODE_13,VOUT1_D19_MUXMODE_14,VOUT1_D19_MUXMODE_15" line.long 0x22C "CTRL_CORE_PAD_VOUT1_D20," rbitfld.long 0x22C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x22C 25. "VOUT1_D20_WAKEUPEVENT," "VOUT1_D20_WAKEUPEVENT_0,VOUT1_D20_WAKEUPEVENT_1" newline bitfld.long 0x22C 24. "VOUT1_D20_WAKEUPENABLE," "VOUT1_D20_WAKEUPENABLE_0,VOUT1_D20_WAKEUPENABLE_1" newline rbitfld.long 0x22C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x22C 19. "VOUT1_D20_SLEWCONTROL," "VOUT1_D20_SLEWCONTROL_0,VOUT1_D20_SLEWCONTROL_1" newline bitfld.long 0x22C 18. "VOUT1_D20_INPUTENABLE," "VOUT1_D20_INPUTENABLE_0,VOUT1_D20_INPUTENABLE_1" newline bitfld.long 0x22C 17. "VOUT1_D20_PULLTYPESELECT," "VOUT1_D20_PULLTYPESELECT_0,VOUT1_D20_PULLTYPESELECT_1" newline bitfld.long 0x22C 16. "VOUT1_D20_PULLUDENABLE," "VOUT1_D20_PULLUDENABLE_0,VOUT1_D20_PULLUDENABLE_1" newline hexmask.long.byte 0x22C 9.--15. 1. "RESERVED," newline bitfld.long 0x22C 8. "VOUT1_D20_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D20_MODESELECT_0,VOUT1_D20_MODESELECT_1" newline bitfld.long 0x22C 4.--7. "VOUT1_D20_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x22C 0.--3. "VOUT1_D20_MUXMODE," "VOUT1_D20_MUXMODE_0,?,VOUT1_D20_MUXMODE_2,VOUT1_D20_MUXMODE_3,VOUT1_D20_MUXMODE_4,VOUT1_D20_MUXMODE_5,VOUT1_D20_MUXMODE_6,?,?,?,VOUT1_D20_MUXMODE_10,VOUT1_D20_MUXMODE_11,VOUT1_D20_MUXMODE_12,VOUT1_D20_MUXMODE_13,VOUT1_D20_MUXMODE_14,VOUT1_D20_MUXMODE_15" line.long 0x230 "CTRL_CORE_PAD_VOUT1_D21," rbitfld.long 0x230 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x230 25. "VOUT1_D21_WAKEUPEVENT," "VOUT1_D21_WAKEUPEVENT_0,VOUT1_D21_WAKEUPEVENT_1" newline bitfld.long 0x230 24. "VOUT1_D21_WAKEUPENABLE," "VOUT1_D21_WAKEUPENABLE_0,VOUT1_D21_WAKEUPENABLE_1" newline rbitfld.long 0x230 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x230 19. "VOUT1_D21_SLEWCONTROL," "VOUT1_D21_SLEWCONTROL_0,VOUT1_D21_SLEWCONTROL_1" newline bitfld.long 0x230 18. "VOUT1_D21_INPUTENABLE," "VOUT1_D21_INPUTENABLE_0,VOUT1_D21_INPUTENABLE_1" newline bitfld.long 0x230 17. "VOUT1_D21_PULLTYPESELECT," "VOUT1_D21_PULLTYPESELECT_0,VOUT1_D21_PULLTYPESELECT_1" newline bitfld.long 0x230 16. "VOUT1_D21_PULLUDENABLE," "VOUT1_D21_PULLUDENABLE_0,VOUT1_D21_PULLUDENABLE_1" newline hexmask.long.byte 0x230 9.--15. 1. "RESERVED," newline bitfld.long 0x230 8. "VOUT1_D21_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D21_MODESELECT_0,VOUT1_D21_MODESELECT_1" newline bitfld.long 0x230 4.--7. "VOUT1_D21_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x230 0.--3. "VOUT1_D21_MUXMODE," "VOUT1_D21_MUXMODE_0,?,VOUT1_D21_MUXMODE_2,VOUT1_D21_MUXMODE_3,VOUT1_D21_MUXMODE_4,VOUT1_D21_MUXMODE_5,VOUT1_D21_MUXMODE_6,?,?,?,VOUT1_D21_MUXMODE_10,VOUT1_D21_MUXMODE_11,VOUT1_D21_MUXMODE_12,VOUT1_D21_MUXMODE_13,VOUT1_D21_MUXMODE_14,VOUT1_D21_MUXMODE_15" line.long 0x234 "CTRL_CORE_PAD_VOUT1_D22," rbitfld.long 0x234 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x234 25. "VOUT1_D22_WAKEUPEVENT," "VOUT1_D22_WAKEUPEVENT_0,VOUT1_D22_WAKEUPEVENT_1" newline bitfld.long 0x234 24. "VOUT1_D22_WAKEUPENABLE," "VOUT1_D22_WAKEUPENABLE_0,VOUT1_D22_WAKEUPENABLE_1" newline rbitfld.long 0x234 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x234 19. "VOUT1_D22_SLEWCONTROL," "VOUT1_D22_SLEWCONTROL_0,VOUT1_D22_SLEWCONTROL_1" newline bitfld.long 0x234 18. "VOUT1_D22_INPUTENABLE," "VOUT1_D22_INPUTENABLE_0,VOUT1_D22_INPUTENABLE_1" newline bitfld.long 0x234 17. "VOUT1_D22_PULLTYPESELECT," "VOUT1_D22_PULLTYPESELECT_0,VOUT1_D22_PULLTYPESELECT_1" newline bitfld.long 0x234 16. "VOUT1_D22_PULLUDENABLE," "VOUT1_D22_PULLUDENABLE_0,VOUT1_D22_PULLUDENABLE_1" newline hexmask.long.byte 0x234 9.--15. 1. "RESERVED," newline bitfld.long 0x234 8. "VOUT1_D22_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D22_MODESELECT_0,VOUT1_D22_MODESELECT_1" newline bitfld.long 0x234 4.--7. "VOUT1_D22_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x234 0.--3. "VOUT1_D22_MUXMODE," "VOUT1_D22_MUXMODE_0,?,VOUT1_D22_MUXMODE_2,VOUT1_D22_MUXMODE_3,VOUT1_D22_MUXMODE_4,VOUT1_D22_MUXMODE_5,VOUT1_D22_MUXMODE_6,?,?,?,VOUT1_D22_MUXMODE_10,VOUT1_D22_MUXMODE_11,VOUT1_D22_MUXMODE_12,VOUT1_D22_MUXMODE_13,VOUT1_D22_MUXMODE_14,VOUT1_D22_MUXMODE_15" line.long 0x238 "CTRL_CORE_PAD_VOUT1_D23," rbitfld.long 0x238 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x238 25. "VOUT1_D23_WAKEUPEVENT," "VOUT1_D23_WAKEUPEVENT_0,VOUT1_D23_WAKEUPEVENT_1" newline bitfld.long 0x238 24. "VOUT1_D23_WAKEUPENABLE," "VOUT1_D23_WAKEUPENABLE_0,VOUT1_D23_WAKEUPENABLE_1" newline rbitfld.long 0x238 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x238 19. "VOUT1_D23_SLEWCONTROL," "VOUT1_D23_SLEWCONTROL_0,VOUT1_D23_SLEWCONTROL_1" newline bitfld.long 0x238 18. "VOUT1_D23_INPUTENABLE," "VOUT1_D23_INPUTENABLE_0,VOUT1_D23_INPUTENABLE_1" newline bitfld.long 0x238 17. "VOUT1_D23_PULLTYPESELECT," "VOUT1_D23_PULLTYPESELECT_0,VOUT1_D23_PULLTYPESELECT_1" newline bitfld.long 0x238 16. "VOUT1_D23_PULLUDENABLE," "VOUT1_D23_PULLUDENABLE_0,VOUT1_D23_PULLUDENABLE_1" newline hexmask.long.byte 0x238 9.--15. 1. "RESERVED," newline bitfld.long 0x238 8. "VOUT1_D23_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "VOUT1_D23_MODESELECT_0,VOUT1_D23_MODESELECT_1" newline bitfld.long 0x238 4.--7. "VOUT1_D23_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x238 0.--3. "VOUT1_D23_MUXMODE," "VOUT1_D23_MUXMODE_0,?,VOUT1_D23_MUXMODE_2,VOUT1_D23_MUXMODE_3,VOUT1_D23_MUXMODE_4,?,?,?,VOUT1_D23_MUXMODE_8,?,VOUT1_D23_MUXMODE_10,VOUT1_D23_MUXMODE_11,VOUT1_D23_MUXMODE_12,VOUT1_D23_MUXMODE_13,VOUT1_D23_MUXMODE_14,VOUT1_D23_MUXMODE_15" line.long 0x23C "CTRL_CORE_PAD_MDIO_MCLK," rbitfld.long 0x23C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x23C 25. "MDIO_MCLK_WAKEUPEVENT," "MDIO_MCLK_WAKEUPEVENT_0,MDIO_MCLK_WAKEUPEVENT_1" newline bitfld.long 0x23C 24. "MDIO_MCLK_WAKEUPENABLE," "MDIO_MCLK_WAKEUPENABLE_0,MDIO_MCLK_WAKEUPENABLE_1" newline rbitfld.long 0x23C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x23C 19. "MDIO_MCLK_SLEWCONTROL," "MDIO_MCLK_SLEWCONTROL_0,MDIO_MCLK_SLEWCONTROL_1" newline bitfld.long 0x23C 18. "MDIO_MCLK_INPUTENABLE," "MDIO_MCLK_INPUTENABLE_0,MDIO_MCLK_INPUTENABLE_1" newline bitfld.long 0x23C 17. "MDIO_MCLK_PULLTYPESELECT," "MDIO_MCLK_PULLTYPESELECT_0,MDIO_MCLK_PULLTYPESELECT_1" newline bitfld.long 0x23C 16. "MDIO_MCLK_PULLUDENABLE," "MDIO_MCLK_PULLUDENABLE_0,MDIO_MCLK_PULLUDENABLE_1" newline hexmask.long.byte 0x23C 9.--15. 1. "RESERVED," newline bitfld.long 0x23C 8. "MDIO_MCLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MDIO_MCLK_MODESELECT_0,MDIO_MCLK_MODESELECT_1" newline bitfld.long 0x23C 4.--7. "MDIO_MCLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x23C 0.--3. "MDIO_MCLK_MUXMODE," "MDIO_MCLK_MUXMODE_0,MDIO_MCLK_MUXMODE_1,?,MDIO_MCLK_MUXMODE_3,MDIO_MCLK_MUXMODE_4,MDIO_MCLK_MUXMODE_5,?,?,?,?,?,MDIO_MCLK_MUXMODE_11,MDIO_MCLK_MUXMODE_12,MDIO_MCLK_MUXMODE_13,MDIO_MCLK_MUXMODE_14,MDIO_MCLK_MUXMODE_15" line.long 0x240 "CTRL_CORE_PAD_MDIO_D," rbitfld.long 0x240 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x240 25. "MDIO_D_WAKEUPEVENT," "MDIO_D_WAKEUPEVENT_0,MDIO_D_WAKEUPEVENT_1" newline bitfld.long 0x240 24. "MDIO_D_WAKEUPENABLE," "MDIO_D_WAKEUPENABLE_0,MDIO_D_WAKEUPENABLE_1" newline rbitfld.long 0x240 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x240 19. "MDIO_D_SLEWCONTROL," "MDIO_D_SLEWCONTROL_0,MDIO_D_SLEWCONTROL_1" newline bitfld.long 0x240 18. "MDIO_D_INPUTENABLE," "MDIO_D_INPUTENABLE_0,MDIO_D_INPUTENABLE_1" newline bitfld.long 0x240 17. "MDIO_D_PULLTYPESELECT," "MDIO_D_PULLTYPESELECT_0,MDIO_D_PULLTYPESELECT_1" newline bitfld.long 0x240 16. "MDIO_D_PULLUDENABLE," "MDIO_D_PULLUDENABLE_0,MDIO_D_PULLUDENABLE_1" newline hexmask.long.byte 0x240 9.--15. 1. "RESERVED," newline bitfld.long 0x240 8. "MDIO_D_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MDIO_D_MODESELECT_0,MDIO_D_MODESELECT_1" newline bitfld.long 0x240 4.--7. "MDIO_D_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x240 0.--3. "MDIO_D_MUXMODE," "MDIO_D_MUXMODE_0,MDIO_D_MUXMODE_1,?,MDIO_D_MUXMODE_3,MDIO_D_MUXMODE_4,MDIO_D_MUXMODE_5,?,?,?,?,?,MDIO_D_MUXMODE_11,MDIO_D_MUXMODE_12,MDIO_D_MUXMODE_13,MDIO_D_MUXMODE_14,MDIO_D_MUXMODE_15" line.long 0x244 "CTRL_CORE_PAD_RMII_MHZ_50_CLK," rbitfld.long 0x244 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x244 25. "RMII_MHZ_50_CLK_WAKEUPEVENT," "RMII_MHZ_50_CLK_WAKEUPEVENT_0,RMII_MHZ_50_CLK_WAKEUPEVENT_1" newline bitfld.long 0x244 24. "RMII_MHZ_50_CLK_WAKEUPENABLE," "RMII_MHZ_50_CLK_WAKEUPENABLE_0,RMII_MHZ_50_CLK_WAKEUPENABLE_1" newline rbitfld.long 0x244 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x244 19. "RMII_MHZ_50_CLK_SLEWCONTROL," "RMII_MHZ_50_CLK_SLEWCONTROL_0,RMII_MHZ_50_CLK_SLEWCONTROL_1" newline bitfld.long 0x244 18. "RMII_MHZ_50_CLK_INPUTENABLE," "RMII_MHZ_50_CLK_INPUTENABLE_0,RMII_MHZ_50_CLK_INPUTENABLE_1" newline bitfld.long 0x244 17. "RMII_MHZ_50_CLK_PULLTYPESELECT," "RMII_MHZ_50_CLK_PULLTYPESELECT_0,RMII_MHZ_50_CLK_PULLTYPESELECT_1" newline bitfld.long 0x244 16. "RMII_MHZ_50_CLK_PULLUDENABLE," "RMII_MHZ_50_CLK_PULLUDENABLE_0,RMII_MHZ_50_CLK_PULLUDENABLE_1" newline hexmask.long.byte 0x244 9.--15. 1. "RESERVED," newline bitfld.long 0x244 8. "RMII_MHZ_50_CLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RMII_MHZ_50_CLK_MODESELECT_0,RMII_MHZ_50_CLK_MODESELECT_1" newline bitfld.long 0x244 4.--7. "RMII_MHZ_50_CLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x244 0.--3. "RMII_MHZ_50_CLK_MUXMODE," "RMII_MHZ_50_CLK_MUXMODE_0,?,?,?,RMII_MHZ_50_CLK_MUXMODE_4,?,?,?,?,?,?,?,RMII_MHZ_50_CLK_MUXMODE_12,RMII_MHZ_50_CLK_MUXMODE_13,RMII_MHZ_50_CLK_MUXMODE_14,RMII_MHZ_50_CLK_MUXMODE_15" line.long 0x248 "CTRL_CORE_PAD_UART3_RXD," rbitfld.long 0x248 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x248 25. "UART3_RXD_WAKEUPEVENT," "UART3_RXD_WAKEUPEVENT_0,UART3_RXD_WAKEUPEVENT_1" newline bitfld.long 0x248 24. "UART3_RXD_WAKEUPENABLE," "UART3_RXD_WAKEUPENABLE_0,UART3_RXD_WAKEUPENABLE_1" newline rbitfld.long 0x248 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x248 19. "UART3_RXD_SLEWCONTROL," "UART3_RXD_SLEWCONTROL_0,UART3_RXD_SLEWCONTROL_1" newline bitfld.long 0x248 18. "UART3_RXD_INPUTENABLE," "UART3_RXD_INPUTENABLE_0,UART3_RXD_INPUTENABLE_1" newline bitfld.long 0x248 17. "UART3_RXD_PULLTYPESELECT," "UART3_RXD_PULLTYPESELECT_0,UART3_RXD_PULLTYPESELECT_1" newline bitfld.long 0x248 16. "UART3_RXD_PULLUDENABLE," "UART3_RXD_PULLUDENABLE_0,UART3_RXD_PULLUDENABLE_1" newline hexmask.long.byte 0x248 9.--15. 1. "RESERVED," newline bitfld.long 0x248 8. "UART3_RXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART3_RXD_MODESELECT_0,UART3_RXD_MODESELECT_1" newline bitfld.long 0x248 4.--7. "UART3_RXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x248 0.--3. "UART3_RXD_MUXMODE," "UART3_RXD_MUXMODE_0,?,UART3_RXD_MUXMODE_2,UART3_RXD_MUXMODE_3,UART3_RXD_MUXMODE_4,UART3_RXD_MUXMODE_5,?,UART3_RXD_MUXMODE_7,?,?,?,UART3_RXD_MUXMODE_11,UART3_RXD_MUXMODE_12,UART3_RXD_MUXMODE_13,UART3_RXD_MUXMODE_14,UART3_RXD_MUXMODE_15" line.long 0x24C "CTRL_CORE_PAD_UART3_TXD," rbitfld.long 0x24C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x24C 25. "UART3_TXD_WAKEUPEVENT," "UART3_TXD_WAKEUPEVENT_0,UART3_TXD_WAKEUPEVENT_1" newline bitfld.long 0x24C 24. "UART3_TXD_WAKEUPENABLE," "UART3_TXD_WAKEUPENABLE_0,UART3_TXD_WAKEUPENABLE_1" newline rbitfld.long 0x24C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24C 19. "UART3_TXD_SLEWCONTROL," "UART3_TXD_SLEWCONTROL_0,UART3_TXD_SLEWCONTROL_1" newline bitfld.long 0x24C 18. "UART3_TXD_INPUTENABLE," "UART3_TXD_INPUTENABLE_0,UART3_TXD_INPUTENABLE_1" newline bitfld.long 0x24C 17. "UART3_TXD_PULLTYPESELECT," "UART3_TXD_PULLTYPESELECT_0,UART3_TXD_PULLTYPESELECT_1" newline bitfld.long 0x24C 16. "UART3_TXD_PULLUDENABLE," "UART3_TXD_PULLUDENABLE_0,UART3_TXD_PULLUDENABLE_1" newline hexmask.long.byte 0x24C 9.--15. 1. "RESERVED," newline bitfld.long 0x24C 8. "UART3_TXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART3_TXD_MODESELECT_0,UART3_TXD_MODESELECT_1" newline bitfld.long 0x24C 4.--7. "UART3_TXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24C 0.--3. "UART3_TXD_MUXMODE," "UART3_TXD_MUXMODE_0,?,UART3_TXD_MUXMODE_2,UART3_TXD_MUXMODE_3,UART3_TXD_MUXMODE_4,UART3_TXD_MUXMODE_5,?,UART3_TXD_MUXMODE_7,UART3_TXD_MUXMODE_8,?,?,UART3_TXD_MUXMODE_11,UART3_TXD_MUXMODE_12,UART3_TXD_MUXMODE_13,UART3_TXD_MUXMODE_14,UART3_TXD_MUXMODE_15" line.long 0x250 "CTRL_CORE_PAD_RGMII0_TXC," rbitfld.long 0x250 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x250 25. "RGMII0_TXC_WAKEUPEVENT," "RGMII0_TXC_WAKEUPEVENT_0,RGMII0_TXC_WAKEUPEVENT_1" newline bitfld.long 0x250 24. "RGMII0_TXC_WAKEUPENABLE," "RGMII0_TXC_WAKEUPENABLE_0,RGMII0_TXC_WAKEUPENABLE_1" newline rbitfld.long 0x250 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x250 19. "RGMII0_TXC_SLEWCONTROL," "RGMII0_TXC_SLEWCONTROL_0,RGMII0_TXC_SLEWCONTROL_1" newline bitfld.long 0x250 18. "RGMII0_TXC_INPUTENABLE," "RGMII0_TXC_INPUTENABLE_0,RGMII0_TXC_INPUTENABLE_1" newline bitfld.long 0x250 17. "RGMII0_TXC_PULLTYPESELECT," "RGMII0_TXC_PULLTYPESELECT_0,RGMII0_TXC_PULLTYPESELECT_1" newline bitfld.long 0x250 16. "RGMII0_TXC_PULLUDENABLE," "RGMII0_TXC_PULLUDENABLE_0,RGMII0_TXC_PULLUDENABLE_1" newline hexmask.long.byte 0x250 9.--15. 1. "RESERVED," newline bitfld.long 0x250 8. "RGMII0_TXC_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXC_MODESELECT_0,RGMII0_TXC_MODESELECT_1" newline bitfld.long 0x250 4.--7. "RGMII0_TXC_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x250 0.--3. "RGMII0_TXC_MUXMODE," "RGMII0_TXC_MUXMODE_0,RGMII0_TXC_MUXMODE_1,RGMII0_TXC_MUXMODE_2,RGMII0_TXC_MUXMODE_3,RGMII0_TXC_MUXMODE_4,RGMII0_TXC_MUXMODE_5,?,RGMII0_TXC_MUXMODE_7,RGMII0_TXC_MUXMODE_8,?,?,RGMII0_TXC_MUXMODE_11,RGMII0_TXC_MUXMODE_12,RGMII0_TXC_MUXMODE_13,RGMII0_TXC_MUXMODE_14,RGMII0_TXC_MUXMODE_15" line.long 0x254 "CTRL_CORE_PAD_RGMII0_TXCTL," rbitfld.long 0x254 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x254 25. "RGMII0_TXCTL_WAKEUPEVENT," "RGMII0_TXCTL_WAKEUPEVENT_0,RGMII0_TXCTL_WAKEUPEVENT_1" newline bitfld.long 0x254 24. "RGMII0_TXCTL_WAKEUPENABLE," "RGMII0_TXCTL_WAKEUPENABLE_0,RGMII0_TXCTL_WAKEUPENABLE_1" newline rbitfld.long 0x254 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x254 19. "RGMII0_TXCTL_SLEWCONTROL," "RGMII0_TXCTL_SLEWCONTROL_0,RGMII0_TXCTL_SLEWCONTROL_1" newline bitfld.long 0x254 18. "RGMII0_TXCTL_INPUTENABLE," "RGMII0_TXCTL_INPUTENABLE_0,RGMII0_TXCTL_INPUTENABLE_1" newline bitfld.long 0x254 17. "RGMII0_TXCTL_PULLTYPESELECT," "RGMII0_TXCTL_PULLTYPESELECT_0,RGMII0_TXCTL_PULLTYPESELECT_1" newline bitfld.long 0x254 16. "RGMII0_TXCTL_PULLUDENABLE," "RGMII0_TXCTL_PULLUDENABLE_0,RGMII0_TXCTL_PULLUDENABLE_1" newline hexmask.long.byte 0x254 9.--15. 1. "RESERVED," newline bitfld.long 0x254 8. "RGMII0_TXCTL_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXCTL_MODESELECT_0,RGMII0_TXCTL_MODESELECT_1" newline bitfld.long 0x254 4.--7. "RGMII0_TXCTL_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x254 0.--3. "RGMII0_TXCTL_MUXMODE," "RGMII0_TXCTL_MUXMODE_0,RGMII0_TXCTL_MUXMODE_1,RGMII0_TXCTL_MUXMODE_2,RGMII0_TXCTL_MUXMODE_3,RGMII0_TXCTL_MUXMODE_4,RGMII0_TXCTL_MUXMODE_5,?,RGMII0_TXCTL_MUXMODE_7,RGMII0_TXCTL_MUXMODE_8,?,?,RGMII0_TXCTL_MUXMODE_11,RGMII0_TXCTL_MUXMODE_12,RGMII0_TXCTL_MUXMODE_13,RGMII0_TXCTL_MUXMODE_14,RGMII0_TXCTL_MUXMODE_15" line.long 0x258 "CTRL_CORE_PAD_RGMII0_TXD3," rbitfld.long 0x258 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x258 25. "RGMII0_TXD3_WAKEUPEVENT," "RGMII0_TXD3_WAKEUPEVENT_0,RGMII0_TXD3_WAKEUPEVENT_1" newline bitfld.long 0x258 24. "RGMII0_TXD3_WAKEUPENABLE," "RGMII0_TXD3_WAKEUPENABLE_0,RGMII0_TXD3_WAKEUPENABLE_1" newline rbitfld.long 0x258 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x258 19. "RGMII0_TXD3_SLEWCONTROL," "RGMII0_TXD3_SLEWCONTROL_0,RGMII0_TXD3_SLEWCONTROL_1" newline bitfld.long 0x258 18. "RGMII0_TXD3_INPUTENABLE," "RGMII0_TXD3_INPUTENABLE_0,RGMII0_TXD3_INPUTENABLE_1" newline bitfld.long 0x258 17. "RGMII0_TXD3_PULLTYPESELECT," "RGMII0_TXD3_PULLTYPESELECT_0,RGMII0_TXD3_PULLTYPESELECT_1" newline bitfld.long 0x258 16. "RGMII0_TXD3_PULLUDENABLE," "RGMII0_TXD3_PULLUDENABLE_0,RGMII0_TXD3_PULLUDENABLE_1" newline hexmask.long.byte 0x258 9.--15. 1. "RESERVED," newline bitfld.long 0x258 8. "RGMII0_TXD3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXD3_MODESELECT_0,RGMII0_TXD3_MODESELECT_1" newline bitfld.long 0x258 4.--7. "RGMII0_TXD3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x258 0.--3. "RGMII0_TXD3_MUXMODE," "RGMII0_TXD3_MUXMODE_0,RGMII0_TXD3_MUXMODE_1,?,RGMII0_TXD3_MUXMODE_3,RGMII0_TXD3_MUXMODE_4,RGMII0_TXD3_MUXMODE_5,?,RGMII0_TXD3_MUXMODE_7,RGMII0_TXD3_MUXMODE_8,?,?,RGMII0_TXD3_MUXMODE_11,RGMII0_TXD3_MUXMODE_12,RGMII0_TXD3_MUXMODE_13,RGMII0_TXD3_MUXMODE_14,RGMII0_TXD3_MUXMODE_15" line.long 0x25C "CTRL_CORE_PAD_RGMII0_TXD2," rbitfld.long 0x25C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x25C 25. "RGMII0_TXD2_WAKEUPEVENT," "RGMII0_TXD2_WAKEUPEVENT_0,RGMII0_TXD2_WAKEUPEVENT_1" newline bitfld.long 0x25C 24. "RGMII0_TXD2_WAKEUPENABLE," "RGMII0_TXD2_WAKEUPENABLE_0,RGMII0_TXD2_WAKEUPENABLE_1" newline rbitfld.long 0x25C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x25C 19. "RGMII0_TXD2_SLEWCONTROL," "RGMII0_TXD2_SLEWCONTROL_0,RGMII0_TXD2_SLEWCONTROL_1" newline bitfld.long 0x25C 18. "RGMII0_TXD2_INPUTENABLE," "RGMII0_TXD2_INPUTENABLE_0,RGMII0_TXD2_INPUTENABLE_1" newline bitfld.long 0x25C 17. "RGMII0_TXD2_PULLTYPESELECT," "RGMII0_TXD2_PULLTYPESELECT_0,RGMII0_TXD2_PULLTYPESELECT_1" newline bitfld.long 0x25C 16. "RGMII0_TXD2_PULLUDENABLE," "RGMII0_TXD2_PULLUDENABLE_0,RGMII0_TXD2_PULLUDENABLE_1" newline hexmask.long.byte 0x25C 9.--15. 1. "RESERVED," newline bitfld.long 0x25C 8. "RGMII0_TXD2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXD2_MODESELECT_0,RGMII0_TXD2_MODESELECT_1" newline bitfld.long 0x25C 4.--7. "RGMII0_TXD2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x25C 0.--3. "RGMII0_TXD2_MUXMODE," "RGMII0_TXD2_MUXMODE_0,RGMII0_TXD2_MUXMODE_1,?,RGMII0_TXD2_MUXMODE_3,RGMII0_TXD2_MUXMODE_4,RGMII0_TXD2_MUXMODE_5,?,RGMII0_TXD2_MUXMODE_7,RGMII0_TXD2_MUXMODE_8,?,?,RGMII0_TXD2_MUXMODE_11,RGMII0_TXD2_MUXMODE_12,RGMII0_TXD2_MUXMODE_13,RGMII0_TXD2_MUXMODE_14,RGMII0_TXD2_MUXMODE_15" line.long 0x260 "CTRL_CORE_PAD_RGMII0_TXD1," rbitfld.long 0x260 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x260 25. "RGMII0_TXD1_WAKEUPEVENT," "RGMII0_TXD1_WAKEUPEVENT_0,RGMII0_TXD1_WAKEUPEVENT_1" newline bitfld.long 0x260 24. "RGMII0_TXD1_WAKEUPENABLE," "RGMII0_TXD1_WAKEUPENABLE_0,RGMII0_TXD1_WAKEUPENABLE_1" newline rbitfld.long 0x260 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x260 19. "RGMII0_TXD1_SLEWCONTROL," "RGMII0_TXD1_SLEWCONTROL_0,RGMII0_TXD1_SLEWCONTROL_1" newline bitfld.long 0x260 18. "RGMII0_TXD1_INPUTENABLE," "RGMII0_TXD1_INPUTENABLE_0,RGMII0_TXD1_INPUTENABLE_1" newline bitfld.long 0x260 17. "RGMII0_TXD1_PULLTYPESELECT," "RGMII0_TXD1_PULLTYPESELECT_0,RGMII0_TXD1_PULLTYPESELECT_1" newline bitfld.long 0x260 16. "RGMII0_TXD1_PULLUDENABLE," "RGMII0_TXD1_PULLUDENABLE_0,RGMII0_TXD1_PULLUDENABLE_1" newline hexmask.long.byte 0x260 9.--15. 1. "RESERVED," newline bitfld.long 0x260 8. "RGMII0_TXD1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXD1_MODESELECT_0,RGMII0_TXD1_MODESELECT_1" newline bitfld.long 0x260 4.--7. "RGMII0_TXD1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x260 0.--3. "RGMII0_TXD1_MUXMODE," "RGMII0_TXD1_MUXMODE_0,RGMII0_TXD1_MUXMODE_1,?,RGMII0_TXD1_MUXMODE_3,RGMII0_TXD1_MUXMODE_4,RGMII0_TXD1_MUXMODE_5,?,RGMII0_TXD1_MUXMODE_7,RGMII0_TXD1_MUXMODE_8,?,?,RGMII0_TXD1_MUXMODE_11,RGMII0_TXD1_MUXMODE_12,RGMII0_TXD1_MUXMODE_13,RGMII0_TXD1_MUXMODE_14,RGMII0_TXD1_MUXMODE_15" line.long 0x264 "CTRL_CORE_PAD_RGMII0_TXD0," rbitfld.long 0x264 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x264 25. "RGMII0_TXD0_WAKEUPEVENT," "RGMII0_TXD0_WAKEUPEVENT_0,RGMII0_TXD0_WAKEUPEVENT_1" newline bitfld.long 0x264 24. "RGMII0_TXD0_WAKEUPENABLE," "RGMII0_TXD0_WAKEUPENABLE_0,RGMII0_TXD0_WAKEUPENABLE_1" newline rbitfld.long 0x264 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x264 19. "RGMII0_TXD0_SLEWCONTROL," "RGMII0_TXD0_SLEWCONTROL_0,RGMII0_TXD0_SLEWCONTROL_1" newline bitfld.long 0x264 18. "RGMII0_TXD0_INPUTENABLE," "RGMII0_TXD0_INPUTENABLE_0,RGMII0_TXD0_INPUTENABLE_1" newline bitfld.long 0x264 17. "RGMII0_TXD0_PULLTYPESELECT," "RGMII0_TXD0_PULLTYPESELECT_0,RGMII0_TXD0_PULLTYPESELECT_1" newline bitfld.long 0x264 16. "RGMII0_TXD0_PULLUDENABLE," "RGMII0_TXD0_PULLUDENABLE_0,RGMII0_TXD0_PULLUDENABLE_1" newline hexmask.long.byte 0x264 9.--15. 1. "RESERVED," newline bitfld.long 0x264 8. "RGMII0_TXD0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_TXD0_MODESELECT_0,RGMII0_TXD0_MODESELECT_1" newline bitfld.long 0x264 4.--7. "RGMII0_TXD0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x264 0.--3. "RGMII0_TXD0_MUXMODE," "RGMII0_TXD0_MUXMODE_0,RGMII0_TXD0_MUXMODE_1,?,RGMII0_TXD0_MUXMODE_3,RGMII0_TXD0_MUXMODE_4,?,?,RGMII0_TXD0_MUXMODE_7,RGMII0_TXD0_MUXMODE_8,?,?,RGMII0_TXD0_MUXMODE_11,RGMII0_TXD0_MUXMODE_12,RGMII0_TXD0_MUXMODE_13,RGMII0_TXD0_MUXMODE_14,RGMII0_TXD0_MUXMODE_15" line.long 0x268 "CTRL_CORE_PAD_RGMII0_RXC," rbitfld.long 0x268 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x268 25. "RGMII0_RXC_WAKEUPEVENT," "RGMII0_RXC_WAKEUPEVENT_0,RGMII0_RXC_WAKEUPEVENT_1" newline bitfld.long 0x268 24. "RGMII0_RXC_WAKEUPENABLE," "RGMII0_RXC_WAKEUPENABLE_0,RGMII0_RXC_WAKEUPENABLE_1" newline rbitfld.long 0x268 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x268 19. "RGMII0_RXC_SLEWCONTROL," "RGMII0_RXC_SLEWCONTROL_0,RGMII0_RXC_SLEWCONTROL_1" newline bitfld.long 0x268 18. "RGMII0_RXC_INPUTENABLE," "RGMII0_RXC_INPUTENABLE_0,RGMII0_RXC_INPUTENABLE_1" newline bitfld.long 0x268 17. "RGMII0_RXC_PULLTYPESELECT," "RGMII0_RXC_PULLTYPESELECT_0,RGMII0_RXC_PULLTYPESELECT_1" newline bitfld.long 0x268 16. "RGMII0_RXC_PULLUDENABLE," "RGMII0_RXC_PULLUDENABLE_0,RGMII0_RXC_PULLUDENABLE_1" newline hexmask.long.byte 0x268 9.--15. 1. "RESERVED," newline bitfld.long 0x268 8. "RGMII0_RXC_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXC_MODESELECT_0,RGMII0_RXC_MODESELECT_1" newline bitfld.long 0x268 4.--7. "RGMII0_RXC_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x268 0.--3. "RGMII0_RXC_MUXMODE," "RGMII0_RXC_MUXMODE_0,?,RGMII0_RXC_MUXMODE_2,RGMII0_RXC_MUXMODE_3,RGMII0_RXC_MUXMODE_4,RGMII0_RXC_MUXMODE_5,?,?,?,?,?,RGMII0_RXC_MUXMODE_11,RGMII0_RXC_MUXMODE_12,RGMII0_RXC_MUXMODE_13,RGMII0_RXC_MUXMODE_14,RGMII0_RXC_MUXMODE_15" line.long 0x26C "CTRL_CORE_PAD_RGMII0_RXCTL," rbitfld.long 0x26C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x26C 25. "RGMII0_RXCTL_WAKEUPEVENT," "RGMII0_RXCTL_WAKEUPEVENT_0,RGMII0_RXCTL_WAKEUPEVENT_1" newline bitfld.long 0x26C 24. "RGMII0_RXCTL_WAKEUPENABLE," "RGMII0_RXCTL_WAKEUPENABLE_0,RGMII0_RXCTL_WAKEUPENABLE_1" newline rbitfld.long 0x26C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x26C 19. "RGMII0_RXCTL_SLEWCONTROL," "RGMII0_RXCTL_SLEWCONTROL_0,RGMII0_RXCTL_SLEWCONTROL_1" newline bitfld.long 0x26C 18. "RGMII0_RXCTL_INPUTENABLE," "RGMII0_RXCTL_INPUTENABLE_0,RGMII0_RXCTL_INPUTENABLE_1" newline bitfld.long 0x26C 17. "RGMII0_RXCTL_PULLTYPESELECT," "RGMII0_RXCTL_PULLTYPESELECT_0,RGMII0_RXCTL_PULLTYPESELECT_1" newline bitfld.long 0x26C 16. "RGMII0_RXCTL_PULLUDENABLE," "RGMII0_RXCTL_PULLUDENABLE_0,RGMII0_RXCTL_PULLUDENABLE_1" newline hexmask.long.byte 0x26C 9.--15. 1. "RESERVED," newline bitfld.long 0x26C 8. "RGMII0_RXCTL_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXCTL_MODESELECT_0,RGMII0_RXCTL_MODESELECT_1" newline bitfld.long 0x26C 4.--7. "RGMII0_RXCTL_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x26C 0.--3. "RGMII0_RXCTL_MUXMODE," "RGMII0_RXCTL_MUXMODE_0,?,RGMII0_RXCTL_MUXMODE_2,RGMII0_RXCTL_MUXMODE_3,RGMII0_RXCTL_MUXMODE_4,RGMII0_RXCTL_MUXMODE_5,?,?,?,?,?,RGMII0_RXCTL_MUXMODE_11,RGMII0_RXCTL_MUXMODE_12,RGMII0_RXCTL_MUXMODE_13,RGMII0_RXCTL_MUXMODE_14,RGMII0_RXCTL_MUXMODE_15" line.long 0x270 "CTRL_CORE_PAD_RGMII0_RXD3," rbitfld.long 0x270 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x270 25. "RGMII0_RXD3_WAKEUPEVENT," "RGMII0_RXD3_WAKEUPEVENT_0,RGMII0_RXD3_WAKEUPEVENT_1" newline bitfld.long 0x270 24. "RGMII0_RXD3_WAKEUPENABLE," "RGMII0_RXD3_WAKEUPENABLE_0,RGMII0_RXD3_WAKEUPENABLE_1" newline rbitfld.long 0x270 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x270 19. "RGMII0_RXD3_SLEWCONTROL," "RGMII0_RXD3_SLEWCONTROL_0,RGMII0_RXD3_SLEWCONTROL_1" newline bitfld.long 0x270 18. "RGMII0_RXD3_INPUTENABLE," "RGMII0_RXD3_INPUTENABLE_0,RGMII0_RXD3_INPUTENABLE_1" newline bitfld.long 0x270 17. "RGMII0_RXD3_PULLTYPESELECT," "RGMII0_RXD3_PULLTYPESELECT_0,RGMII0_RXD3_PULLTYPESELECT_1" newline bitfld.long 0x270 16. "RGMII0_RXD3_PULLUDENABLE," "RGMII0_RXD3_PULLUDENABLE_0,RGMII0_RXD3_PULLUDENABLE_1" newline hexmask.long.byte 0x270 9.--15. 1. "RESERVED," newline bitfld.long 0x270 8. "RGMII0_RXD3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXD3_MODESELECT_0,RGMII0_RXD3_MODESELECT_1" newline bitfld.long 0x270 4.--7. "RGMII0_RXD3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x270 0.--3. "RGMII0_RXD3_MUXMODE," "RGMII0_RXD3_MUXMODE_0,?,RGMII0_RXD3_MUXMODE_2,RGMII0_RXD3_MUXMODE_3,RGMII0_RXD3_MUXMODE_4,RGMII0_RXD3_MUXMODE_5,?,?,?,?,?,RGMII0_RXD3_MUXMODE_11,RGMII0_RXD3_MUXMODE_12,RGMII0_RXD3_MUXMODE_13,RGMII0_RXD3_MUXMODE_14,RGMII0_RXD3_MUXMODE_15" line.long 0x274 "CTRL_CORE_PAD_RGMII0_RXD2," rbitfld.long 0x274 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x274 25. "RGMII0_RXD2_WAKEUPEVENT," "RGMII0_RXD2_WAKEUPEVENT_0,RGMII0_RXD2_WAKEUPEVENT_1" newline bitfld.long 0x274 24. "RGMII0_RXD2_WAKEUPENABLE," "RGMII0_RXD2_WAKEUPENABLE_0,RGMII0_RXD2_WAKEUPENABLE_1" newline rbitfld.long 0x274 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x274 19. "RGMII0_RXD2_SLEWCONTROL," "RGMII0_RXD2_SLEWCONTROL_0,RGMII0_RXD2_SLEWCONTROL_1" newline bitfld.long 0x274 18. "RGMII0_RXD2_INPUTENABLE," "RGMII0_RXD2_INPUTENABLE_0,RGMII0_RXD2_INPUTENABLE_1" newline bitfld.long 0x274 17. "RGMII0_RXD2_PULLTYPESELECT," "RGMII0_RXD2_PULLTYPESELECT_0,RGMII0_RXD2_PULLTYPESELECT_1" newline bitfld.long 0x274 16. "RGMII0_RXD2_PULLUDENABLE," "RGMII0_RXD2_PULLUDENABLE_0,RGMII0_RXD2_PULLUDENABLE_1" newline hexmask.long.byte 0x274 9.--15. 1. "RESERVED," newline bitfld.long 0x274 8. "RGMII0_RXD2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXD2_MODESELECT_0,RGMII0_RXD2_MODESELECT_1" newline bitfld.long 0x274 4.--7. "RGMII0_RXD2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x274 0.--3. "RGMII0_RXD2_MUXMODE," "RGMII0_RXD2_MUXMODE_0,RGMII0_RXD2_MUXMODE_1,?,RGMII0_RXD2_MUXMODE_3,RGMII0_RXD2_MUXMODE_4,?,?,?,?,?,?,RGMII0_RXD2_MUXMODE_11,RGMII0_RXD2_MUXMODE_12,RGMII0_RXD2_MUXMODE_13,RGMII0_RXD2_MUXMODE_14,RGMII0_RXD2_MUXMODE_15" line.long 0x278 "CTRL_CORE_PAD_RGMII0_RXD1," rbitfld.long 0x278 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x278 25. "RGMII0_RXD1_WAKEUPEVENT," "RGMII0_RXD1_WAKEUPEVENT_0,RGMII0_RXD1_WAKEUPEVENT_1" newline bitfld.long 0x278 24. "RGMII0_RXD1_WAKEUPENABLE," "RGMII0_RXD1_WAKEUPENABLE_0,RGMII0_RXD1_WAKEUPENABLE_1" newline rbitfld.long 0x278 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x278 19. "RGMII0_RXD1_SLEWCONTROL," "RGMII0_RXD1_SLEWCONTROL_0,RGMII0_RXD1_SLEWCONTROL_1" newline bitfld.long 0x278 18. "RGMII0_RXD1_INPUTENABLE," "RGMII0_RXD1_INPUTENABLE_0,RGMII0_RXD1_INPUTENABLE_1" newline bitfld.long 0x278 17. "RGMII0_RXD1_PULLTYPESELECT," "RGMII0_RXD1_PULLTYPESELECT_0,RGMII0_RXD1_PULLTYPESELECT_1" newline bitfld.long 0x278 16. "RGMII0_RXD1_PULLUDENABLE," "RGMII0_RXD1_PULLUDENABLE_0,RGMII0_RXD1_PULLUDENABLE_1" newline hexmask.long.byte 0x278 9.--15. 1. "RESERVED," newline bitfld.long 0x278 8. "RGMII0_RXD1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXD1_MODESELECT_0,RGMII0_RXD1_MODESELECT_1" newline bitfld.long 0x278 4.--7. "RGMII0_RXD1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x278 0.--3. "RGMII0_RXD1_MUXMODE," "RGMII0_RXD1_MUXMODE_0,RGMII0_RXD1_MUXMODE_1,?,RGMII0_RXD1_MUXMODE_3,RGMII0_RXD1_MUXMODE_4,?,?,?,?,?,?,RGMII0_RXD1_MUXMODE_11,RGMII0_RXD1_MUXMODE_12,RGMII0_RXD1_MUXMODE_13,RGMII0_RXD1_MUXMODE_14,RGMII0_RXD1_MUXMODE_15" line.long 0x27C "CTRL_CORE_PAD_RGMII0_RXD0," rbitfld.long 0x27C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x27C 25. "RGMII0_RXD0_WAKEUPEVENT," "RGMII0_RXD0_WAKEUPEVENT_0,RGMII0_RXD0_WAKEUPEVENT_1" newline bitfld.long 0x27C 24. "RGMII0_RXD0_WAKEUPENABLE," "RGMII0_RXD0_WAKEUPENABLE_0,RGMII0_RXD0_WAKEUPENABLE_1" newline rbitfld.long 0x27C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x27C 19. "RGMII0_RXD0_SLEWCONTROL," "RGMII0_RXD0_SLEWCONTROL_0,RGMII0_RXD0_SLEWCONTROL_1" newline bitfld.long 0x27C 18. "RGMII0_RXD0_INPUTENABLE," "RGMII0_RXD0_INPUTENABLE_0,RGMII0_RXD0_INPUTENABLE_1" newline bitfld.long 0x27C 17. "RGMII0_RXD0_PULLTYPESELECT," "RGMII0_RXD0_PULLTYPESELECT_0,RGMII0_RXD0_PULLTYPESELECT_1" newline bitfld.long 0x27C 16. "RGMII0_RXD0_PULLUDENABLE," "RGMII0_RXD0_PULLUDENABLE_0,RGMII0_RXD0_PULLUDENABLE_1" newline hexmask.long.byte 0x27C 9.--15. 1. "RESERVED," newline bitfld.long 0x27C 8. "RGMII0_RXD0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RGMII0_RXD0_MODESELECT_0,RGMII0_RXD0_MODESELECT_1" newline bitfld.long 0x27C 4.--7. "RGMII0_RXD0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x27C 0.--3. "RGMII0_RXD0_MUXMODE," "RGMII0_RXD0_MUXMODE_0,RGMII0_RXD0_MUXMODE_1,?,RGMII0_RXD0_MUXMODE_3,RGMII0_RXD0_MUXMODE_4,RGMII0_RXD0_MUXMODE_5,?,?,?,?,?,RGMII0_RXD0_MUXMODE_11,RGMII0_RXD0_MUXMODE_12,RGMII0_RXD0_MUXMODE_13,RGMII0_RXD0_MUXMODE_14,RGMII0_RXD0_MUXMODE_15" line.long 0x280 "CTRL_CORE_PAD_USB1_DRVVBUS," rbitfld.long 0x280 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x280 25. "USB1_DRVVBUS_WAKEUPEVENT," "USB1_DRVVBUS_WAKEUPEVENT_0,USB1_DRVVBUS_WAKEUPEVENT_1" newline bitfld.long 0x280 24. "USB1_DRVVBUS_WAKEUPENABLE," "USB1_DRVVBUS_WAKEUPENABLE_0,USB1_DRVVBUS_WAKEUPENABLE_1" newline rbitfld.long 0x280 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x280 19. "USB1_DRVVBUS_SLEWCONTROL," "USB1_DRVVBUS_SLEWCONTROL_0,USB1_DRVVBUS_SLEWCONTROL_1" newline bitfld.long 0x280 18. "USB1_DRVVBUS_INPUTENABLE," "USB1_DRVVBUS_INPUTENABLE_0,USB1_DRVVBUS_INPUTENABLE_1" newline bitfld.long 0x280 17. "USB1_DRVVBUS_PULLTYPESELECT," "USB1_DRVVBUS_PULLTYPESELECT_0,USB1_DRVVBUS_PULLTYPESELECT_1" newline bitfld.long 0x280 16. "USB1_DRVVBUS_PULLUDENABLE," "USB1_DRVVBUS_PULLUDENABLE_0,USB1_DRVVBUS_PULLUDENABLE_1" newline hexmask.long.byte 0x280 9.--15. 1. "RESERVED," newline bitfld.long 0x280 8. "USB1_DRVVBUS_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "USB1_DRVVBUS_MODESELECT_0,USB1_DRVVBUS_MODESELECT_1" newline bitfld.long 0x280 4.--7. "USB1_DRVVBUS_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x280 0.--3. "USB1_DRVVBUS_MUXMODE," "USB1_DRVVBUS_MUXMODE_0,?,?,?,?,?,?,USB1_DRVVBUS_MUXMODE_7,?,?,?,?,?,?,USB1_DRVVBUS_MUXMODE_14,USB1_DRVVBUS_MUXMODE_15" line.long 0x284 "CTRL_CORE_PAD_USB2_DRVVBUS," rbitfld.long 0x284 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x284 25. "USB2_DRVVBUS_WAKEUPEVENT," "USB2_DRVVBUS_WAKEUPEVENT_0,USB2_DRVVBUS_WAKEUPEVENT_1" newline bitfld.long 0x284 24. "USB2_DRVVBUS_WAKEUPENABLE," "USB2_DRVVBUS_WAKEUPENABLE_0,USB2_DRVVBUS_WAKEUPENABLE_1" newline rbitfld.long 0x284 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x284 19. "USB2_DRVVBUS_SLEWCONTROL," "USB2_DRVVBUS_SLEWCONTROL_0,USB2_DRVVBUS_SLEWCONTROL_1" newline bitfld.long 0x284 18. "USB2_DRVVBUS_INPUTENABLE," "USB2_DRVVBUS_INPUTENABLE_0,USB2_DRVVBUS_INPUTENABLE_1" newline bitfld.long 0x284 17. "USB2_DRVVBUS_PULLTYPESELECT," "USB2_DRVVBUS_PULLTYPESELECT_0,USB2_DRVVBUS_PULLTYPESELECT_1" newline bitfld.long 0x284 16. "USB2_DRVVBUS_PULLUDENABLE," "USB2_DRVVBUS_PULLUDENABLE_0,USB2_DRVVBUS_PULLUDENABLE_1" newline hexmask.long.byte 0x284 9.--15. 1. "RESERVED," newline bitfld.long 0x284 8. "USB2_DRVVBUS_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "USB2_DRVVBUS_MODESELECT_0,USB2_DRVVBUS_MODESELECT_1" newline bitfld.long 0x284 4.--7. "USB2_DRVVBUS_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x284 0.--3. "USB2_DRVVBUS_MUXMODE," "USB2_DRVVBUS_MUXMODE_0,?,?,?,?,?,?,USB2_DRVVBUS_MUXMODE_7,?,?,?,?,?,?,USB2_DRVVBUS_MUXMODE_14,USB2_DRVVBUS_MUXMODE_15" line.long 0x288 "CTRL_CORE_PAD_GPIO6_14," rbitfld.long 0x288 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x288 25. "GPIO6_14_WAKEUPEVENT," "GPIO6_14_WAKEUPEVENT_0,GPIO6_14_WAKEUPEVENT_1" newline bitfld.long 0x288 24. "GPIO6_14_WAKEUPENABLE," "GPIO6_14_WAKEUPENABLE_0,GPIO6_14_WAKEUPENABLE_1" newline rbitfld.long 0x288 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x288 19. "GPIO6_14_SLEWCONTROL," "GPIO6_14_SLEWCONTROL_0,GPIO6_14_SLEWCONTROL_1" newline bitfld.long 0x288 18. "GPIO6_14_INPUTENABLE," "GPIO6_14_INPUTENABLE_0,GPIO6_14_INPUTENABLE_1" newline bitfld.long 0x288 17. "GPIO6_14_PULLTYPESELECT," "GPIO6_14_PULLTYPESELECT_0,GPIO6_14_PULLTYPESELECT_1" newline bitfld.long 0x288 16. "GPIO6_14_PULLUDENABLE," "GPIO6_14_PULLUDENABLE_0,GPIO6_14_PULLUDENABLE_1" newline hexmask.long.byte 0x288 9.--15. 1. "RESERVED," newline bitfld.long 0x288 8. "GPIO6_14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPIO6_14_MODESELECT_0,GPIO6_14_MODESELECT_1" newline bitfld.long 0x288 4.--7. "GPIO6_14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x288 0.--3. "GPIO6_14_MUXMODE," "GPIO6_14_MUXMODE_0,GPIO6_14_MUXMODE_1,GPIO6_14_MUXMODE_2,GPIO6_14_MUXMODE_3,?,?,GPIO6_14_MUXMODE_6,?,GPIO6_14_MUXMODE_8,GPIO6_14_MUXMODE_9,GPIO6_14_MUXMODE_10,?,?,?,GPIO6_14_MUXMODE_14,GPIO6_14_MUXMODE_15" line.long 0x28C "CTRL_CORE_PAD_GPIO6_15," rbitfld.long 0x28C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28C 25. "GPIO6_15_WAKEUPEVENT," "GPIO6_15_WAKEUPEVENT_0,GPIO6_15_WAKEUPEVENT_1" newline bitfld.long 0x28C 24. "GPIO6_15_WAKEUPENABLE," "GPIO6_15_WAKEUPENABLE_0,GPIO6_15_WAKEUPENABLE_1" newline rbitfld.long 0x28C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28C 19. "GPIO6_15_SLEWCONTROL," "GPIO6_15_SLEWCONTROL_0,GPIO6_15_SLEWCONTROL_1" newline bitfld.long 0x28C 18. "GPIO6_15_INPUTENABLE," "GPIO6_15_INPUTENABLE_0,GPIO6_15_INPUTENABLE_1" newline bitfld.long 0x28C 17. "GPIO6_15_PULLTYPESELECT," "GPIO6_15_PULLTYPESELECT_0,GPIO6_15_PULLTYPESELECT_1" newline bitfld.long 0x28C 16. "GPIO6_15_PULLUDENABLE," "GPIO6_15_PULLUDENABLE_0,GPIO6_15_PULLUDENABLE_1" newline hexmask.long.byte 0x28C 9.--15. 1. "RESERVED," newline bitfld.long 0x28C 8. "GPIO6_15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPIO6_15_MODESELECT_0,GPIO6_15_MODESELECT_1" newline bitfld.long 0x28C 4.--7. "GPIO6_15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28C 0.--3. "GPIO6_15_MUXMODE," "GPIO6_15_MUXMODE_0,GPIO6_15_MUXMODE_1,GPIO6_15_MUXMODE_2,GPIO6_15_MUXMODE_3,?,?,GPIO6_15_MUXMODE_6,?,GPIO6_15_MUXMODE_8,GPIO6_15_MUXMODE_9,GPIO6_15_MUXMODE_10,?,?,?,GPIO6_15_MUXMODE_14,GPIO6_15_MUXMODE_15" line.long 0x290 "CTRL_CORE_PAD_GPIO6_16," rbitfld.long 0x290 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x290 25. "GPIO6_16_WAKEUPEVENT," "GPIO6_16_WAKEUPEVENT_0,GPIO6_16_WAKEUPEVENT_1" newline bitfld.long 0x290 24. "GPIO6_16_WAKEUPENABLE," "GPIO6_16_WAKEUPENABLE_0,GPIO6_16_WAKEUPENABLE_1" newline rbitfld.long 0x290 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x290 19. "GPIO6_16_SLEWCONTROL," "GPIO6_16_SLEWCONTROL_0,GPIO6_16_SLEWCONTROL_1" newline bitfld.long 0x290 18. "GPIO6_16_INPUTENABLE," "GPIO6_16_INPUTENABLE_0,GPIO6_16_INPUTENABLE_1" newline bitfld.long 0x290 17. "GPIO6_16_PULLTYPESELECT," "GPIO6_16_PULLTYPESELECT_0,GPIO6_16_PULLTYPESELECT_1" newline bitfld.long 0x290 16. "GPIO6_16_PULLUDENABLE," "GPIO6_16_PULLUDENABLE_0,GPIO6_16_PULLUDENABLE_1" newline hexmask.long.byte 0x290 9.--15. 1. "RESERVED," newline bitfld.long 0x290 8. "GPIO6_16_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPIO6_16_MODESELECT_0,GPIO6_16_MODESELECT_1" newline bitfld.long 0x290 4.--7. "GPIO6_16_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x290 0.--3. "GPIO6_16_MUXMODE," "GPIO6_16_MUXMODE_0,GPIO6_16_MUXMODE_1,?,?,?,?,GPIO6_16_MUXMODE_6,?,GPIO6_16_MUXMODE_8,GPIO6_16_MUXMODE_9,GPIO6_16_MUXMODE_10,?,?,?,GPIO6_16_MUXMODE_14,GPIO6_16_MUXMODE_15" line.long 0x294 "CTRL_CORE_PAD_XREF_CLK0," rbitfld.long 0x294 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x294 25. "XREF_CLK0_WAKEUPEVENT," "XREF_CLK0_WAKEUPEVENT_0,XREF_CLK0_WAKEUPEVENT_1" newline bitfld.long 0x294 24. "XREF_CLK0_WAKEUPENABLE," "XREF_CLK0_WAKEUPENABLE_0,XREF_CLK0_WAKEUPENABLE_1" newline rbitfld.long 0x294 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x294 19. "XREF_CLK0_SLEWCONTROL," "XREF_CLK0_SLEWCONTROL_0,XREF_CLK0_SLEWCONTROL_1" newline bitfld.long 0x294 18. "XREF_CLK0_INPUTENABLE," "XREF_CLK0_INPUTENABLE_0,XREF_CLK0_INPUTENABLE_1" newline bitfld.long 0x294 17. "XREF_CLK0_PULLTYPESELECT," "XREF_CLK0_PULLTYPESELECT_0,XREF_CLK0_PULLTYPESELECT_1" newline bitfld.long 0x294 16. "XREF_CLK0_PULLUDENABLE," "XREF_CLK0_PULLUDENABLE_0,XREF_CLK0_PULLUDENABLE_1" newline hexmask.long.byte 0x294 9.--15. 1. "RESERVED," newline bitfld.long 0x294 8. "XREF_CLK0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "XREF_CLK0_MODESELECT_0,XREF_CLK0_MODESELECT_1" newline bitfld.long 0x294 4.--7. "XREF_CLK0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x294 0.--3. "XREF_CLK0_MUXMODE," "XREF_CLK0_MUXMODE_0,XREF_CLK0_MUXMODE_1,XREF_CLK0_MUXMODE_2,XREF_CLK0_MUXMODE_3,XREF_CLK0_MUXMODE_4,?,?,XREF_CLK0_MUXMODE_7,XREF_CLK0_MUXMODE_8,XREF_CLK0_MUXMODE_9,XREF_CLK0_MUXMODE_10,XREF_CLK0_MUXMODE_11,XREF_CLK0_MUXMODE_12,XREF_CLK0_MUXMODE_13,XREF_CLK0_MUXMODE_14,XREF_CLK0_MUXMODE_15" line.long 0x298 "CTRL_CORE_PAD_XREF_CLK1," rbitfld.long 0x298 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x298 25. "XREF_CLK1_WAKEUPEVENT," "XREF_CLK1_WAKEUPEVENT_0,XREF_CLK1_WAKEUPEVENT_1" newline bitfld.long 0x298 24. "XREF_CLK1_WAKEUPENABLE," "XREF_CLK1_WAKEUPENABLE_0,XREF_CLK1_WAKEUPENABLE_1" newline rbitfld.long 0x298 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x298 19. "XREF_CLK1_SLEWCONTROL," "XREF_CLK1_SLEWCONTROL_0,XREF_CLK1_SLEWCONTROL_1" newline bitfld.long 0x298 18. "XREF_CLK1_INPUTENABLE," "XREF_CLK1_INPUTENABLE_0,XREF_CLK1_INPUTENABLE_1" newline bitfld.long 0x298 17. "XREF_CLK1_PULLTYPESELECT," "XREF_CLK1_PULLTYPESELECT_0,XREF_CLK1_PULLTYPESELECT_1" newline bitfld.long 0x298 16. "XREF_CLK1_PULLUDENABLE," "XREF_CLK1_PULLUDENABLE_0,XREF_CLK1_PULLUDENABLE_1" newline hexmask.long.byte 0x298 9.--15. 1. "RESERVED," newline bitfld.long 0x298 8. "XREF_CLK1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "XREF_CLK1_MODESELECT_0,XREF_CLK1_MODESELECT_1" newline bitfld.long 0x298 4.--7. "XREF_CLK1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x298 0.--3. "XREF_CLK1_MUXMODE," "XREF_CLK1_MUXMODE_0,XREF_CLK1_MUXMODE_1,XREF_CLK1_MUXMODE_2,XREF_CLK1_MUXMODE_3,XREF_CLK1_MUXMODE_4,?,?,XREF_CLK1_MUXMODE_7,?,?,XREF_CLK1_MUXMODE_10,XREF_CLK1_MUXMODE_11,XREF_CLK1_MUXMODE_12,XREF_CLK1_MUXMODE_13,XREF_CLK1_MUXMODE_14,XREF_CLK1_MUXMODE_15" line.long 0x29C "CTRL_CORE_PAD_XREF_CLK2," rbitfld.long 0x29C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x29C 25. "XREF_CLK2_WAKEUPEVENT," "XREF_CLK2_WAKEUPEVENT_0,XREF_CLK2_WAKEUPEVENT_1" newline bitfld.long 0x29C 24. "XREF_CLK2_WAKEUPENABLE," "XREF_CLK2_WAKEUPENABLE_0,XREF_CLK2_WAKEUPENABLE_1" newline rbitfld.long 0x29C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x29C 19. "XREF_CLK2_SLEWCONTROL," "XREF_CLK2_SLEWCONTROL_0,XREF_CLK2_SLEWCONTROL_1" newline bitfld.long 0x29C 18. "XREF_CLK2_INPUTENABLE," "XREF_CLK2_INPUTENABLE_0,XREF_CLK2_INPUTENABLE_1" newline bitfld.long 0x29C 17. "XREF_CLK2_PULLTYPESELECT," "XREF_CLK2_PULLTYPESELECT_0,XREF_CLK2_PULLTYPESELECT_1" newline bitfld.long 0x29C 16. "XREF_CLK2_PULLUDENABLE," "XREF_CLK2_PULLUDENABLE_0,XREF_CLK2_PULLUDENABLE_1" newline hexmask.long.byte 0x29C 9.--15. 1. "RESERVED," newline bitfld.long 0x29C 8. "XREF_CLK2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "XREF_CLK2_MODESELECT_0,XREF_CLK2_MODESELECT_1" newline bitfld.long 0x29C 4.--7. "XREF_CLK2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x29C 0.--3. "XREF_CLK2_MUXMODE," "XREF_CLK2_MUXMODE_0,XREF_CLK2_MUXMODE_1,XREF_CLK2_MUXMODE_2,XREF_CLK2_MUXMODE_3,XREF_CLK2_MUXMODE_4,?,XREF_CLK2_MUXMODE_6,?,XREF_CLK2_MUXMODE_8,?,XREF_CLK2_MUXMODE_10,?,?,?,XREF_CLK2_MUXMODE_14,XREF_CLK2_MUXMODE_15" line.long 0x2A0 "CTRL_CORE_PAD_XREF_CLK3," rbitfld.long 0x2A0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2A0 25. "XREF_CLK3_WAKEUPEVENT," "XREF_CLK3_WAKEUPEVENT_0,XREF_CLK3_WAKEUPEVENT_1" newline bitfld.long 0x2A0 24. "XREF_CLK3_WAKEUPENABLE," "XREF_CLK3_WAKEUPENABLE_0,XREF_CLK3_WAKEUPENABLE_1" newline rbitfld.long 0x2A0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A0 19. "XREF_CLK3_SLEWCONTROL," "XREF_CLK3_SLEWCONTROL_0,XREF_CLK3_SLEWCONTROL_1" newline bitfld.long 0x2A0 18. "XREF_CLK3_INPUTENABLE," "XREF_CLK3_INPUTENABLE_0,XREF_CLK3_INPUTENABLE_1" newline bitfld.long 0x2A0 17. "XREF_CLK3_PULLTYPESELECT," "XREF_CLK3_PULLTYPESELECT_0,XREF_CLK3_PULLTYPESELECT_1" newline bitfld.long 0x2A0 16. "XREF_CLK3_PULLUDENABLE," "XREF_CLK3_PULLUDENABLE_0,XREF_CLK3_PULLUDENABLE_1" newline hexmask.long.byte 0x2A0 9.--15. 1. "RESERVED," newline bitfld.long 0x2A0 8. "XREF_CLK3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "XREF_CLK3_MODESELECT_0,XREF_CLK3_MODESELECT_1" newline bitfld.long 0x2A0 4.--7. "XREF_CLK3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A0 0.--3. "XREF_CLK3_MUXMODE," "XREF_CLK3_MUXMODE_0,XREF_CLK3_MUXMODE_1,XREF_CLK3_MUXMODE_2,XREF_CLK3_MUXMODE_3,XREF_CLK3_MUXMODE_4,?,XREF_CLK3_MUXMODE_6,XREF_CLK3_MUXMODE_7,XREF_CLK3_MUXMODE_8,XREF_CLK3_MUXMODE_9,XREF_CLK3_MUXMODE_10,?,?,?,XREF_CLK3_MUXMODE_14,XREF_CLK3_MUXMODE_15" line.long 0x2A4 "CTRL_CORE_PAD_MCASP1_ACLKX," rbitfld.long 0x2A4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2A4 25. "MCASP1_ACLKX_WAKEUPEVENT," "MCASP1_ACLKX_WAKEUPEVENT_0,MCASP1_ACLKX_WAKEUPEVENT_1" newline bitfld.long 0x2A4 24. "MCASP1_ACLKX_WAKEUPENABLE," "MCASP1_ACLKX_WAKEUPENABLE_0,MCASP1_ACLKX_WAKEUPENABLE_1" newline rbitfld.long 0x2A4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A4 19. "MCASP1_ACLKX_SLEWCONTROL," "MCASP1_ACLKX_SLEWCONTROL_0,MCASP1_ACLKX_SLEWCONTROL_1" newline bitfld.long 0x2A4 18. "MCASP1_ACLKX_INPUTENABLE," "MCASP1_ACLKX_INPUTENABLE_0,MCASP1_ACLKX_INPUTENABLE_1" newline bitfld.long 0x2A4 17. "MCASP1_ACLKX_PULLTYPESELECT," "MCASP1_ACLKX_PULLTYPESELECT_0,MCASP1_ACLKX_PULLTYPESELECT_1" newline bitfld.long 0x2A4 16. "MCASP1_ACLKX_PULLUDENABLE," "MCASP1_ACLKX_PULLUDENABLE_0,MCASP1_ACLKX_PULLUDENABLE_1" newline hexmask.long.byte 0x2A4 9.--15. 1. "RESERVED," newline bitfld.long 0x2A4 8. "MCASP1_ACLKX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_ACLKX_MODESELECT_0,MCASP1_ACLKX_MODESELECT_1" newline bitfld.long 0x2A4 4.--7. "MCASP1_ACLKX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A4 0.--3. "MCASP1_ACLKX_MUXMODE," "MCASP1_ACLKX_MUXMODE_0,?,?,?,?,?,?,MCASP1_ACLKX_MUXMODE_7,?,?,MCASP1_ACLKX_MUXMODE_10,MCASP1_ACLKX_MUXMODE_11,MCASP1_ACLKX_MUXMODE_12,MCASP1_ACLKX_MUXMODE_13,MCASP1_ACLKX_MUXMODE_14,MCASP1_ACLKX_MUXMODE_15" line.long 0x2A8 "CTRL_CORE_PAD_MCASP1_FSX," rbitfld.long 0x2A8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2A8 25. "MCASP1_FSX_WAKEUPEVENT," "MCASP1_FSX_WAKEUPEVENT_0,MCASP1_FSX_WAKEUPEVENT_1" newline bitfld.long 0x2A8 24. "MCASP1_FSX_WAKEUPENABLE," "MCASP1_FSX_WAKEUPENABLE_0,MCASP1_FSX_WAKEUPENABLE_1" newline rbitfld.long 0x2A8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A8 19. "MCASP1_FSX_SLEWCONTROL," "MCASP1_FSX_SLEWCONTROL_0,MCASP1_FSX_SLEWCONTROL_1" newline bitfld.long 0x2A8 18. "MCASP1_FSX_INPUTENABLE," "MCASP1_FSX_INPUTENABLE_0,MCASP1_FSX_INPUTENABLE_1" newline bitfld.long 0x2A8 17. "MCASP1_FSX_PULLTYPESELECT," "MCASP1_FSX_PULLTYPESELECT_0,MCASP1_FSX_PULLTYPESELECT_1" newline bitfld.long 0x2A8 16. "MCASP1_FSX_PULLUDENABLE," "MCASP1_FSX_PULLUDENABLE_0,MCASP1_FSX_PULLUDENABLE_1" newline hexmask.long.byte 0x2A8 9.--15. 1. "RESERVED," newline bitfld.long 0x2A8 8. "MCASP1_FSX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_FSX_MODESELECT_0,MCASP1_FSX_MODESELECT_1" newline bitfld.long 0x2A8 4.--7. "MCASP1_FSX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2A8 0.--3. "MCASP1_FSX_MUXMODE," "MCASP1_FSX_MUXMODE_0,?,?,?,?,?,?,MCASP1_FSX_MUXMODE_7,?,?,MCASP1_FSX_MUXMODE_10,MCASP1_FSX_MUXMODE_11,?,?,MCASP1_FSX_MUXMODE_14,MCASP1_FSX_MUXMODE_15" line.long 0x2AC "CTRL_CORE_PAD_MCASP1_ACLKR," rbitfld.long 0x2AC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2AC 25. "MCASP1_ACLKR_WAKEUPEVENT," "MCASP1_ACLKR_WAKEUPEVENT_0,MCASP1_ACLKR_WAKEUPEVENT_1" newline bitfld.long 0x2AC 24. "MCASP1_ACLKR_WAKEUPENABLE," "MCASP1_ACLKR_WAKEUPENABLE_0,MCASP1_ACLKR_WAKEUPENABLE_1" newline rbitfld.long 0x2AC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2AC 19. "MCASP1_ACLKR_SLEWCONTROL," "MCASP1_ACLKR_SLEWCONTROL_0,MCASP1_ACLKR_SLEWCONTROL_1" newline bitfld.long 0x2AC 18. "MCASP1_ACLKR_INPUTENABLE," "MCASP1_ACLKR_INPUTENABLE_0,MCASP1_ACLKR_INPUTENABLE_1" newline bitfld.long 0x2AC 17. "MCASP1_ACLKR_PULLTYPESELECT," "MCASP1_ACLKR_PULLTYPESELECT_0,MCASP1_ACLKR_PULLTYPESELECT_1" newline bitfld.long 0x2AC 16. "MCASP1_ACLKR_PULLUDENABLE," "MCASP1_ACLKR_PULLUDENABLE_0,MCASP1_ACLKR_PULLUDENABLE_1" newline hexmask.long.byte 0x2AC 9.--15. 1. "RESERVED," newline bitfld.long 0x2AC 8. "MCASP1_ACLKR_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_ACLKR_MODESELECT_0,MCASP1_ACLKR_MODESELECT_1" newline bitfld.long 0x2AC 4.--7. "MCASP1_ACLKR_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2AC 0.--3. "MCASP1_ACLKR_MUXMODE," "MCASP1_ACLKR_MUXMODE_0,MCASP1_ACLKR_MUXMODE_1,?,?,?,?,MCASP1_ACLKR_MUXMODE_6,?,MCASP1_ACLKR_MUXMODE_8,?,MCASP1_ACLKR_MUXMODE_10,?,?,?,MCASP1_ACLKR_MUXMODE_14,MCASP1_ACLKR_MUXMODE_15" line.long 0x2B0 "CTRL_CORE_PAD_MCASP1_FSR," rbitfld.long 0x2B0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2B0 25. "MCASP1_FSR_WAKEUPEVENT," "MCASP1_FSR_WAKEUPEVENT_0,MCASP1_FSR_WAKEUPEVENT_1" newline bitfld.long 0x2B0 24. "MCASP1_FSR_WAKEUPENABLE," "MCASP1_FSR_WAKEUPENABLE_0,MCASP1_FSR_WAKEUPENABLE_1" newline rbitfld.long 0x2B0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B0 19. "MCASP1_FSR_SLEWCONTROL," "MCASP1_FSR_SLEWCONTROL_0,MCASP1_FSR_SLEWCONTROL_1" newline bitfld.long 0x2B0 18. "MCASP1_FSR_INPUTENABLE," "MCASP1_FSR_INPUTENABLE_0,MCASP1_FSR_INPUTENABLE_1" newline bitfld.long 0x2B0 17. "MCASP1_FSR_PULLTYPESELECT," "MCASP1_FSR_PULLTYPESELECT_0,MCASP1_FSR_PULLTYPESELECT_1" newline bitfld.long 0x2B0 16. "MCASP1_FSR_PULLUDENABLE," "MCASP1_FSR_PULLUDENABLE_0,MCASP1_FSR_PULLUDENABLE_1" newline hexmask.long.byte 0x2B0 9.--15. 1. "RESERVED," newline bitfld.long 0x2B0 8. "MCASP1_FSR_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_FSR_MODESELECT_0,MCASP1_FSR_MODESELECT_1" newline bitfld.long 0x2B0 4.--7. "MCASP1_FSR_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B0 0.--3. "MCASP1_FSR_MUXMODE," "MCASP1_FSR_MUXMODE_0,MCASP1_FSR_MUXMODE_1,?,?,?,?,MCASP1_FSR_MUXMODE_6,?,MCASP1_FSR_MUXMODE_8,?,MCASP1_FSR_MUXMODE_10,?,?,?,MCASP1_FSR_MUXMODE_14,MCASP1_FSR_MUXMODE_15" line.long 0x2B4 "CTRL_CORE_PAD_MCASP1_AXR0," rbitfld.long 0x2B4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2B4 25. "MCASP1_AXR0_WAKEUPEVENT," "MCASP1_AXR0_WAKEUPEVENT_0,MCASP1_AXR0_WAKEUPEVENT_1" newline bitfld.long 0x2B4 24. "MCASP1_AXR0_WAKEUPENABLE," "MCASP1_AXR0_WAKEUPENABLE_0,MCASP1_AXR0_WAKEUPENABLE_1" newline rbitfld.long 0x2B4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B4 19. "MCASP1_AXR0_SLEWCONTROL," "MCASP1_AXR0_SLEWCONTROL_0,MCASP1_AXR0_SLEWCONTROL_1" newline bitfld.long 0x2B4 18. "MCASP1_AXR0_INPUTENABLE," "MCASP1_AXR0_INPUTENABLE_0,MCASP1_AXR0_INPUTENABLE_1" newline bitfld.long 0x2B4 17. "MCASP1_AXR0_PULLTYPESELECT," "MCASP1_AXR0_PULLTYPESELECT_0,MCASP1_AXR0_PULLTYPESELECT_1" newline bitfld.long 0x2B4 16. "MCASP1_AXR0_PULLUDENABLE," "MCASP1_AXR0_PULLUDENABLE_0,MCASP1_AXR0_PULLUDENABLE_1" newline hexmask.long.byte 0x2B4 9.--15. 1. "RESERVED," newline bitfld.long 0x2B4 8. "MCASP1_AXR0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR0_MODESELECT_0,MCASP1_AXR0_MODESELECT_1" newline bitfld.long 0x2B4 4.--7. "MCASP1_AXR0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B4 0.--3. "MCASP1_AXR0_MUXMODE," "MCASP1_AXR0_MUXMODE_0,?,?,MCASP1_AXR0_MUXMODE_3,?,?,?,MCASP1_AXR0_MUXMODE_7,?,?,MCASP1_AXR0_MUXMODE_10,MCASP1_AXR0_MUXMODE_11,MCASP1_AXR0_MUXMODE_12,MCASP1_AXR0_MUXMODE_13,MCASP1_AXR0_MUXMODE_14,MCASP1_AXR0_MUXMODE_15" line.long 0x2B8 "CTRL_CORE_PAD_MCASP1_AXR1," rbitfld.long 0x2B8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2B8 25. "MCASP1_AXR1_WAKEUPEVENT," "MCASP1_AXR1_WAKEUPEVENT_0,MCASP1_AXR1_WAKEUPEVENT_1" newline bitfld.long 0x2B8 24. "MCASP1_AXR1_WAKEUPENABLE," "MCASP1_AXR1_WAKEUPENABLE_0,MCASP1_AXR1_WAKEUPENABLE_1" newline rbitfld.long 0x2B8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B8 19. "MCASP1_AXR1_SLEWCONTROL," "MCASP1_AXR1_SLEWCONTROL_0,MCASP1_AXR1_SLEWCONTROL_1" newline bitfld.long 0x2B8 18. "MCASP1_AXR1_INPUTENABLE," "MCASP1_AXR1_INPUTENABLE_0,MCASP1_AXR1_INPUTENABLE_1" newline bitfld.long 0x2B8 17. "MCASP1_AXR1_PULLTYPESELECT," "MCASP1_AXR1_PULLTYPESELECT_0,MCASP1_AXR1_PULLTYPESELECT_1" newline bitfld.long 0x2B8 16. "MCASP1_AXR1_PULLUDENABLE," "MCASP1_AXR1_PULLUDENABLE_0,MCASP1_AXR1_PULLUDENABLE_1" newline hexmask.long.byte 0x2B8 9.--15. 1. "RESERVED," newline bitfld.long 0x2B8 8. "MCASP1_AXR1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR1_MODESELECT_0,MCASP1_AXR1_MODESELECT_1" newline bitfld.long 0x2B8 4.--7. "MCASP1_AXR1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2B8 0.--3. "MCASP1_AXR1_MUXMODE," "MCASP1_AXR1_MUXMODE_0,?,?,MCASP1_AXR1_MUXMODE_3,?,?,?,MCASP1_AXR1_MUXMODE_7,?,?,MCASP1_AXR1_MUXMODE_10,MCASP1_AXR1_MUXMODE_11,MCASP1_AXR1_MUXMODE_12,MCASP1_AXR1_MUXMODE_13,MCASP1_AXR1_MUXMODE_14,MCASP1_AXR1_MUXMODE_15" line.long 0x2BC "CTRL_CORE_PAD_MCASP1_AXR2," rbitfld.long 0x2BC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2BC 25. "MCASP1_AXR2_WAKEUPEVENT," "MCASP1_AXR2_WAKEUPEVENT_0,MCASP1_AXR2_WAKEUPEVENT_1" newline bitfld.long 0x2BC 24. "MCASP1_AXR2_WAKEUPENABLE," "MCASP1_AXR2_WAKEUPENABLE_0,MCASP1_AXR2_WAKEUPENABLE_1" newline rbitfld.long 0x2BC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2BC 19. "MCASP1_AXR2_SLEWCONTROL," "MCASP1_AXR2_SLEWCONTROL_0,MCASP1_AXR2_SLEWCONTROL_1" newline bitfld.long 0x2BC 18. "MCASP1_AXR2_INPUTENABLE," "MCASP1_AXR2_INPUTENABLE_0,MCASP1_AXR2_INPUTENABLE_1" newline bitfld.long 0x2BC 17. "MCASP1_AXR2_PULLTYPESELECT," "MCASP1_AXR2_PULLTYPESELECT_0,MCASP1_AXR2_PULLTYPESELECT_1" newline bitfld.long 0x2BC 16. "MCASP1_AXR2_PULLUDENABLE," "MCASP1_AXR2_PULLUDENABLE_0,MCASP1_AXR2_PULLUDENABLE_1" newline hexmask.long.byte 0x2BC 9.--15. 1. "RESERVED," newline bitfld.long 0x2BC 8. "MCASP1_AXR2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR2_MODESELECT_0,MCASP1_AXR2_MODESELECT_1" newline bitfld.long 0x2BC 4.--7. "MCASP1_AXR2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2BC 0.--3. "MCASP1_AXR2_MUXMODE," "MCASP1_AXR2_MUXMODE_0,MCASP1_AXR2_MUXMODE_1,?,MCASP1_AXR2_MUXMODE_3,?,?,MCASP1_AXR2_MUXMODE_6,?,MCASP1_AXR2_MUXMODE_8,?,?,?,?,?,MCASP1_AXR2_MUXMODE_14,MCASP1_AXR2_MUXMODE_15" line.long 0x2C0 "CTRL_CORE_PAD_MCASP1_AXR3," rbitfld.long 0x2C0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C0 25. "MCASP1_AXR3_WAKEUPEVENT," "MCASP1_AXR3_WAKEUPEVENT_0,MCASP1_AXR3_WAKEUPEVENT_1" newline bitfld.long 0x2C0 24. "MCASP1_AXR3_WAKEUPENABLE," "MCASP1_AXR3_WAKEUPENABLE_0,MCASP1_AXR3_WAKEUPENABLE_1" newline rbitfld.long 0x2C0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C0 19. "MCASP1_AXR3_SLEWCONTROL," "MCASP1_AXR3_SLEWCONTROL_0,MCASP1_AXR3_SLEWCONTROL_1" newline bitfld.long 0x2C0 18. "MCASP1_AXR3_INPUTENABLE," "MCASP1_AXR3_INPUTENABLE_0,MCASP1_AXR3_INPUTENABLE_1" newline bitfld.long 0x2C0 17. "MCASP1_AXR3_PULLTYPESELECT," "MCASP1_AXR3_PULLTYPESELECT_0,MCASP1_AXR3_PULLTYPESELECT_1" newline bitfld.long 0x2C0 16. "MCASP1_AXR3_PULLUDENABLE," "MCASP1_AXR3_PULLUDENABLE_0,MCASP1_AXR3_PULLUDENABLE_1" newline hexmask.long.byte 0x2C0 9.--15. 1. "RESERVED," newline bitfld.long 0x2C0 8. "MCASP1_AXR3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR3_MODESELECT_0,MCASP1_AXR3_MODESELECT_1" newline bitfld.long 0x2C0 4.--7. "MCASP1_AXR3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C0 0.--3. "MCASP1_AXR3_MUXMODE," "MCASP1_AXR3_MUXMODE_0,MCASP1_AXR3_MUXMODE_1,?,MCASP1_AXR3_MUXMODE_3,?,?,MCASP1_AXR3_MUXMODE_6,?,MCASP1_AXR3_MUXMODE_8,?,?,?,?,?,MCASP1_AXR3_MUXMODE_14,MCASP1_AXR3_MUXMODE_15" line.long 0x2C4 "CTRL_CORE_PAD_MCASP1_AXR4," rbitfld.long 0x2C4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C4 25. "MCASP1_AXR4_WAKEUPEVENT," "MCASP1_AXR4_WAKEUPEVENT_0,MCASP1_AXR4_WAKEUPEVENT_1" newline bitfld.long 0x2C4 24. "MCASP1_AXR4_WAKEUPENABLE," "MCASP1_AXR4_WAKEUPENABLE_0,MCASP1_AXR4_WAKEUPENABLE_1" newline rbitfld.long 0x2C4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C4 19. "MCASP1_AXR4_SLEWCONTROL," "MCASP1_AXR4_SLEWCONTROL_0,MCASP1_AXR4_SLEWCONTROL_1" newline bitfld.long 0x2C4 18. "MCASP1_AXR4_INPUTENABLE," "MCASP1_AXR4_INPUTENABLE_0,MCASP1_AXR4_INPUTENABLE_1" newline bitfld.long 0x2C4 17. "MCASP1_AXR4_PULLTYPESELECT," "MCASP1_AXR4_PULLTYPESELECT_0,MCASP1_AXR4_PULLTYPESELECT_1" newline bitfld.long 0x2C4 16. "MCASP1_AXR4_PULLUDENABLE," "MCASP1_AXR4_PULLUDENABLE_0,MCASP1_AXR4_PULLUDENABLE_1" newline hexmask.long.byte 0x2C4 9.--15. 1. "RESERVED," newline bitfld.long 0x2C4 8. "MCASP1_AXR4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR4_MODESELECT_0,MCASP1_AXR4_MODESELECT_1" newline bitfld.long 0x2C4 4.--7. "MCASP1_AXR4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C4 0.--3. "MCASP1_AXR4_MUXMODE," "MCASP1_AXR4_MUXMODE_0,MCASP1_AXR4_MUXMODE_1,?,?,?,?,MCASP1_AXR4_MUXMODE_6,?,MCASP1_AXR4_MUXMODE_8,?,?,?,?,?,MCASP1_AXR4_MUXMODE_14,MCASP1_AXR4_MUXMODE_15" line.long 0x2C8 "CTRL_CORE_PAD_MCASP1_AXR5," rbitfld.long 0x2C8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C8 25. "MCASP1_AXR5_WAKEUPEVENT," "MCASP1_AXR5_WAKEUPEVENT_0,MCASP1_AXR5_WAKEUPEVENT_1" newline bitfld.long 0x2C8 24. "MCASP1_AXR5_WAKEUPENABLE," "MCASP1_AXR5_WAKEUPENABLE_0,MCASP1_AXR5_WAKEUPENABLE_1" newline rbitfld.long 0x2C8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C8 19. "MCASP1_AXR5_SLEWCONTROL," "MCASP1_AXR5_SLEWCONTROL_0,MCASP1_AXR5_SLEWCONTROL_1" newline bitfld.long 0x2C8 18. "MCASP1_AXR5_INPUTENABLE," "MCASP1_AXR5_INPUTENABLE_0,MCASP1_AXR5_INPUTENABLE_1" newline bitfld.long 0x2C8 17. "MCASP1_AXR5_PULLTYPESELECT," "MCASP1_AXR5_PULLTYPESELECT_0,MCASP1_AXR5_PULLTYPESELECT_1" newline bitfld.long 0x2C8 16. "MCASP1_AXR5_PULLUDENABLE," "MCASP1_AXR5_PULLUDENABLE_0,MCASP1_AXR5_PULLUDENABLE_1" newline hexmask.long.byte 0x2C8 9.--15. 1. "RESERVED," newline bitfld.long 0x2C8 8. "MCASP1_AXR5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR5_MODESELECT_0,MCASP1_AXR5_MODESELECT_1" newline bitfld.long 0x2C8 4.--7. "MCASP1_AXR5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C8 0.--3. "MCASP1_AXR5_MUXMODE," "MCASP1_AXR5_MUXMODE_0,MCASP1_AXR5_MUXMODE_1,?,?,?,?,MCASP1_AXR5_MUXMODE_6,?,MCASP1_AXR5_MUXMODE_8,?,?,?,?,?,MCASP1_AXR5_MUXMODE_14,MCASP1_AXR5_MUXMODE_15" line.long 0x2CC "CTRL_CORE_PAD_MCASP1_AXR6," rbitfld.long 0x2CC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2CC 25. "MCASP1_AXR6_WAKEUPEVENT," "MCASP1_AXR6_WAKEUPEVENT_0,MCASP1_AXR6_WAKEUPEVENT_1" newline bitfld.long 0x2CC 24. "MCASP1_AXR6_WAKEUPENABLE," "MCASP1_AXR6_WAKEUPENABLE_0,MCASP1_AXR6_WAKEUPENABLE_1" newline rbitfld.long 0x2CC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2CC 19. "MCASP1_AXR6_SLEWCONTROL," "MCASP1_AXR6_SLEWCONTROL_0,MCASP1_AXR6_SLEWCONTROL_1" newline bitfld.long 0x2CC 18. "MCASP1_AXR6_INPUTENABLE," "MCASP1_AXR6_INPUTENABLE_0,MCASP1_AXR6_INPUTENABLE_1" newline bitfld.long 0x2CC 17. "MCASP1_AXR6_PULLTYPESELECT," "MCASP1_AXR6_PULLTYPESELECT_0,MCASP1_AXR6_PULLTYPESELECT_1" newline bitfld.long 0x2CC 16. "MCASP1_AXR6_PULLUDENABLE," "MCASP1_AXR6_PULLUDENABLE_0,MCASP1_AXR6_PULLUDENABLE_1" newline hexmask.long.byte 0x2CC 9.--15. 1. "RESERVED," newline bitfld.long 0x2CC 8. "MCASP1_AXR6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR6_MODESELECT_0,MCASP1_AXR6_MODESELECT_1" newline bitfld.long 0x2CC 4.--7. "MCASP1_AXR6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2CC 0.--3. "MCASP1_AXR6_MUXMODE," "MCASP1_AXR6_MUXMODE_0,MCASP1_AXR6_MUXMODE_1,?,?,?,?,MCASP1_AXR6_MUXMODE_6,?,MCASP1_AXR6_MUXMODE_8,?,?,?,?,?,MCASP1_AXR6_MUXMODE_14,MCASP1_AXR6_MUXMODE_15" line.long 0x2D0 "CTRL_CORE_PAD_MCASP1_AXR7," rbitfld.long 0x2D0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2D0 25. "MCASP1_AXR7_WAKEUPEVENT," "MCASP1_AXR7_WAKEUPEVENT_0,MCASP1_AXR7_WAKEUPEVENT_1" newline bitfld.long 0x2D0 24. "MCASP1_AXR7_WAKEUPENABLE," "MCASP1_AXR7_WAKEUPENABLE_0,MCASP1_AXR7_WAKEUPENABLE_1" newline rbitfld.long 0x2D0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D0 19. "MCASP1_AXR7_SLEWCONTROL," "MCASP1_AXR7_SLEWCONTROL_0,MCASP1_AXR7_SLEWCONTROL_1" newline bitfld.long 0x2D0 18. "MCASP1_AXR7_INPUTENABLE," "MCASP1_AXR7_INPUTENABLE_0,MCASP1_AXR7_INPUTENABLE_1" newline bitfld.long 0x2D0 17. "MCASP1_AXR7_PULLTYPESELECT," "MCASP1_AXR7_PULLTYPESELECT_0,MCASP1_AXR7_PULLTYPESELECT_1" newline bitfld.long 0x2D0 16. "MCASP1_AXR7_PULLUDENABLE," "MCASP1_AXR7_PULLUDENABLE_0,MCASP1_AXR7_PULLUDENABLE_1" newline hexmask.long.byte 0x2D0 9.--15. 1. "RESERVED," newline bitfld.long 0x2D0 8. "MCASP1_AXR7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR7_MODESELECT_0,MCASP1_AXR7_MODESELECT_1" newline bitfld.long 0x2D0 4.--7. "MCASP1_AXR7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D0 0.--3. "MCASP1_AXR7_MUXMODE," "MCASP1_AXR7_MUXMODE_0,MCASP1_AXR7_MUXMODE_1,?,?,?,?,MCASP1_AXR7_MUXMODE_6,?,MCASP1_AXR7_MUXMODE_8,?,MCASP1_AXR7_MUXMODE_10,?,?,?,MCASP1_AXR7_MUXMODE_14,MCASP1_AXR7_MUXMODE_15" line.long 0x2D4 "CTRL_CORE_PAD_MCASP1_AXR8," rbitfld.long 0x2D4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2D4 25. "MCASP1_AXR8_WAKEUPEVENT," "MCASP1_AXR8_WAKEUPEVENT_0,MCASP1_AXR8_WAKEUPEVENT_1" newline bitfld.long 0x2D4 24. "MCASP1_AXR8_WAKEUPENABLE," "MCASP1_AXR8_WAKEUPENABLE_0,MCASP1_AXR8_WAKEUPENABLE_1" newline rbitfld.long 0x2D4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D4 19. "MCASP1_AXR8_SLEWCONTROL," "MCASP1_AXR8_SLEWCONTROL_0,MCASP1_AXR8_SLEWCONTROL_1" newline bitfld.long 0x2D4 18. "MCASP1_AXR8_INPUTENABLE," "MCASP1_AXR8_INPUTENABLE_0,MCASP1_AXR8_INPUTENABLE_1" newline bitfld.long 0x2D4 17. "MCASP1_AXR8_PULLTYPESELECT," "MCASP1_AXR8_PULLTYPESELECT_0,MCASP1_AXR8_PULLTYPESELECT_1" newline bitfld.long 0x2D4 16. "MCASP1_AXR8_PULLUDENABLE," "MCASP1_AXR8_PULLUDENABLE_0,MCASP1_AXR8_PULLUDENABLE_1" newline hexmask.long.byte 0x2D4 9.--15. 1. "RESERVED," newline bitfld.long 0x2D4 8. "MCASP1_AXR8_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR8_MODESELECT_0,MCASP1_AXR8_MODESELECT_1" newline bitfld.long 0x2D4 4.--7. "MCASP1_AXR8_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D4 0.--3. "MCASP1_AXR8_MUXMODE," "MCASP1_AXR8_MUXMODE_0,MCASP1_AXR8_MUXMODE_1,?,MCASP1_AXR8_MUXMODE_3,?,?,?,MCASP1_AXR8_MUXMODE_7,?,?,MCASP1_AXR8_MUXMODE_10,MCASP1_AXR8_MUXMODE_11,MCASP1_AXR8_MUXMODE_12,MCASP1_AXR8_MUXMODE_13,MCASP1_AXR8_MUXMODE_14,MCASP1_AXR8_MUXMODE_15" line.long 0x2D8 "CTRL_CORE_PAD_MCASP1_AXR9," rbitfld.long 0x2D8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2D8 25. "MCASP1_AXR9_WAKEUPEVENT," "MCASP1_AXR9_WAKEUPEVENT_0,MCASP1_AXR9_WAKEUPEVENT_1" newline bitfld.long 0x2D8 24. "MCASP1_AXR9_WAKEUPENABLE," "MCASP1_AXR9_WAKEUPENABLE_0,MCASP1_AXR9_WAKEUPENABLE_1" newline rbitfld.long 0x2D8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D8 19. "MCASP1_AXR9_SLEWCONTROL," "MCASP1_AXR9_SLEWCONTROL_0,MCASP1_AXR9_SLEWCONTROL_1" newline bitfld.long 0x2D8 18. "MCASP1_AXR9_INPUTENABLE," "MCASP1_AXR9_INPUTENABLE_0,MCASP1_AXR9_INPUTENABLE_1" newline bitfld.long 0x2D8 17. "MCASP1_AXR9_PULLTYPESELECT," "MCASP1_AXR9_PULLTYPESELECT_0,MCASP1_AXR9_PULLTYPESELECT_1" newline bitfld.long 0x2D8 16. "MCASP1_AXR9_PULLUDENABLE," "MCASP1_AXR9_PULLUDENABLE_0,MCASP1_AXR9_PULLUDENABLE_1" newline hexmask.long.byte 0x2D8 9.--15. 1. "RESERVED," newline bitfld.long 0x2D8 8. "MCASP1_AXR9_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR9_MODESELECT_0,MCASP1_AXR9_MODESELECT_1" newline bitfld.long 0x2D8 4.--7. "MCASP1_AXR9_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2D8 0.--3. "MCASP1_AXR9_MUXMODE," "MCASP1_AXR9_MUXMODE_0,MCASP1_AXR9_MUXMODE_1,?,MCASP1_AXR9_MUXMODE_3,?,?,?,MCASP1_AXR9_MUXMODE_7,?,?,MCASP1_AXR9_MUXMODE_10,MCASP1_AXR9_MUXMODE_11,MCASP1_AXR9_MUXMODE_12,MCASP1_AXR9_MUXMODE_13,MCASP1_AXR9_MUXMODE_14,MCASP1_AXR9_MUXMODE_15" line.long 0x2DC "CTRL_CORE_PAD_MCASP1_AXR10," rbitfld.long 0x2DC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2DC 25. "MCASP1_AXR10_WAKEUPEVENT," "MCASP1_AXR10_WAKEUPEVENT_0,MCASP1_AXR10_WAKEUPEVENT_1" newline bitfld.long 0x2DC 24. "MCASP1_AXR10_WAKEUPENABLE," "MCASP1_AXR10_WAKEUPENABLE_0,MCASP1_AXR10_WAKEUPENABLE_1" newline rbitfld.long 0x2DC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2DC 19. "MCASP1_AXR10_SLEWCONTROL," "MCASP1_AXR10_SLEWCONTROL_0,MCASP1_AXR10_SLEWCONTROL_1" newline bitfld.long 0x2DC 18. "MCASP1_AXR10_INPUTENABLE," "MCASP1_AXR10_INPUTENABLE_0,MCASP1_AXR10_INPUTENABLE_1" newline bitfld.long 0x2DC 17. "MCASP1_AXR10_PULLTYPESELECT," "MCASP1_AXR10_PULLTYPESELECT_0,MCASP1_AXR10_PULLTYPESELECT_1" newline bitfld.long 0x2DC 16. "MCASP1_AXR10_PULLUDENABLE," "MCASP1_AXR10_PULLUDENABLE_0,MCASP1_AXR10_PULLUDENABLE_1" newline hexmask.long.byte 0x2DC 9.--15. 1. "RESERVED," newline bitfld.long 0x2DC 8. "MCASP1_AXR10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR10_MODESELECT_0,MCASP1_AXR10_MODESELECT_1" newline bitfld.long 0x2DC 4.--7. "MCASP1_AXR10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2DC 0.--3. "MCASP1_AXR10_MUXMODE," "MCASP1_AXR10_MUXMODE_0,MCASP1_AXR10_MUXMODE_1,MCASP1_AXR10_MUXMODE_2,MCASP1_AXR10_MUXMODE_3,?,?,?,MCASP1_AXR10_MUXMODE_7,?,?,MCASP1_AXR10_MUXMODE_10,MCASP1_AXR10_MUXMODE_11,MCASP1_AXR10_MUXMODE_12,MCASP1_AXR10_MUXMODE_13,MCASP1_AXR10_MUXMODE_14,MCASP1_AXR10_MUXMODE_15" line.long 0x2E0 "CTRL_CORE_PAD_MCASP1_AXR11," rbitfld.long 0x2E0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2E0 25. "MCASP1_AXR11_WAKEUPEVENT," "MCASP1_AXR11_WAKEUPEVENT_0,MCASP1_AXR11_WAKEUPEVENT_1" newline bitfld.long 0x2E0 24. "MCASP1_AXR11_WAKEUPENABLE," "MCASP1_AXR11_WAKEUPENABLE_0,MCASP1_AXR11_WAKEUPENABLE_1" newline rbitfld.long 0x2E0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E0 19. "MCASP1_AXR11_SLEWCONTROL," "MCASP1_AXR11_SLEWCONTROL_0,MCASP1_AXR11_SLEWCONTROL_1" newline bitfld.long 0x2E0 18. "MCASP1_AXR11_INPUTENABLE," "MCASP1_AXR11_INPUTENABLE_0,MCASP1_AXR11_INPUTENABLE_1" newline bitfld.long 0x2E0 17. "MCASP1_AXR11_PULLTYPESELECT," "MCASP1_AXR11_PULLTYPESELECT_0,MCASP1_AXR11_PULLTYPESELECT_1" newline bitfld.long 0x2E0 16. "MCASP1_AXR11_PULLUDENABLE," "MCASP1_AXR11_PULLUDENABLE_0,MCASP1_AXR11_PULLUDENABLE_1" newline hexmask.long.byte 0x2E0 9.--15. 1. "RESERVED," newline bitfld.long 0x2E0 8. "MCASP1_AXR11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR11_MODESELECT_0,MCASP1_AXR11_MODESELECT_1" newline bitfld.long 0x2E0 4.--7. "MCASP1_AXR11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E0 0.--3. "MCASP1_AXR11_MUXMODE," "MCASP1_AXR11_MUXMODE_0,MCASP1_AXR11_MUXMODE_1,MCASP1_AXR11_MUXMODE_2,MCASP1_AXR11_MUXMODE_3,?,?,?,MCASP1_AXR11_MUXMODE_7,?,?,MCASP1_AXR11_MUXMODE_10,MCASP1_AXR11_MUXMODE_11,MCASP1_AXR11_MUXMODE_12,MCASP1_AXR11_MUXMODE_13,MCASP1_AXR11_MUXMODE_14,MCASP1_AXR11_MUXMODE_15" line.long 0x2E4 "CTRL_CORE_PAD_MCASP1_AXR12," rbitfld.long 0x2E4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2E4 25. "MCASP1_AXR12_WAKEUPEVENT," "MCASP1_AXR12_WAKEUPEVENT_0,MCASP1_AXR12_WAKEUPEVENT_1" newline bitfld.long 0x2E4 24. "MCASP1_AXR12_WAKEUPENABLE," "MCASP1_AXR12_WAKEUPENABLE_0,MCASP1_AXR12_WAKEUPENABLE_1" newline rbitfld.long 0x2E4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E4 19. "MCASP1_AXR12_SLEWCONTROL," "MCASP1_AXR12_SLEWCONTROL_0,MCASP1_AXR12_SLEWCONTROL_1" newline bitfld.long 0x2E4 18. "MCASP1_AXR12_INPUTENABLE," "MCASP1_AXR12_INPUTENABLE_0,MCASP1_AXR12_INPUTENABLE_1" newline bitfld.long 0x2E4 17. "MCASP1_AXR12_PULLTYPESELECT," "MCASP1_AXR12_PULLTYPESELECT_0,MCASP1_AXR12_PULLTYPESELECT_1" newline bitfld.long 0x2E4 16. "MCASP1_AXR12_PULLUDENABLE," "MCASP1_AXR12_PULLUDENABLE_0,MCASP1_AXR12_PULLUDENABLE_1" newline hexmask.long.byte 0x2E4 9.--15. 1. "RESERVED," newline bitfld.long 0x2E4 8. "MCASP1_AXR12_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR12_MODESELECT_0,MCASP1_AXR12_MODESELECT_1" newline bitfld.long 0x2E4 4.--7. "MCASP1_AXR12_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E4 0.--3. "MCASP1_AXR12_MUXMODE," "MCASP1_AXR12_MUXMODE_0,MCASP1_AXR12_MUXMODE_1,?,MCASP1_AXR12_MUXMODE_3,?,?,?,MCASP1_AXR12_MUXMODE_7,?,?,MCASP1_AXR12_MUXMODE_10,MCASP1_AXR12_MUXMODE_11,MCASP1_AXR12_MUXMODE_12,MCASP1_AXR12_MUXMODE_13,MCASP1_AXR12_MUXMODE_14,MCASP1_AXR12_MUXMODE_15" line.long 0x2E8 "CTRL_CORE_PAD_MCASP1_AXR13," rbitfld.long 0x2E8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2E8 25. "MCASP1_AXR13_WAKEUPEVENT," "MCASP1_AXR13_WAKEUPEVENT_0,MCASP1_AXR13_WAKEUPEVENT_1" newline bitfld.long 0x2E8 24. "MCASP1_AXR13_WAKEUPENABLE," "MCASP1_AXR13_WAKEUPENABLE_0,MCASP1_AXR13_WAKEUPENABLE_1" newline rbitfld.long 0x2E8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E8 19. "MCASP1_AXR13_SLEWCONTROL," "MCASP1_AXR13_SLEWCONTROL_0,MCASP1_AXR13_SLEWCONTROL_1" newline bitfld.long 0x2E8 18. "MCASP1_AXR13_INPUTENABLE," "MCASP1_AXR13_INPUTENABLE_0,MCASP1_AXR13_INPUTENABLE_1" newline bitfld.long 0x2E8 17. "MCASP1_AXR13_PULLTYPESELECT," "MCASP1_AXR13_PULLTYPESELECT_0,MCASP1_AXR13_PULLTYPESELECT_1" newline bitfld.long 0x2E8 16. "MCASP1_AXR13_PULLUDENABLE," "MCASP1_AXR13_PULLUDENABLE_0,MCASP1_AXR13_PULLUDENABLE_1" newline hexmask.long.byte 0x2E8 9.--15. 1. "RESERVED," newline bitfld.long 0x2E8 8. "MCASP1_AXR13_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR13_MODESELECT_0,MCASP1_AXR13_MODESELECT_1" newline bitfld.long 0x2E8 4.--7. "MCASP1_AXR13_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2E8 0.--3. "MCASP1_AXR13_MUXMODE," "MCASP1_AXR13_MUXMODE_0,MCASP1_AXR13_MUXMODE_1,?,?,?,?,?,MCASP1_AXR13_MUXMODE_7,?,?,MCASP1_AXR13_MUXMODE_10,MCASP1_AXR13_MUXMODE_11,MCASP1_AXR13_MUXMODE_12,MCASP1_AXR13_MUXMODE_13,MCASP1_AXR13_MUXMODE_14,MCASP1_AXR13_MUXMODE_15" line.long 0x2EC "CTRL_CORE_PAD_MCASP1_AXR14," rbitfld.long 0x2EC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2EC 25. "MCASP1_AXR14_WAKEUPEVENT," "MCASP1_AXR14_WAKEUPEVENT_0,MCASP1_AXR14_WAKEUPEVENT_1" newline bitfld.long 0x2EC 24. "MCASP1_AXR14_WAKEUPENABLE," "MCASP1_AXR14_WAKEUPENABLE_0,MCASP1_AXR14_WAKEUPENABLE_1" newline rbitfld.long 0x2EC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2EC 19. "MCASP1_AXR14_SLEWCONTROL," "MCASP1_AXR14_SLEWCONTROL_0,MCASP1_AXR14_SLEWCONTROL_1" newline bitfld.long 0x2EC 18. "MCASP1_AXR14_INPUTENABLE," "MCASP1_AXR14_INPUTENABLE_0,MCASP1_AXR14_INPUTENABLE_1" newline bitfld.long 0x2EC 17. "MCASP1_AXR14_PULLTYPESELECT," "MCASP1_AXR14_PULLTYPESELECT_0,MCASP1_AXR14_PULLTYPESELECT_1" newline bitfld.long 0x2EC 16. "MCASP1_AXR14_PULLUDENABLE," "MCASP1_AXR14_PULLUDENABLE_0,MCASP1_AXR14_PULLUDENABLE_1" newline hexmask.long.byte 0x2EC 9.--15. 1. "RESERVED," newline bitfld.long 0x2EC 8. "MCASP1_AXR14_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR14_MODESELECT_0,MCASP1_AXR14_MODESELECT_1" newline bitfld.long 0x2EC 4.--7. "MCASP1_AXR14_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2EC 0.--3. "MCASP1_AXR14_MUXMODE," "MCASP1_AXR14_MUXMODE_0,MCASP1_AXR14_MUXMODE_1,MCASP1_AXR14_MUXMODE_2,?,?,?,?,MCASP1_AXR14_MUXMODE_7,?,?,MCASP1_AXR14_MUXMODE_10,MCASP1_AXR14_MUXMODE_11,MCASP1_AXR14_MUXMODE_12,MCASP1_AXR14_MUXMODE_13,MCASP1_AXR14_MUXMODE_14,MCASP1_AXR14_MUXMODE_15" line.long 0x2F0 "CTRL_CORE_PAD_MCASP1_AXR15," rbitfld.long 0x2F0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2F0 25. "MCASP1_AXR15_WAKEUPEVENT," "MCASP1_AXR15_WAKEUPEVENT_0,MCASP1_AXR15_WAKEUPEVENT_1" newline bitfld.long 0x2F0 24. "MCASP1_AXR15_WAKEUPENABLE," "MCASP1_AXR15_WAKEUPENABLE_0,MCASP1_AXR15_WAKEUPENABLE_1" newline rbitfld.long 0x2F0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F0 19. "MCASP1_AXR15_SLEWCONTROL," "MCASP1_AXR15_SLEWCONTROL_0,MCASP1_AXR15_SLEWCONTROL_1" newline bitfld.long 0x2F0 18. "MCASP1_AXR15_INPUTENABLE," "MCASP1_AXR15_INPUTENABLE_0,MCASP1_AXR15_INPUTENABLE_1" newline bitfld.long 0x2F0 17. "MCASP1_AXR15_PULLTYPESELECT," "MCASP1_AXR15_PULLTYPESELECT_0,MCASP1_AXR15_PULLTYPESELECT_1" newline bitfld.long 0x2F0 16. "MCASP1_AXR15_PULLUDENABLE," "MCASP1_AXR15_PULLUDENABLE_0,MCASP1_AXR15_PULLUDENABLE_1" newline hexmask.long.byte 0x2F0 9.--15. 1. "RESERVED," newline bitfld.long 0x2F0 8. "MCASP1_AXR15_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP1_AXR15_MODESELECT_0,MCASP1_AXR15_MODESELECT_1" newline bitfld.long 0x2F0 4.--7. "MCASP1_AXR15_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F0 0.--3. "MCASP1_AXR15_MUXMODE," "MCASP1_AXR15_MUXMODE_0,MCASP1_AXR15_MUXMODE_1,MCASP1_AXR15_MUXMODE_2,?,?,?,?,MCASP1_AXR15_MUXMODE_7,?,?,MCASP1_AXR15_MUXMODE_10,MCASP1_AXR15_MUXMODE_11,MCASP1_AXR15_MUXMODE_12,MCASP1_AXR15_MUXMODE_13,MCASP1_AXR15_MUXMODE_14,MCASP1_AXR15_MUXMODE_15" line.long 0x2F4 "CTRL_CORE_PAD_MCASP2_ACLKX," rbitfld.long 0x2F4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2F4 25. "MCASP2_ACLKX_WAKEUPEVENT," "MCASP2_ACLKX_WAKEUPEVENT_0,MCASP2_ACLKX_WAKEUPEVENT_1" newline bitfld.long 0x2F4 24. "MCASP2_ACLKX_WAKEUPENABLE," "MCASP2_ACLKX_WAKEUPENABLE_0,MCASP2_ACLKX_WAKEUPENABLE_1" newline rbitfld.long 0x2F4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F4 19. "MCASP2_ACLKX_SLEWCONTROL," "MCASP2_ACLKX_SLEWCONTROL_0,MCASP2_ACLKX_SLEWCONTROL_1" newline bitfld.long 0x2F4 18. "MCASP2_ACLKX_INPUTENABLE," "MCASP2_ACLKX_INPUTENABLE_0,MCASP2_ACLKX_INPUTENABLE_1" newline bitfld.long 0x2F4 17. "MCASP2_ACLKX_PULLTYPESELECT," "MCASP2_ACLKX_PULLTYPESELECT_0,MCASP2_ACLKX_PULLTYPESELECT_1" newline bitfld.long 0x2F4 16. "MCASP2_ACLKX_PULLUDENABLE," "MCASP2_ACLKX_PULLUDENABLE_0,MCASP2_ACLKX_PULLUDENABLE_1" newline hexmask.long.byte 0x2F4 9.--15. 1. "RESERVED," newline bitfld.long 0x2F4 8. "MCASP2_ACLKX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_ACLKX_MODESELECT_0,MCASP2_ACLKX_MODESELECT_1" newline bitfld.long 0x2F4 4.--7. "MCASP2_ACLKX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F4 0.--3. "MCASP2_ACLKX_MUXMODE," "MCASP2_ACLKX_MUXMODE_0,?,?,?,?,?,?,MCASP2_ACLKX_MUXMODE_7,?,?,?,MCASP2_ACLKX_MUXMODE_11,MCASP2_ACLKX_MUXMODE_12,MCASP2_ACLKX_MUXMODE_13,?,MCASP2_ACLKX_MUXMODE_15" line.long 0x2F8 "CTRL_CORE_PAD_MCASP2_FSX," rbitfld.long 0x2F8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2F8 25. "MCASP2_FSX_WAKEUPEVENT," "MCASP2_FSX_WAKEUPEVENT_0,MCASP2_FSX_WAKEUPEVENT_1" newline bitfld.long 0x2F8 24. "MCASP2_FSX_WAKEUPENABLE," "MCASP2_FSX_WAKEUPENABLE_0,MCASP2_FSX_WAKEUPENABLE_1" newline rbitfld.long 0x2F8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F8 19. "MCASP2_FSX_SLEWCONTROL," "MCASP2_FSX_SLEWCONTROL_0,MCASP2_FSX_SLEWCONTROL_1" newline bitfld.long 0x2F8 18. "MCASP2_FSX_INPUTENABLE," "MCASP2_FSX_INPUTENABLE_0,MCASP2_FSX_INPUTENABLE_1" newline bitfld.long 0x2F8 17. "MCASP2_FSX_PULLTYPESELECT," "MCASP2_FSX_PULLTYPESELECT_0,MCASP2_FSX_PULLTYPESELECT_1" newline bitfld.long 0x2F8 16. "MCASP2_FSX_PULLUDENABLE," "MCASP2_FSX_PULLUDENABLE_0,MCASP2_FSX_PULLUDENABLE_1" newline hexmask.long.byte 0x2F8 9.--15. 1. "RESERVED," newline bitfld.long 0x2F8 8. "MCASP2_FSX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_FSX_MODESELECT_0,MCASP2_FSX_MODESELECT_1" newline bitfld.long 0x2F8 4.--7. "MCASP2_FSX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2F8 0.--3. "MCASP2_FSX_MUXMODE," "MCASP2_FSX_MUXMODE_0,?,?,?,?,?,?,MCASP2_FSX_MUXMODE_7,?,?,?,MCASP2_FSX_MUXMODE_11,MCASP2_FSX_MUXMODE_12,MCASP2_FSX_MUXMODE_13,?,MCASP2_FSX_MUXMODE_15" line.long 0x2FC "CTRL_CORE_PAD_MCASP2_ACLKR," rbitfld.long 0x2FC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2FC 25. "MCASP2_ACLKR_WAKEUPEVENT," "MCASP2_ACLKR_WAKEUPEVENT_0,MCASP2_ACLKR_WAKEUPEVENT_1" newline bitfld.long 0x2FC 24. "MCASP2_ACLKR_WAKEUPENABLE," "MCASP2_ACLKR_WAKEUPENABLE_0,MCASP2_ACLKR_WAKEUPENABLE_1" newline rbitfld.long 0x2FC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2FC 19. "MCASP2_ACLKR_SLEWCONTROL," "MCASP2_ACLKR_SLEWCONTROL_0,MCASP2_ACLKR_SLEWCONTROL_1" newline bitfld.long 0x2FC 18. "MCASP2_ACLKR_INPUTENABLE," "MCASP2_ACLKR_INPUTENABLE_0,MCASP2_ACLKR_INPUTENABLE_1" newline bitfld.long 0x2FC 17. "MCASP2_ACLKR_PULLTYPESELECT," "MCASP2_ACLKR_PULLTYPESELECT_0,MCASP2_ACLKR_PULLTYPESELECT_1" newline bitfld.long 0x2FC 16. "MCASP2_ACLKR_PULLUDENABLE," "MCASP2_ACLKR_PULLUDENABLE_0,MCASP2_ACLKR_PULLUDENABLE_1" newline hexmask.long.byte 0x2FC 9.--15. 1. "RESERVED," newline bitfld.long 0x2FC 8. "MCASP2_ACLKR_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_ACLKR_MODESELECT_0,MCASP2_ACLKR_MODESELECT_1" newline bitfld.long 0x2FC 4.--7. "MCASP2_ACLKR_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2FC 0.--3. "MCASP2_ACLKR_MUXMODE," "MCASP2_ACLKR_MUXMODE_0,MCASP2_ACLKR_MUXMODE_1,?,?,?,?,MCASP2_ACLKR_MUXMODE_6,?,MCASP2_ACLKR_MUXMODE_8,?,?,?,?,?,?,MCASP2_ACLKR_MUXMODE_15" line.long 0x300 "CTRL_CORE_PAD_MCASP2_FSR," rbitfld.long 0x300 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x300 25. "MCASP2_FSR_WAKEUPEVENT," "MCASP2_FSR_WAKEUPEVENT_0,MCASP2_FSR_WAKEUPEVENT_1" newline bitfld.long 0x300 24. "MCASP2_FSR_WAKEUPENABLE," "MCASP2_FSR_WAKEUPENABLE_0,MCASP2_FSR_WAKEUPENABLE_1" newline rbitfld.long 0x300 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x300 19. "MCASP2_FSR_SLEWCONTROL," "MCASP2_FSR_SLEWCONTROL_0,MCASP2_FSR_SLEWCONTROL_1" newline bitfld.long 0x300 18. "MCASP2_FSR_INPUTENABLE," "MCASP2_FSR_INPUTENABLE_0,MCASP2_FSR_INPUTENABLE_1" newline bitfld.long 0x300 17. "MCASP2_FSR_PULLTYPESELECT," "MCASP2_FSR_PULLTYPESELECT_0,MCASP2_FSR_PULLTYPESELECT_1" newline bitfld.long 0x300 16. "MCASP2_FSR_PULLUDENABLE," "MCASP2_FSR_PULLUDENABLE_0,MCASP2_FSR_PULLUDENABLE_1" newline hexmask.long.byte 0x300 9.--15. 1. "RESERVED," newline bitfld.long 0x300 8. "MCASP2_FSR_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_FSR_MODESELECT_0,MCASP2_FSR_MODESELECT_1" newline bitfld.long 0x300 4.--7. "MCASP2_FSR_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x300 0.--3. "MCASP2_FSR_MUXMODE," "MCASP2_FSR_MUXMODE_0,MCASP2_FSR_MUXMODE_1,?,?,?,?,MCASP2_FSR_MUXMODE_6,?,MCASP2_FSR_MUXMODE_8,?,?,?,?,?,?,MCASP2_FSR_MUXMODE_15" line.long 0x304 "CTRL_CORE_PAD_MCASP2_AXR0," rbitfld.long 0x304 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x304 25. "MCASP2_AXR0_WAKEUPEVENT," "MCASP2_AXR0_WAKEUPEVENT_0,MCASP2_AXR0_WAKEUPEVENT_1" newline bitfld.long 0x304 24. "MCASP2_AXR0_WAKEUPENABLE," "MCASP2_AXR0_WAKEUPENABLE_0,MCASP2_AXR0_WAKEUPENABLE_1" newline rbitfld.long 0x304 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x304 19. "MCASP2_AXR0_SLEWCONTROL," "MCASP2_AXR0_SLEWCONTROL_0,MCASP2_AXR0_SLEWCONTROL_1" newline bitfld.long 0x304 18. "MCASP2_AXR0_INPUTENABLE," "MCASP2_AXR0_INPUTENABLE_0,MCASP2_AXR0_INPUTENABLE_1" newline bitfld.long 0x304 17. "MCASP2_AXR0_PULLTYPESELECT," "MCASP2_AXR0_PULLTYPESELECT_0,MCASP2_AXR0_PULLTYPESELECT_1" newline bitfld.long 0x304 16. "MCASP2_AXR0_PULLUDENABLE," "MCASP2_AXR0_PULLUDENABLE_0,MCASP2_AXR0_PULLUDENABLE_1" newline hexmask.long.byte 0x304 9.--15. 1. "RESERVED," newline bitfld.long 0x304 8. "MCASP2_AXR0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR0_MODESELECT_0,MCASP2_AXR0_MODESELECT_1" newline bitfld.long 0x304 4.--7. "MCASP2_AXR0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x304 0.--3. "MCASP2_AXR0_MUXMODE," "MCASP2_AXR0_MUXMODE_0,?,?,?,?,?,MCASP2_AXR0_MUXMODE_6,?,MCASP2_AXR0_MUXMODE_8,?,?,?,?,?,?,MCASP2_AXR0_MUXMODE_15" line.long 0x308 "CTRL_CORE_PAD_MCASP2_AXR1," rbitfld.long 0x308 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x308 25. "MCASP2_AXR1_WAKEUPEVENT," "MCASP2_AXR1_WAKEUPEVENT_0,MCASP2_AXR1_WAKEUPEVENT_1" newline bitfld.long 0x308 24. "MCASP2_AXR1_WAKEUPENABLE," "MCASP2_AXR1_WAKEUPENABLE_0,MCASP2_AXR1_WAKEUPENABLE_1" newline rbitfld.long 0x308 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x308 19. "MCASP2_AXR1_SLEWCONTROL," "MCASP2_AXR1_SLEWCONTROL_0,MCASP2_AXR1_SLEWCONTROL_1" newline bitfld.long 0x308 18. "MCASP2_AXR1_INPUTENABLE," "MCASP2_AXR1_INPUTENABLE_0,MCASP2_AXR1_INPUTENABLE_1" newline bitfld.long 0x308 17. "MCASP2_AXR1_PULLTYPESELECT," "MCASP2_AXR1_PULLTYPESELECT_0,MCASP2_AXR1_PULLTYPESELECT_1" newline bitfld.long 0x308 16. "MCASP2_AXR1_PULLUDENABLE," "MCASP2_AXR1_PULLUDENABLE_0,MCASP2_AXR1_PULLUDENABLE_1" newline hexmask.long.byte 0x308 9.--15. 1. "RESERVED," newline bitfld.long 0x308 8. "MCASP2_AXR1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR1_MODESELECT_0,MCASP2_AXR1_MODESELECT_1" newline bitfld.long 0x308 4.--7. "MCASP2_AXR1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x308 0.--3. "MCASP2_AXR1_MUXMODE," "MCASP2_AXR1_MUXMODE_0,?,?,?,?,?,MCASP2_AXR1_MUXMODE_6,?,MCASP2_AXR1_MUXMODE_8,?,?,?,?,?,?,MCASP2_AXR1_MUXMODE_15" line.long 0x30C "CTRL_CORE_PAD_MCASP2_AXR2," rbitfld.long 0x30C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x30C 25. "MCASP2_AXR2_WAKEUPEVENT," "MCASP2_AXR2_WAKEUPEVENT_0,MCASP2_AXR2_WAKEUPEVENT_1" newline bitfld.long 0x30C 24. "MCASP2_AXR2_WAKEUPENABLE," "MCASP2_AXR2_WAKEUPENABLE_0,MCASP2_AXR2_WAKEUPENABLE_1" newline rbitfld.long 0x30C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30C 19. "MCASP2_AXR2_SLEWCONTROL," "MCASP2_AXR2_SLEWCONTROL_0,MCASP2_AXR2_SLEWCONTROL_1" newline bitfld.long 0x30C 18. "MCASP2_AXR2_INPUTENABLE," "MCASP2_AXR2_INPUTENABLE_0,MCASP2_AXR2_INPUTENABLE_1" newline bitfld.long 0x30C 17. "MCASP2_AXR2_PULLTYPESELECT," "MCASP2_AXR2_PULLTYPESELECT_0,MCASP2_AXR2_PULLTYPESELECT_1" newline bitfld.long 0x30C 16. "MCASP2_AXR2_PULLUDENABLE," "MCASP2_AXR2_PULLUDENABLE_0,MCASP2_AXR2_PULLUDENABLE_1" newline hexmask.long.byte 0x30C 9.--15. 1. "RESERVED," newline bitfld.long 0x30C 8. "MCASP2_AXR2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR2_MODESELECT_0,MCASP2_AXR2_MODESELECT_1" newline bitfld.long 0x30C 4.--7. "MCASP2_AXR2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30C 0.--3. "MCASP2_AXR2_MUXMODE," "MCASP2_AXR2_MUXMODE_0,MCASP2_AXR2_MUXMODE_1,?,?,?,?,?,MCASP2_AXR2_MUXMODE_7,?,?,?,MCASP2_AXR2_MUXMODE_11,MCASP2_AXR2_MUXMODE_12,MCASP2_AXR2_MUXMODE_13,MCASP2_AXR2_MUXMODE_14,MCASP2_AXR2_MUXMODE_15" line.long 0x310 "CTRL_CORE_PAD_MCASP2_AXR3," rbitfld.long 0x310 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x310 25. "MCASP2_AXR3_WAKEUPEVENT," "MCASP2_AXR3_WAKEUPEVENT_0,MCASP2_AXR3_WAKEUPEVENT_1" newline bitfld.long 0x310 24. "MCASP2_AXR3_WAKEUPENABLE," "MCASP2_AXR3_WAKEUPENABLE_0,MCASP2_AXR3_WAKEUPENABLE_1" newline rbitfld.long 0x310 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x310 19. "MCASP2_AXR3_SLEWCONTROL," "MCASP2_AXR3_SLEWCONTROL_0,MCASP2_AXR3_SLEWCONTROL_1" newline bitfld.long 0x310 18. "MCASP2_AXR3_INPUTENABLE," "MCASP2_AXR3_INPUTENABLE_0,MCASP2_AXR3_INPUTENABLE_1" newline bitfld.long 0x310 17. "MCASP2_AXR3_PULLTYPESELECT," "MCASP2_AXR3_PULLTYPESELECT_0,MCASP2_AXR3_PULLTYPESELECT_1" newline bitfld.long 0x310 16. "MCASP2_AXR3_PULLUDENABLE," "MCASP2_AXR3_PULLUDENABLE_0,MCASP2_AXR3_PULLUDENABLE_1" newline hexmask.long.byte 0x310 9.--15. 1. "RESERVED," newline bitfld.long 0x310 8. "MCASP2_AXR3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR3_MODESELECT_0,MCASP2_AXR3_MODESELECT_1" newline bitfld.long 0x310 4.--7. "MCASP2_AXR3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x310 0.--3. "MCASP2_AXR3_MUXMODE," "MCASP2_AXR3_MUXMODE_0,MCASP2_AXR3_MUXMODE_1,?,?,?,?,?,MCASP2_AXR3_MUXMODE_7,?,?,?,MCASP2_AXR3_MUXMODE_11,MCASP2_AXR3_MUXMODE_12,MCASP2_AXR3_MUXMODE_13,MCASP2_AXR3_MUXMODE_14,MCASP2_AXR3_MUXMODE_15" line.long 0x314 "CTRL_CORE_PAD_MCASP2_AXR4," rbitfld.long 0x314 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x314 25. "MCASP2_AXR4_WAKEUPEVENT," "MCASP2_AXR4_WAKEUPEVENT_0,MCASP2_AXR4_WAKEUPEVENT_1" newline bitfld.long 0x314 24. "MCASP2_AXR4_WAKEUPENABLE," "MCASP2_AXR4_WAKEUPENABLE_0,MCASP2_AXR4_WAKEUPENABLE_1" newline rbitfld.long 0x314 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x314 19. "MCASP2_AXR4_SLEWCONTROL," "MCASP2_AXR4_SLEWCONTROL_0,MCASP2_AXR4_SLEWCONTROL_1" newline bitfld.long 0x314 18. "MCASP2_AXR4_INPUTENABLE," "MCASP2_AXR4_INPUTENABLE_0,MCASP2_AXR4_INPUTENABLE_1" newline bitfld.long 0x314 17. "MCASP2_AXR4_PULLTYPESELECT," "MCASP2_AXR4_PULLTYPESELECT_0,MCASP2_AXR4_PULLTYPESELECT_1" newline bitfld.long 0x314 16. "MCASP2_AXR4_PULLUDENABLE," "MCASP2_AXR4_PULLUDENABLE_0,MCASP2_AXR4_PULLUDENABLE_1" newline hexmask.long.byte 0x314 9.--15. 1. "RESERVED," newline bitfld.long 0x314 8. "MCASP2_AXR4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR4_MODESELECT_0,MCASP2_AXR4_MODESELECT_1" newline bitfld.long 0x314 4.--7. "MCASP2_AXR4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x314 0.--3. "MCASP2_AXR4_MUXMODE," "MCASP2_AXR4_MUXMODE_0,MCASP2_AXR4_MUXMODE_1,?,?,?,?,MCASP2_AXR4_MUXMODE_6,?,MCASP2_AXR4_MUXMODE_8,?,?,?,?,?,MCASP2_AXR4_MUXMODE_14,MCASP2_AXR4_MUXMODE_15" line.long 0x318 "CTRL_CORE_PAD_MCASP2_AXR5," rbitfld.long 0x318 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x318 25. "MCASP2_AXR5_WAKEUPEVENT," "MCASP2_AXR5_WAKEUPEVENT_0,MCASP2_AXR5_WAKEUPEVENT_1" newline bitfld.long 0x318 24. "MCASP2_AXR5_WAKEUPENABLE," "MCASP2_AXR5_WAKEUPENABLE_0,MCASP2_AXR5_WAKEUPENABLE_1" newline rbitfld.long 0x318 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x318 19. "MCASP2_AXR5_SLEWCONTROL," "MCASP2_AXR5_SLEWCONTROL_0,MCASP2_AXR5_SLEWCONTROL_1" newline bitfld.long 0x318 18. "MCASP2_AXR5_INPUTENABLE," "MCASP2_AXR5_INPUTENABLE_0,MCASP2_AXR5_INPUTENABLE_1" newline bitfld.long 0x318 17. "MCASP2_AXR5_PULLTYPESELECT," "MCASP2_AXR5_PULLTYPESELECT_0,MCASP2_AXR5_PULLTYPESELECT_1" newline bitfld.long 0x318 16. "MCASP2_AXR5_PULLUDENABLE," "MCASP2_AXR5_PULLUDENABLE_0,MCASP2_AXR5_PULLUDENABLE_1" newline hexmask.long.byte 0x318 9.--15. 1. "RESERVED," newline bitfld.long 0x318 8. "MCASP2_AXR5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR5_MODESELECT_0,MCASP2_AXR5_MODESELECT_1" newline bitfld.long 0x318 4.--7. "MCASP2_AXR5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x318 0.--3. "MCASP2_AXR5_MUXMODE," "MCASP2_AXR5_MUXMODE_0,MCASP2_AXR5_MUXMODE_1,?,?,?,?,MCASP2_AXR5_MUXMODE_6,?,MCASP2_AXR5_MUXMODE_8,?,?,?,?,?,MCASP2_AXR5_MUXMODE_14,MCASP2_AXR5_MUXMODE_15" line.long 0x31C "CTRL_CORE_PAD_MCASP2_AXR6," rbitfld.long 0x31C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x31C 25. "MCASP2_AXR6_WAKEUPEVENT," "MCASP2_AXR6_WAKEUPEVENT_0,MCASP2_AXR6_WAKEUPEVENT_1" newline bitfld.long 0x31C 24. "MCASP2_AXR6_WAKEUPENABLE," "MCASP2_AXR6_WAKEUPENABLE_0,MCASP2_AXR6_WAKEUPENABLE_1" newline rbitfld.long 0x31C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x31C 19. "MCASP2_AXR6_SLEWCONTROL," "MCASP2_AXR6_SLEWCONTROL_0,MCASP2_AXR6_SLEWCONTROL_1" newline bitfld.long 0x31C 18. "MCASP2_AXR6_INPUTENABLE," "MCASP2_AXR6_INPUTENABLE_0,MCASP2_AXR6_INPUTENABLE_1" newline bitfld.long 0x31C 17. "MCASP2_AXR6_PULLTYPESELECT," "MCASP2_AXR6_PULLTYPESELECT_0,MCASP2_AXR6_PULLTYPESELECT_1" newline bitfld.long 0x31C 16. "MCASP2_AXR6_PULLUDENABLE," "MCASP2_AXR6_PULLUDENABLE_0,MCASP2_AXR6_PULLUDENABLE_1" newline hexmask.long.byte 0x31C 9.--15. 1. "RESERVED," newline bitfld.long 0x31C 8. "MCASP2_AXR6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR6_MODESELECT_0,MCASP2_AXR6_MODESELECT_1" newline bitfld.long 0x31C 4.--7. "MCASP2_AXR6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x31C 0.--3. "MCASP2_AXR6_MUXMODE," "MCASP2_AXR6_MUXMODE_0,MCASP2_AXR6_MUXMODE_1,MCASP2_AXR6_MUXMODE_2,?,?,?,MCASP2_AXR6_MUXMODE_6,?,MCASP2_AXR6_MUXMODE_8,?,?,?,?,?,MCASP2_AXR6_MUXMODE_14,MCASP2_AXR6_MUXMODE_15" line.long 0x320 "CTRL_CORE_PAD_MCASP2_AXR7," rbitfld.long 0x320 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x320 25. "MCASP2_AXR7_WAKEUPEVENT," "MCASP2_AXR7_WAKEUPEVENT_0,MCASP2_AXR7_WAKEUPEVENT_1" newline bitfld.long 0x320 24. "MCASP2_AXR7_WAKEUPENABLE," "MCASP2_AXR7_WAKEUPENABLE_0,MCASP2_AXR7_WAKEUPENABLE_1" newline rbitfld.long 0x320 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x320 19. "MCASP2_AXR7_SLEWCONTROL," "MCASP2_AXR7_SLEWCONTROL_0,MCASP2_AXR7_SLEWCONTROL_1" newline bitfld.long 0x320 18. "MCASP2_AXR7_INPUTENABLE," "MCASP2_AXR7_INPUTENABLE_0,MCASP2_AXR7_INPUTENABLE_1" newline bitfld.long 0x320 17. "MCASP2_AXR7_PULLTYPESELECT," "MCASP2_AXR7_PULLTYPESELECT_0,MCASP2_AXR7_PULLTYPESELECT_1" newline bitfld.long 0x320 16. "MCASP2_AXR7_PULLUDENABLE," "MCASP2_AXR7_PULLUDENABLE_0,MCASP2_AXR7_PULLUDENABLE_1" newline hexmask.long.byte 0x320 9.--15. 1. "RESERVED," newline bitfld.long 0x320 8. "MCASP2_AXR7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP2_AXR7_MODESELECT_0,MCASP2_AXR7_MODESELECT_1" newline bitfld.long 0x320 4.--7. "MCASP2_AXR7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x320 0.--3. "MCASP2_AXR7_MUXMODE," "MCASP2_AXR7_MUXMODE_0,MCASP2_AXR7_MUXMODE_1,MCASP2_AXR7_MUXMODE_2,?,?,?,MCASP2_AXR7_MUXMODE_6,?,MCASP2_AXR7_MUXMODE_8,?,?,?,?,?,MCASP2_AXR7_MUXMODE_14,MCASP2_AXR7_MUXMODE_15" line.long 0x324 "CTRL_CORE_PAD_MCASP3_ACLKX," rbitfld.long 0x324 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x324 25. "MCASP3_ACLKX_WAKEUPEVENT," "MCASP3_ACLKX_WAKEUPEVENT_0,MCASP3_ACLKX_WAKEUPEVENT_1" newline bitfld.long 0x324 24. "MCASP3_ACLKX_WAKEUPENABLE," "MCASP3_ACLKX_WAKEUPENABLE_0,MCASP3_ACLKX_WAKEUPENABLE_1" newline rbitfld.long 0x324 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x324 19. "MCASP3_ACLKX_SLEWCONTROL," "MCASP3_ACLKX_SLEWCONTROL_0,MCASP3_ACLKX_SLEWCONTROL_1" newline bitfld.long 0x324 18. "MCASP3_ACLKX_INPUTENABLE," "MCASP3_ACLKX_INPUTENABLE_0,MCASP3_ACLKX_INPUTENABLE_1" newline bitfld.long 0x324 17. "MCASP3_ACLKX_PULLTYPESELECT," "MCASP3_ACLKX_PULLTYPESELECT_0,MCASP3_ACLKX_PULLTYPESELECT_1" newline bitfld.long 0x324 16. "MCASP3_ACLKX_PULLUDENABLE," "MCASP3_ACLKX_PULLUDENABLE_0,MCASP3_ACLKX_PULLUDENABLE_1" newline hexmask.long.byte 0x324 9.--15. 1. "RESERVED," newline bitfld.long 0x324 8. "MCASP3_ACLKX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP3_ACLKX_MODESELECT_0,MCASP3_ACLKX_MODESELECT_1" newline bitfld.long 0x324 4.--7. "MCASP3_ACLKX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x324 0.--3. "MCASP3_ACLKX_MUXMODE," "MCASP3_ACLKX_MUXMODE_0,MCASP3_ACLKX_MUXMODE_1,MCASP3_ACLKX_MUXMODE_2,MCASP3_ACLKX_MUXMODE_3,?,?,?,MCASP3_ACLKX_MUXMODE_7,?,?,?,MCASP3_ACLKX_MUXMODE_11,MCASP3_ACLKX_MUXMODE_12,MCASP3_ACLKX_MUXMODE_13,MCASP3_ACLKX_MUXMODE_14,MCASP3_ACLKX_MUXMODE_15" line.long 0x328 "CTRL_CORE_PAD_MCASP3_FSX," rbitfld.long 0x328 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x328 25. "MCASP3_FSX_WAKEUPEVENT," "MCASP3_FSX_WAKEUPEVENT_0,MCASP3_FSX_WAKEUPEVENT_1" newline bitfld.long 0x328 24. "MCASP3_FSX_WAKEUPENABLE," "MCASP3_FSX_WAKEUPENABLE_0,MCASP3_FSX_WAKEUPENABLE_1" newline rbitfld.long 0x328 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x328 19. "MCASP3_FSX_SLEWCONTROL," "MCASP3_FSX_SLEWCONTROL_0,MCASP3_FSX_SLEWCONTROL_1" newline bitfld.long 0x328 18. "MCASP3_FSX_INPUTENABLE," "MCASP3_FSX_INPUTENABLE_0,MCASP3_FSX_INPUTENABLE_1" newline bitfld.long 0x328 17. "MCASP3_FSX_PULLTYPESELECT," "MCASP3_FSX_PULLTYPESELECT_0,MCASP3_FSX_PULLTYPESELECT_1" newline bitfld.long 0x328 16. "MCASP3_FSX_PULLUDENABLE," "MCASP3_FSX_PULLUDENABLE_0,MCASP3_FSX_PULLUDENABLE_1" newline hexmask.long.byte 0x328 9.--15. 1. "RESERVED," newline bitfld.long 0x328 8. "MCASP3_FSX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP3_FSX_MODESELECT_0,MCASP3_FSX_MODESELECT_1" newline bitfld.long 0x328 4.--7. "MCASP3_FSX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x328 0.--3. "MCASP3_FSX_MUXMODE," "MCASP3_FSX_MUXMODE_0,MCASP3_FSX_MUXMODE_1,MCASP3_FSX_MUXMODE_2,MCASP3_FSX_MUXMODE_3,?,?,?,MCASP3_FSX_MUXMODE_7,?,?,?,MCASP3_FSX_MUXMODE_11,MCASP3_FSX_MUXMODE_12,MCASP3_FSX_MUXMODE_13,MCASP3_FSX_MUXMODE_14,MCASP3_FSX_MUXMODE_15" line.long 0x32C "CTRL_CORE_PAD_MCASP3_AXR0," rbitfld.long 0x32C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x32C 25. "MCASP3_AXR0_WAKEUPEVENT," "MCASP3_AXR0_WAKEUPEVENT_0,MCASP3_AXR0_WAKEUPEVENT_1" newline bitfld.long 0x32C 24. "MCASP3_AXR0_WAKEUPENABLE," "MCASP3_AXR0_WAKEUPENABLE_0,MCASP3_AXR0_WAKEUPENABLE_1" newline rbitfld.long 0x32C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x32C 19. "MCASP3_AXR0_SLEWCONTROL," "MCASP3_AXR0_SLEWCONTROL_0,MCASP3_AXR0_SLEWCONTROL_1" newline bitfld.long 0x32C 18. "MCASP3_AXR0_INPUTENABLE," "MCASP3_AXR0_INPUTENABLE_0,MCASP3_AXR0_INPUTENABLE_1" newline bitfld.long 0x32C 17. "MCASP3_AXR0_PULLTYPESELECT," "MCASP3_AXR0_PULLTYPESELECT_0,MCASP3_AXR0_PULLTYPESELECT_1" newline bitfld.long 0x32C 16. "MCASP3_AXR0_PULLUDENABLE," "MCASP3_AXR0_PULLUDENABLE_0,MCASP3_AXR0_PULLUDENABLE_1" newline hexmask.long.byte 0x32C 9.--15. 1. "RESERVED," newline bitfld.long 0x32C 8. "MCASP3_AXR0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP3_AXR0_MODESELECT_0,MCASP3_AXR0_MODESELECT_1" newline bitfld.long 0x32C 4.--7. "MCASP3_AXR0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x32C 0.--3. "MCASP3_AXR0_MUXMODE," "MCASP3_AXR0_MUXMODE_0,?,MCASP3_AXR0_MUXMODE_2,MCASP3_AXR0_MUXMODE_3,MCASP3_AXR0_MUXMODE_4,?,?,MCASP3_AXR0_MUXMODE_7,?,?,?,MCASP3_AXR0_MUXMODE_11,MCASP3_AXR0_MUXMODE_12,MCASP3_AXR0_MUXMODE_13,?,MCASP3_AXR0_MUXMODE_15" line.long 0x330 "CTRL_CORE_PAD_MCASP3_AXR1," rbitfld.long 0x330 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x330 25. "MCASP3_AXR1_WAKEUPEVENT," "MCASP3_AXR1_WAKEUPEVENT_0,MCASP3_AXR1_WAKEUPEVENT_1" newline bitfld.long 0x330 24. "MCASP3_AXR1_WAKEUPENABLE," "MCASP3_AXR1_WAKEUPENABLE_0,MCASP3_AXR1_WAKEUPENABLE_1" newline rbitfld.long 0x330 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x330 19. "MCASP3_AXR1_SLEWCONTROL," "MCASP3_AXR1_SLEWCONTROL_0,MCASP3_AXR1_SLEWCONTROL_1" newline bitfld.long 0x330 18. "MCASP3_AXR1_INPUTENABLE," "MCASP3_AXR1_INPUTENABLE_0,MCASP3_AXR1_INPUTENABLE_1" newline bitfld.long 0x330 17. "MCASP3_AXR1_PULLTYPESELECT," "MCASP3_AXR1_PULLTYPESELECT_0,MCASP3_AXR1_PULLTYPESELECT_1" newline bitfld.long 0x330 16. "MCASP3_AXR1_PULLUDENABLE," "MCASP3_AXR1_PULLUDENABLE_0,MCASP3_AXR1_PULLUDENABLE_1" newline hexmask.long.byte 0x330 9.--15. 1. "RESERVED," newline bitfld.long 0x330 8. "MCASP3_AXR1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP3_AXR1_MODESELECT_0,MCASP3_AXR1_MODESELECT_1" newline bitfld.long 0x330 4.--7. "MCASP3_AXR1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x330 0.--3. "MCASP3_AXR1_MUXMODE," "MCASP3_AXR1_MUXMODE_0,?,MCASP3_AXR1_MUXMODE_2,MCASP3_AXR1_MUXMODE_3,MCASP3_AXR1_MUXMODE_4,?,?,MCASP3_AXR1_MUXMODE_7,?,MCASP3_AXR1_MUXMODE_9,?,MCASP3_AXR1_MUXMODE_11,MCASP3_AXR1_MUXMODE_12,MCASP3_AXR1_MUXMODE_13,?,MCASP3_AXR1_MUXMODE_15" line.long 0x334 "CTRL_CORE_PAD_MCASP4_ACLKX," rbitfld.long 0x334 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x334 25. "MCASP4_ACLKX_WAKEUPEVENT," "MCASP4_ACLKX_WAKEUPEVENT_0,MCASP4_ACLKX_WAKEUPEVENT_1" newline bitfld.long 0x334 24. "MCASP4_ACLKX_WAKEUPENABLE," "MCASP4_ACLKX_WAKEUPENABLE_0,MCASP4_ACLKX_WAKEUPENABLE_1" newline rbitfld.long 0x334 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x334 19. "MCASP4_ACLKX_SLEWCONTROL," "MCASP4_ACLKX_SLEWCONTROL_0,MCASP4_ACLKX_SLEWCONTROL_1" newline bitfld.long 0x334 18. "MCASP4_ACLKX_INPUTENABLE," "MCASP4_ACLKX_INPUTENABLE_0,MCASP4_ACLKX_INPUTENABLE_1" newline bitfld.long 0x334 17. "MCASP4_ACLKX_PULLTYPESELECT," "MCASP4_ACLKX_PULLTYPESELECT_0,MCASP4_ACLKX_PULLTYPESELECT_1" newline bitfld.long 0x334 16. "MCASP4_ACLKX_PULLUDENABLE," "MCASP4_ACLKX_PULLUDENABLE_0,MCASP4_ACLKX_PULLUDENABLE_1" newline hexmask.long.byte 0x334 9.--15. 1. "RESERVED," newline bitfld.long 0x334 8. "MCASP4_ACLKX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP4_ACLKX_MODESELECT_0,MCASP4_ACLKX_MODESELECT_1" newline bitfld.long 0x334 4.--7. "MCASP4_ACLKX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x334 0.--3. "MCASP4_ACLKX_MUXMODE," "MCASP4_ACLKX_MUXMODE_0,MCASP4_ACLKX_MUXMODE_1,MCASP4_ACLKX_MUXMODE_2,MCASP4_ACLKX_MUXMODE_3,MCASP4_ACLKX_MUXMODE_4,?,MCASP4_ACLKX_MUXMODE_6,?,MCASP4_ACLKX_MUXMODE_8,MCASP4_ACLKX_MUXMODE_9,?,?,?,?,?,MCASP4_ACLKX_MUXMODE_15" line.long 0x338 "CTRL_CORE_PAD_MCASP4_FSX," rbitfld.long 0x338 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x338 25. "MCASP4_FSX_WAKEUPEVENT," "MCASP4_FSX_WAKEUPEVENT_0,MCASP4_FSX_WAKEUPEVENT_1" newline bitfld.long 0x338 24. "MCASP4_FSX_WAKEUPENABLE," "MCASP4_FSX_WAKEUPENABLE_0,MCASP4_FSX_WAKEUPENABLE_1" newline rbitfld.long 0x338 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x338 19. "MCASP4_FSX_SLEWCONTROL," "MCASP4_FSX_SLEWCONTROL_0,MCASP4_FSX_SLEWCONTROL_1" newline bitfld.long 0x338 18. "MCASP4_FSX_INPUTENABLE," "MCASP4_FSX_INPUTENABLE_0,MCASP4_FSX_INPUTENABLE_1" newline bitfld.long 0x338 17. "MCASP4_FSX_PULLTYPESELECT," "MCASP4_FSX_PULLTYPESELECT_0,MCASP4_FSX_PULLTYPESELECT_1" newline bitfld.long 0x338 16. "MCASP4_FSX_PULLUDENABLE," "MCASP4_FSX_PULLUDENABLE_0,MCASP4_FSX_PULLUDENABLE_1" newline hexmask.long.byte 0x338 9.--15. 1. "RESERVED," newline bitfld.long 0x338 8. "MCASP4_FSX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP4_FSX_MODESELECT_0,MCASP4_FSX_MODESELECT_1" newline bitfld.long 0x338 4.--7. "MCASP4_FSX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x338 0.--3. "MCASP4_FSX_MUXMODE," "MCASP4_FSX_MUXMODE_0,MCASP4_FSX_MUXMODE_1,MCASP4_FSX_MUXMODE_2,MCASP4_FSX_MUXMODE_3,MCASP4_FSX_MUXMODE_4,?,MCASP4_FSX_MUXMODE_6,?,MCASP4_FSX_MUXMODE_8,MCASP4_FSX_MUXMODE_9,?,?,?,?,?,MCASP4_FSX_MUXMODE_15" line.long 0x33C "CTRL_CORE_PAD_MCASP4_AXR0," rbitfld.long 0x33C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x33C 25. "MCASP4_AXR0_WAKEUPEVENT," "MCASP4_AXR0_WAKEUPEVENT_0,MCASP4_AXR0_WAKEUPEVENT_1" newline bitfld.long 0x33C 24. "MCASP4_AXR0_WAKEUPENABLE," "MCASP4_AXR0_WAKEUPENABLE_0,MCASP4_AXR0_WAKEUPENABLE_1" newline rbitfld.long 0x33C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x33C 19. "MCASP4_AXR0_SLEWCONTROL," "MCASP4_AXR0_SLEWCONTROL_0,MCASP4_AXR0_SLEWCONTROL_1" newline bitfld.long 0x33C 18. "MCASP4_AXR0_INPUTENABLE," "MCASP4_AXR0_INPUTENABLE_0,MCASP4_AXR0_INPUTENABLE_1" newline bitfld.long 0x33C 17. "MCASP4_AXR0_PULLTYPESELECT," "MCASP4_AXR0_PULLTYPESELECT_0,MCASP4_AXR0_PULLTYPESELECT_1" newline bitfld.long 0x33C 16. "MCASP4_AXR0_PULLUDENABLE," "MCASP4_AXR0_PULLUDENABLE_0,MCASP4_AXR0_PULLUDENABLE_1" newline hexmask.long.byte 0x33C 9.--15. 1. "RESERVED," newline bitfld.long 0x33C 8. "MCASP4_AXR0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP4_AXR0_MODESELECT_0,MCASP4_AXR0_MODESELECT_1" newline bitfld.long 0x33C 4.--7. "MCASP4_AXR0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x33C 0.--3. "MCASP4_AXR0_MUXMODE," "MCASP4_AXR0_MUXMODE_0,?,MCASP4_AXR0_MUXMODE_2,MCASP4_AXR0_MUXMODE_3,MCASP4_AXR0_MUXMODE_4,?,MCASP4_AXR0_MUXMODE_6,?,MCASP4_AXR0_MUXMODE_8,MCASP4_AXR0_MUXMODE_9,?,?,?,?,?,MCASP4_AXR0_MUXMODE_15" line.long 0x340 "CTRL_CORE_PAD_MCASP4_AXR1," rbitfld.long 0x340 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x340 25. "MCASP4_AXR1_WAKEUPEVENT," "MCASP4_AXR1_WAKEUPEVENT_0,MCASP4_AXR1_WAKEUPEVENT_1" newline bitfld.long 0x340 24. "MCASP4_AXR1_WAKEUPENABLE," "MCASP4_AXR1_WAKEUPENABLE_0,MCASP4_AXR1_WAKEUPENABLE_1" newline rbitfld.long 0x340 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x340 19. "MCASP4_AXR1_SLEWCONTROL," "MCASP4_AXR1_SLEWCONTROL_0,MCASP4_AXR1_SLEWCONTROL_1" newline bitfld.long 0x340 18. "MCASP4_AXR1_INPUTENABLE," "MCASP4_AXR1_INPUTENABLE_0,MCASP4_AXR1_INPUTENABLE_1" newline bitfld.long 0x340 17. "MCASP4_AXR1_PULLTYPESELECT," "MCASP4_AXR1_PULLTYPESELECT_0,MCASP4_AXR1_PULLTYPESELECT_1" newline bitfld.long 0x340 16. "MCASP4_AXR1_PULLUDENABLE," "MCASP4_AXR1_PULLUDENABLE_0,MCASP4_AXR1_PULLUDENABLE_1" newline hexmask.long.byte 0x340 9.--15. 1. "RESERVED," newline bitfld.long 0x340 8. "MCASP4_AXR1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP4_AXR1_MODESELECT_0,MCASP4_AXR1_MODESELECT_1" newline bitfld.long 0x340 4.--7. "MCASP4_AXR1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x340 0.--3. "MCASP4_AXR1_MUXMODE," "MCASP4_AXR1_MUXMODE_0,?,MCASP4_AXR1_MUXMODE_2,MCASP4_AXR1_MUXMODE_3,MCASP4_AXR1_MUXMODE_4,?,MCASP4_AXR1_MUXMODE_6,?,MCASP4_AXR1_MUXMODE_8,MCASP4_AXR1_MUXMODE_9,?,?,MCASP4_AXR1_MUXMODE_12,MCASP4_AXR1_MUXMODE_13,?,MCASP4_AXR1_MUXMODE_15" line.long 0x344 "CTRL_CORE_PAD_MCASP5_ACLKX," rbitfld.long 0x344 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x344 25. "MCASP5_ACLKX_WAKEUPEVENT," "MCASP5_ACLKX_WAKEUPEVENT_0,MCASP5_ACLKX_WAKEUPEVENT_1" newline bitfld.long 0x344 24. "MCASP5_ACLKX_WAKEUPENABLE," "MCASP5_ACLKX_WAKEUPENABLE_0,MCASP5_ACLKX_WAKEUPENABLE_1" newline rbitfld.long 0x344 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x344 19. "MCASP5_ACLKX_SLEWCONTROL," "MCASP5_ACLKX_SLEWCONTROL_0,MCASP5_ACLKX_SLEWCONTROL_1" newline bitfld.long 0x344 18. "MCASP5_ACLKX_INPUTENABLE," "MCASP5_ACLKX_INPUTENABLE_0,MCASP5_ACLKX_INPUTENABLE_1" newline bitfld.long 0x344 17. "MCASP5_ACLKX_PULLTYPESELECT," "MCASP5_ACLKX_PULLTYPESELECT_0,MCASP5_ACLKX_PULLTYPESELECT_1" newline bitfld.long 0x344 16. "MCASP5_ACLKX_PULLUDENABLE," "MCASP5_ACLKX_PULLUDENABLE_0,MCASP5_ACLKX_PULLUDENABLE_1" newline hexmask.long.byte 0x344 9.--15. 1. "RESERVED," newline bitfld.long 0x344 8. "MCASP5_ACLKX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP5_ACLKX_MODESELECT_0,MCASP5_ACLKX_MODESELECT_1" newline bitfld.long 0x344 4.--7. "MCASP5_ACLKX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x344 0.--3. "MCASP5_ACLKX_MUXMODE," "MCASP5_ACLKX_MUXMODE_0,MCASP5_ACLKX_MUXMODE_1,MCASP5_ACLKX_MUXMODE_2,MCASP5_ACLKX_MUXMODE_3,MCASP5_ACLKX_MUXMODE_4,?,MCASP5_ACLKX_MUXMODE_6,?,MCASP5_ACLKX_MUXMODE_8,MCASP5_ACLKX_MUXMODE_9,?,?,MCASP5_ACLKX_MUXMODE_12,MCASP5_ACLKX_MUXMODE_13,?,MCASP5_ACLKX_MUXMODE_15" line.long 0x348 "CTRL_CORE_PAD_MCASP5_FSX," rbitfld.long 0x348 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x348 25. "MCASP5_FSX_WAKEUPEVENT," "MCASP5_FSX_WAKEUPEVENT_0,MCASP5_FSX_WAKEUPEVENT_1" newline bitfld.long 0x348 24. "MCASP5_FSX_WAKEUPENABLE," "MCASP5_FSX_WAKEUPENABLE_0,MCASP5_FSX_WAKEUPENABLE_1" newline rbitfld.long 0x348 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x348 19. "MCASP5_FSX_SLEWCONTROL," "MCASP5_FSX_SLEWCONTROL_0,MCASP5_FSX_SLEWCONTROL_1" newline bitfld.long 0x348 18. "MCASP5_FSX_INPUTENABLE," "MCASP5_FSX_INPUTENABLE_0,MCASP5_FSX_INPUTENABLE_1" newline bitfld.long 0x348 17. "MCASP5_FSX_PULLTYPESELECT," "MCASP5_FSX_PULLTYPESELECT_0,MCASP5_FSX_PULLTYPESELECT_1" newline bitfld.long 0x348 16. "MCASP5_FSX_PULLUDENABLE," "MCASP5_FSX_PULLUDENABLE_0,MCASP5_FSX_PULLUDENABLE_1" newline hexmask.long.byte 0x348 9.--15. 1. "RESERVED," newline bitfld.long 0x348 8. "MCASP5_FSX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP5_FSX_MODESELECT_0,MCASP5_FSX_MODESELECT_1" newline bitfld.long 0x348 4.--7. "MCASP5_FSX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x348 0.--3. "MCASP5_FSX_MUXMODE," "MCASP5_FSX_MUXMODE_0,MCASP5_FSX_MUXMODE_1,MCASP5_FSX_MUXMODE_2,MCASP5_FSX_MUXMODE_3,MCASP5_FSX_MUXMODE_4,?,MCASP5_FSX_MUXMODE_6,?,MCASP5_FSX_MUXMODE_8,MCASP5_FSX_MUXMODE_9,?,?,MCASP5_FSX_MUXMODE_12,MCASP5_FSX_MUXMODE_13,?,MCASP5_FSX_MUXMODE_15" line.long 0x34C "CTRL_CORE_PAD_MCASP5_AXR0," rbitfld.long 0x34C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x34C 25. "MCASP5_AXR0_WAKEUPEVENT," "MCASP5_AXR0_WAKEUPEVENT_0,MCASP5_AXR0_WAKEUPEVENT_1" newline bitfld.long 0x34C 24. "MCASP5_AXR0_WAKEUPENABLE," "MCASP5_AXR0_WAKEUPENABLE_0,MCASP5_AXR0_WAKEUPENABLE_1" newline rbitfld.long 0x34C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34C 19. "MCASP5_AXR0_SLEWCONTROL," "MCASP5_AXR0_SLEWCONTROL_0,MCASP5_AXR0_SLEWCONTROL_1" newline bitfld.long 0x34C 18. "MCASP5_AXR0_INPUTENABLE," "MCASP5_AXR0_INPUTENABLE_0,MCASP5_AXR0_INPUTENABLE_1" newline bitfld.long 0x34C 17. "MCASP5_AXR0_PULLTYPESELECT," "MCASP5_AXR0_PULLTYPESELECT_0,MCASP5_AXR0_PULLTYPESELECT_1" newline bitfld.long 0x34C 16. "MCASP5_AXR0_PULLUDENABLE," "MCASP5_AXR0_PULLUDENABLE_0,MCASP5_AXR0_PULLUDENABLE_1" newline hexmask.long.byte 0x34C 9.--15. 1. "RESERVED," newline bitfld.long 0x34C 8. "MCASP5_AXR0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP5_AXR0_MODESELECT_0,MCASP5_AXR0_MODESELECT_1" newline bitfld.long 0x34C 4.--7. "MCASP5_AXR0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34C 0.--3. "MCASP5_AXR0_MUXMODE," "MCASP5_AXR0_MUXMODE_0,?,MCASP5_AXR0_MUXMODE_2,MCASP5_AXR0_MUXMODE_3,MCASP5_AXR0_MUXMODE_4,?,MCASP5_AXR0_MUXMODE_6,?,MCASP5_AXR0_MUXMODE_8,MCASP5_AXR0_MUXMODE_9,?,MCASP5_AXR0_MUXMODE_11,MCASP5_AXR0_MUXMODE_12,MCASP5_AXR0_MUXMODE_13,?,MCASP5_AXR0_MUXMODE_15" line.long 0x350 "CTRL_CORE_PAD_MCASP5_AXR1," rbitfld.long 0x350 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x350 25. "MCASP5_AXR1_WAKEUPEVENT," "MCASP5_AXR1_WAKEUPEVENT_0,MCASP5_AXR1_WAKEUPEVENT_1" newline bitfld.long 0x350 24. "MCASP5_AXR1_WAKEUPENABLE," "MCASP5_AXR1_WAKEUPENABLE_0,MCASP5_AXR1_WAKEUPENABLE_1" newline rbitfld.long 0x350 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x350 19. "MCASP5_AXR1_SLEWCONTROL," "MCASP5_AXR1_SLEWCONTROL_0,MCASP5_AXR1_SLEWCONTROL_1" newline bitfld.long 0x350 18. "MCASP5_AXR1_INPUTENABLE," "MCASP5_AXR1_INPUTENABLE_0,MCASP5_AXR1_INPUTENABLE_1" newline bitfld.long 0x350 17. "MCASP5_AXR1_PULLTYPESELECT," "MCASP5_AXR1_PULLTYPESELECT_0,MCASP5_AXR1_PULLTYPESELECT_1" newline bitfld.long 0x350 16. "MCASP5_AXR1_PULLUDENABLE," "MCASP5_AXR1_PULLUDENABLE_0,MCASP5_AXR1_PULLUDENABLE_1" newline hexmask.long.byte 0x350 9.--15. 1. "RESERVED," newline bitfld.long 0x350 8. "MCASP5_AXR1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MCASP5_AXR1_MODESELECT_0,MCASP5_AXR1_MODESELECT_1" newline bitfld.long 0x350 4.--7. "MCASP5_AXR1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x350 0.--3. "MCASP5_AXR1_MUXMODE," "MCASP5_AXR1_MUXMODE_0,?,MCASP5_AXR1_MUXMODE_2,MCASP5_AXR1_MUXMODE_3,MCASP5_AXR1_MUXMODE_4,?,MCASP5_AXR1_MUXMODE_6,?,MCASP5_AXR1_MUXMODE_8,MCASP5_AXR1_MUXMODE_9,?,MCASP5_AXR1_MUXMODE_11,MCASP5_AXR1_MUXMODE_12,MCASP5_AXR1_MUXMODE_13,?,MCASP5_AXR1_MUXMODE_15" line.long 0x354 "CTRL_CORE_PAD_MMC1_CLK," rbitfld.long 0x354 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x354 25. "MMC1_CLK_WAKEUPEVENT," "MMC1_CLK_WAKEUPEVENT_0,MMC1_CLK_WAKEUPEVENT_1" newline bitfld.long 0x354 24. "MMC1_CLK_WAKEUPENABLE," "MMC1_CLK_WAKEUPENABLE_0,MMC1_CLK_WAKEUPENABLE_1" newline rbitfld.long 0x354 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x354 18. "MMC1_CLK_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x354 17. "MMC1_CLK_PULLTYPESELECT," "MMC1_CLK_PULLTYPESELECT_0,MMC1_CLK_PULLTYPESELECT_1" newline bitfld.long 0x354 16. "MMC1_CLK_PULLUDENABLE," "MMC1_CLK_PULLUDENABLE_0,MMC1_CLK_PULLUDENABLE_1" newline hexmask.long.byte 0x354 9.--15. 1. "RESERVED," newline bitfld.long 0x354 8. "MMC1_CLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_CLK_MODESELECT_0,MMC1_CLK_MODESELECT_1" newline bitfld.long 0x354 4.--7. "MMC1_CLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x354 0.--3. "MMC1_CLK_MUXMODE," "MMC1_CLK_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_CLK_MUXMODE_14,MMC1_CLK_MUXMODE_15" line.long 0x358 "CTRL_CORE_PAD_MMC1_CMD," rbitfld.long 0x358 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x358 25. "MMC1_CMD_WAKEUPEVENT," "MMC1_CMD_WAKEUPEVENT_0,MMC1_CMD_WAKEUPEVENT_1" newline bitfld.long 0x358 24. "MMC1_CMD_WAKEUPENABLE," "MMC1_CMD_WAKEUPENABLE_0,MMC1_CMD_WAKEUPENABLE_1" newline rbitfld.long 0x358 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x358 18. "MMC1_CMD_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x358 17. "MMC1_CMD_PULLTYPESELECT," "MMC1_CMD_PULLTYPESELECT_0,MMC1_CMD_PULLTYPESELECT_1" newline bitfld.long 0x358 16. "MMC1_CMD_PULLUDENABLE," "MMC1_CMD_PULLUDENABLE_0,MMC1_CMD_PULLUDENABLE_1" newline hexmask.long.byte 0x358 9.--15. 1. "RESERVED," newline bitfld.long 0x358 8. "MMC1_CMD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_CMD_MODESELECT_0,MMC1_CMD_MODESELECT_1" newline bitfld.long 0x358 4.--7. "MMC1_CMD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x358 0.--3. "MMC1_CMD_MUXMODE," "MMC1_CMD_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_CMD_MUXMODE_14,MMC1_CMD_MUXMODE_15" line.long 0x35C "CTRL_CORE_PAD_MMC1_DAT0," rbitfld.long 0x35C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x35C 25. "MMC1_DAT0_WAKEUPEVENT," "MMC1_DAT0_WAKEUPEVENT_0,MMC1_DAT0_WAKEUPEVENT_1" newline bitfld.long 0x35C 24. "MMC1_DAT0_WAKEUPENABLE," "MMC1_DAT0_WAKEUPENABLE_0,MMC1_DAT0_WAKEUPENABLE_1" newline rbitfld.long 0x35C 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x35C 18. "MMC1_DAT0_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x35C 17. "MMC1_DAT0_PULLTYPESELECT," "MMC1_DAT0_PULLTYPESELECT_0,MMC1_DAT0_PULLTYPESELECT_1" newline bitfld.long 0x35C 16. "MMC1_DAT0_PULLUDENABLE," "MMC1_DAT0_PULLUDENABLE_0,MMC1_DAT0_PULLUDENABLE_1" newline hexmask.long.byte 0x35C 9.--15. 1. "RESERVED," newline bitfld.long 0x35C 8. "MMC1_DAT0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_DAT0_MODESELECT_0,MMC1_DAT0_MODESELECT_1" newline bitfld.long 0x35C 4.--7. "MMC1_DAT0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x35C 0.--3. "MMC1_DAT0_MUXMODE," "MMC1_DAT0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_DAT0_MUXMODE_14,MMC1_DAT0_MUXMODE_15" line.long 0x360 "CTRL_CORE_PAD_MMC1_DAT1," rbitfld.long 0x360 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x360 25. "MMC1_DAT1_WAKEUPEVENT," "MMC1_DAT1_WAKEUPEVENT_0,MMC1_DAT1_WAKEUPEVENT_1" newline bitfld.long 0x360 24. "MMC1_DAT1_WAKEUPENABLE," "MMC1_DAT1_WAKEUPENABLE_0,MMC1_DAT1_WAKEUPENABLE_1" newline rbitfld.long 0x360 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x360 18. "MMC1_DAT1_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x360 17. "MMC1_DAT1_PULLTYPESELECT," "MMC1_DAT1_PULLTYPESELECT_0,MMC1_DAT1_PULLTYPESELECT_1" newline bitfld.long 0x360 16. "MMC1_DAT1_PULLUDENABLE," "MMC1_DAT1_PULLUDENABLE_0,MMC1_DAT1_PULLUDENABLE_1" newline hexmask.long.byte 0x360 9.--15. 1. "RESERVED," newline bitfld.long 0x360 8. "MMC1_DAT1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_DAT1_MODESELECT_0,MMC1_DAT1_MODESELECT_1" newline bitfld.long 0x360 4.--7. "MMC1_DAT1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x360 0.--3. "MMC1_DAT1_MUXMODE," "MMC1_DAT1_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_DAT1_MUXMODE_14,MMC1_DAT1_MUXMODE_15" line.long 0x364 "CTRL_CORE_PAD_MMC1_DAT2," rbitfld.long 0x364 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x364 25. "MMC1_DAT2_WAKEUPEVENT," "MMC1_DAT2_WAKEUPEVENT_0,MMC1_DAT2_WAKEUPEVENT_1" newline bitfld.long 0x364 24. "MMC1_DAT2_WAKEUPENABLE," "MMC1_DAT2_WAKEUPENABLE_0,MMC1_DAT2_WAKEUPENABLE_1" newline rbitfld.long 0x364 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x364 18. "MMC1_DAT2_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x364 17. "MMC1_DAT2_PULLTYPESELECT," "MMC1_DAT2_PULLTYPESELECT_0,MMC1_DAT2_PULLTYPESELECT_1" newline bitfld.long 0x364 16. "MMC1_DAT2_PULLUDENABLE," "MMC1_DAT2_PULLUDENABLE_0,MMC1_DAT2_PULLUDENABLE_1" newline hexmask.long.byte 0x364 9.--15. 1. "RESERVED," newline bitfld.long 0x364 8. "MMC1_DAT2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_DAT2_MODESELECT_0,MMC1_DAT2_MODESELECT_1" newline bitfld.long 0x364 4.--7. "MMC1_DAT2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x364 0.--3. "MMC1_DAT2_MUXMODE," "MMC1_DAT2_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_DAT2_MUXMODE_14,MMC1_DAT2_MUXMODE_15" line.long 0x368 "CTRL_CORE_PAD_MMC1_DAT3," rbitfld.long 0x368 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x368 25. "MMC1_DAT3_WAKEUPEVENT," "MMC1_DAT3_WAKEUPEVENT_0,MMC1_DAT3_WAKEUPEVENT_1" newline bitfld.long 0x368 24. "MMC1_DAT3_WAKEUPENABLE," "MMC1_DAT3_WAKEUPENABLE_0,MMC1_DAT3_WAKEUPENABLE_1" newline rbitfld.long 0x368 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x368 18. "MMC1_DAT3_ACTIVE,Controls enabling/disabling of the input buffer" "Input buffer is disabled,Input buffer is enabled" newline bitfld.long 0x368 17. "MMC1_DAT3_PULLTYPESELECT," "MMC1_DAT3_PULLTYPESELECT_0,MMC1_DAT3_PULLTYPESELECT_1" newline bitfld.long 0x368 16. "MMC1_DAT3_PULLUDENABLE," "MMC1_DAT3_PULLUDENABLE_0,MMC1_DAT3_PULLUDENABLE_1" newline hexmask.long.byte 0x368 9.--15. 1. "RESERVED," newline bitfld.long 0x368 8. "MMC1_DAT3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_DAT3_MODESELECT_0,MMC1_DAT3_MODESELECT_1" newline bitfld.long 0x368 4.--7. "MMC1_DAT3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x368 0.--3. "MMC1_DAT3_MUXMODE," "MMC1_DAT3_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,MMC1_DAT3_MUXMODE_14,MMC1_DAT3_MUXMODE_15" line.long 0x36C "CTRL_CORE_PAD_MMC1_SDCD," rbitfld.long 0x36C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x36C 25. "MMC1_SDCD_WAKEUPEVENT," "MMC1_SDCD_WAKEUPEVENT_0,MMC1_SDCD_WAKEUPEVENT_1" newline bitfld.long 0x36C 24. "MMC1_SDCD_WAKEUPENABLE," "MMC1_SDCD_WAKEUPENABLE_0,MMC1_SDCD_WAKEUPENABLE_1" newline rbitfld.long 0x36C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x36C 19. "MMC1_SDCD_SLEWCONTROL," "MMC1_SDCD_SLEWCONTROL_0,MMC1_SDCD_SLEWCONTROL_1" newline bitfld.long 0x36C 18. "MMC1_SDCD_INPUTENABLE," "MMC1_SDCD_INPUTENABLE_0,MMC1_SDCD_INPUTENABLE_1" newline bitfld.long 0x36C 17. "MMC1_SDCD_PULLTYPESELECT," "MMC1_SDCD_PULLTYPESELECT_0,MMC1_SDCD_PULLTYPESELECT_1" newline bitfld.long 0x36C 16. "MMC1_SDCD_PULLUDENABLE," "MMC1_SDCD_PULLUDENABLE_0,MMC1_SDCD_PULLUDENABLE_1" newline hexmask.long.byte 0x36C 9.--15. 1. "RESERVED," newline bitfld.long 0x36C 8. "MMC1_SDCD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_SDCD_MODESELECT_0,MMC1_SDCD_MODESELECT_1" newline bitfld.long 0x36C 4.--7. "MMC1_SDCD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x36C 0.--3. "MMC1_SDCD_MUXMODE," "MMC1_SDCD_MUXMODE_0,?,?,MMC1_SDCD_MUXMODE_3,MMC1_SDCD_MUXMODE_4,?,?,?,?,?,?,?,?,?,MMC1_SDCD_MUXMODE_14,MMC1_SDCD_MUXMODE_15" line.long 0x370 "CTRL_CORE_PAD_MMC1_SDWP," rbitfld.long 0x370 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x370 25. "MMC1_SDWP_WAKEUPEVENT," "MMC1_SDWP_WAKEUPEVENT_0,MMC1_SDWP_WAKEUPEVENT_1" newline bitfld.long 0x370 24. "MMC1_SDWP_WAKEUPENABLE," "MMC1_SDWP_WAKEUPENABLE_0,MMC1_SDWP_WAKEUPENABLE_1" newline rbitfld.long 0x370 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x370 19. "MMC1_SDWP_SLEWCONTROL," "MMC1_SDWP_SLEWCONTROL_0,MMC1_SDWP_SLEWCONTROL_1" newline bitfld.long 0x370 18. "MMC1_SDWP_INPUTENABLE," "MMC1_SDWP_INPUTENABLE_0,MMC1_SDWP_INPUTENABLE_1" newline bitfld.long 0x370 17. "MMC1_SDWP_PULLTYPESELECT," "MMC1_SDWP_PULLTYPESELECT_0,MMC1_SDWP_PULLTYPESELECT_1" newline bitfld.long 0x370 16. "MMC1_SDWP_PULLUDENABLE," "MMC1_SDWP_PULLUDENABLE_0,MMC1_SDWP_PULLUDENABLE_1" newline hexmask.long.byte 0x370 9.--15. 1. "RESERVED," newline bitfld.long 0x370 8. "MMC1_SDWP_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC1_SDWP_MODESELECT_0,MMC1_SDWP_MODESELECT_1" newline bitfld.long 0x370 4.--7. "MMC1_SDWP_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x370 0.--3. "MMC1_SDWP_MUXMODE," "MMC1_SDWP_MUXMODE_0,?,?,MMC1_SDWP_MUXMODE_3,MMC1_SDWP_MUXMODE_4,?,?,?,?,?,?,?,?,?,MMC1_SDWP_MUXMODE_14,MMC1_SDWP_MUXMODE_15" line.long 0x374 "CTRL_CORE_PAD_GPIO6_10," rbitfld.long 0x374 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x374 25. "GPIO6_10_WAKEUPEVENT," "GPIO6_10_WAKEUPEVENT_0,GPIO6_10_WAKEUPEVENT_1" newline bitfld.long 0x374 24. "GPIO6_10_WAKEUPENABLE," "GPIO6_10_WAKEUPENABLE_0,GPIO6_10_WAKEUPENABLE_1" newline rbitfld.long 0x374 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x374 19. "GPIO6_10_SLEWCONTROL," "GPIO6_10_SLEWCONTROL_0,GPIO6_10_SLEWCONTROL_1" newline bitfld.long 0x374 18. "GPIO6_10_INPUTENABLE," "GPIO6_10_INPUTENABLE_0,GPIO6_10_INPUTENABLE_1" newline bitfld.long 0x374 17. "GPIO6_10_PULLTYPESELECT," "GPIO6_10_PULLTYPESELECT_0,GPIO6_10_PULLTYPESELECT_1" newline bitfld.long 0x374 16. "GPIO6_10_PULLUDENABLE," "GPIO6_10_PULLUDENABLE_0,GPIO6_10_PULLUDENABLE_1" newline hexmask.long.byte 0x374 9.--15. 1. "RESERVED," newline bitfld.long 0x374 8. "GPIO6_10_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPIO6_10_MODESELECT_0,GPIO6_10_MODESELECT_1" newline bitfld.long 0x374 4.--7. "GPIO6_10_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x374 0.--3. "GPIO6_10_MUXMODE," "GPIO6_10_MUXMODE_0,GPIO6_10_MUXMODE_1,GPIO6_10_MUXMODE_2,?,GPIO6_10_MUXMODE_4,?,?,?,?,GPIO6_10_MUXMODE_9,GPIO6_10_MUXMODE_10,GPIO6_10_MUXMODE_11,GPIO6_10_MUXMODE_12,GPIO6_10_MUXMODE_13,GPIO6_10_MUXMODE_14,GPIO6_10_MUXMODE_15" line.long 0x378 "CTRL_CORE_PAD_GPIO6_11," rbitfld.long 0x378 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x378 25. "GPIO6_11_WAKEUPEVENT," "GPIO6_11_WAKEUPEVENT_0,GPIO6_11_WAKEUPEVENT_1" newline bitfld.long 0x378 24. "GPIO6_11_WAKEUPENABLE," "GPIO6_11_WAKEUPENABLE_0,GPIO6_11_WAKEUPENABLE_1" newline rbitfld.long 0x378 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x378 19. "GPIO6_11_SLEWCONTROL," "GPIO6_11_SLEWCONTROL_0,GPIO6_11_SLEWCONTROL_1" newline bitfld.long 0x378 18. "GPIO6_11_INPUTENABLE," "GPIO6_11_INPUTENABLE_0,GPIO6_11_INPUTENABLE_1" newline bitfld.long 0x378 17. "GPIO6_11_PULLTYPESELECT," "GPIO6_11_PULLTYPESELECT_0,GPIO6_11_PULLTYPESELECT_1" newline bitfld.long 0x378 16. "GPIO6_11_PULLUDENABLE," "GPIO6_11_PULLUDENABLE_0,GPIO6_11_PULLUDENABLE_1" newline hexmask.long.byte 0x378 9.--15. 1. "RESERVED," newline bitfld.long 0x378 8. "GPIO6_11_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "GPIO6_11_MODESELECT_0,GPIO6_11_MODESELECT_1" newline bitfld.long 0x378 4.--7. "GPIO6_11_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x378 0.--3. "GPIO6_11_MUXMODE," "GPIO6_11_MUXMODE_0,GPIO6_11_MUXMODE_1,GPIO6_11_MUXMODE_2,?,GPIO6_11_MUXMODE_4,?,?,?,?,GPIO6_11_MUXMODE_9,GPIO6_11_MUXMODE_10,GPIO6_11_MUXMODE_11,GPIO6_11_MUXMODE_12,GPIO6_11_MUXMODE_13,GPIO6_11_MUXMODE_14,GPIO6_11_MUXMODE_15" line.long 0x37C "CTRL_CORE_PAD_MMC3_CLK," rbitfld.long 0x37C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x37C 25. "MMC3_CLK_WAKEUPEVENT," "MMC3_CLK_WAKEUPEVENT_0,MMC3_CLK_WAKEUPEVENT_1" newline bitfld.long 0x37C 24. "MMC3_CLK_WAKEUPENABLE," "MMC3_CLK_WAKEUPENABLE_0,MMC3_CLK_WAKEUPENABLE_1" newline rbitfld.long 0x37C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x37C 19. "MMC3_CLK_SLEWCONTROL," "MMC3_CLK_SLEWCONTROL_0,MMC3_CLK_SLEWCONTROL_1" newline bitfld.long 0x37C 18. "MMC3_CLK_INPUTENABLE," "MMC3_CLK_INPUTENABLE_0,MMC3_CLK_INPUTENABLE_1" newline bitfld.long 0x37C 17. "MMC3_CLK_PULLTYPESELECT," "MMC3_CLK_PULLTYPESELECT_0,MMC3_CLK_PULLTYPESELECT_1" newline bitfld.long 0x37C 16. "MMC3_CLK_PULLUDENABLE," "MMC3_CLK_PULLUDENABLE_0,MMC3_CLK_PULLUDENABLE_1" newline hexmask.long.byte 0x37C 9.--15. 1. "RESERVED," newline bitfld.long 0x37C 8. "MMC3_CLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_CLK_MODESELECT_0,MMC3_CLK_MODESELECT_1" newline bitfld.long 0x37C 4.--7. "MMC3_CLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x37C 0.--3. "MMC3_CLK_MUXMODE," "MMC3_CLK_MUXMODE_0,?,?,?,MMC3_CLK_MUXMODE_4,?,?,?,?,MMC3_CLK_MUXMODE_9,MMC3_CLK_MUXMODE_10,MMC3_CLK_MUXMODE_11,MMC3_CLK_MUXMODE_12,MMC3_CLK_MUXMODE_13,MMC3_CLK_MUXMODE_14,MMC3_CLK_MUXMODE_15" line.long 0x380 "CTRL_CORE_PAD_MMC3_CMD," rbitfld.long 0x380 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x380 25. "MMC3_CMD_WAKEUPEVENT," "MMC3_CMD_WAKEUPEVENT_0,MMC3_CMD_WAKEUPEVENT_1" newline bitfld.long 0x380 24. "MMC3_CMD_WAKEUPENABLE," "MMC3_CMD_WAKEUPENABLE_0,MMC3_CMD_WAKEUPENABLE_1" newline rbitfld.long 0x380 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x380 19. "MMC3_CMD_SLEWCONTROL," "MMC3_CMD_SLEWCONTROL_0,MMC3_CMD_SLEWCONTROL_1" newline bitfld.long 0x380 18. "MMC3_CMD_INPUTENABLE," "MMC3_CMD_INPUTENABLE_0,MMC3_CMD_INPUTENABLE_1" newline bitfld.long 0x380 17. "MMC3_CMD_PULLTYPESELECT," "MMC3_CMD_PULLTYPESELECT_0,MMC3_CMD_PULLTYPESELECT_1" newline bitfld.long 0x380 16. "MMC3_CMD_PULLUDENABLE," "MMC3_CMD_PULLUDENABLE_0,MMC3_CMD_PULLUDENABLE_1" newline hexmask.long.byte 0x380 9.--15. 1. "RESERVED," newline bitfld.long 0x380 8. "MMC3_CMD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_CMD_MODESELECT_0,MMC3_CMD_MODESELECT_1" newline bitfld.long 0x380 4.--7. "MMC3_CMD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x380 0.--3. "MMC3_CMD_MUXMODE," "MMC3_CMD_MUXMODE_0,MMC3_CMD_MUXMODE_1,?,?,MMC3_CMD_MUXMODE_4,?,?,?,?,MMC3_CMD_MUXMODE_9,MMC3_CMD_MUXMODE_10,MMC3_CMD_MUXMODE_11,MMC3_CMD_MUXMODE_12,MMC3_CMD_MUXMODE_13,MMC3_CMD_MUXMODE_14,MMC3_CMD_MUXMODE_15" line.long 0x384 "CTRL_CORE_PAD_MMC3_DAT0," rbitfld.long 0x384 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x384 25. "MMC3_DAT0_WAKEUPEVENT," "MMC3_DAT0_WAKEUPEVENT_0,MMC3_DAT0_WAKEUPEVENT_1" newline bitfld.long 0x384 24. "MMC3_DAT0_WAKEUPENABLE," "MMC3_DAT0_WAKEUPENABLE_0,MMC3_DAT0_WAKEUPENABLE_1" newline rbitfld.long 0x384 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x384 19. "MMC3_DAT0_SLEWCONTROL," "MMC3_DAT0_SLEWCONTROL_0,MMC3_DAT0_SLEWCONTROL_1" newline bitfld.long 0x384 18. "MMC3_DAT0_INPUTENABLE," "MMC3_DAT0_INPUTENABLE_0,MMC3_DAT0_INPUTENABLE_1" newline bitfld.long 0x384 17. "MMC3_DAT0_PULLTYPESELECT," "MMC3_DAT0_PULLTYPESELECT_0,MMC3_DAT0_PULLTYPESELECT_1" newline bitfld.long 0x384 16. "MMC3_DAT0_PULLUDENABLE," "MMC3_DAT0_PULLUDENABLE_0,MMC3_DAT0_PULLUDENABLE_1" newline hexmask.long.byte 0x384 9.--15. 1. "RESERVED," newline bitfld.long 0x384 8. "MMC3_DAT0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT0_MODESELECT_0,MMC3_DAT0_MODESELECT_1" newline bitfld.long 0x384 4.--7. "MMC3_DAT0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x384 0.--3. "MMC3_DAT0_MUXMODE," "MMC3_DAT0_MUXMODE_0,MMC3_DAT0_MUXMODE_1,MMC3_DAT0_MUXMODE_2,?,MMC3_DAT0_MUXMODE_4,?,?,?,?,MMC3_DAT0_MUXMODE_9,MMC3_DAT0_MUXMODE_10,MMC3_DAT0_MUXMODE_11,MMC3_DAT0_MUXMODE_12,MMC3_DAT0_MUXMODE_13,MMC3_DAT0_MUXMODE_14,MMC3_DAT0_MUXMODE_15" line.long 0x388 "CTRL_CORE_PAD_MMC3_DAT1," rbitfld.long 0x388 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x388 25. "MMC3_DAT1_WAKEUPEVENT," "MMC3_DAT1_WAKEUPEVENT_0,MMC3_DAT1_WAKEUPEVENT_1" newline bitfld.long 0x388 24. "MMC3_DAT1_WAKEUPENABLE," "MMC3_DAT1_WAKEUPENABLE_0,MMC3_DAT1_WAKEUPENABLE_1" newline rbitfld.long 0x388 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x388 19. "MMC3_DAT1_SLEWCONTROL," "MMC3_DAT1_SLEWCONTROL_0,MMC3_DAT1_SLEWCONTROL_1" newline bitfld.long 0x388 18. "MMC3_DAT1_INPUTENABLE," "MMC3_DAT1_INPUTENABLE_0,MMC3_DAT1_INPUTENABLE_1" newline bitfld.long 0x388 17. "MMC3_DAT1_PULLTYPESELECT," "MMC3_DAT1_PULLTYPESELECT_0,MMC3_DAT1_PULLTYPESELECT_1" newline bitfld.long 0x388 16. "MMC3_DAT1_PULLUDENABLE," "MMC3_DAT1_PULLUDENABLE_0,MMC3_DAT1_PULLUDENABLE_1" newline hexmask.long.byte 0x388 9.--15. 1. "RESERVED," newline bitfld.long 0x388 8. "MMC3_DAT1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT1_MODESELECT_0,MMC3_DAT1_MODESELECT_1" newline bitfld.long 0x388 4.--7. "MMC3_DAT1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x388 0.--3. "MMC3_DAT1_MUXMODE," "MMC3_DAT1_MUXMODE_0,MMC3_DAT1_MUXMODE_1,MMC3_DAT1_MUXMODE_2,?,MMC3_DAT1_MUXMODE_4,?,?,?,?,MMC3_DAT1_MUXMODE_9,MMC3_DAT1_MUXMODE_10,MMC3_DAT1_MUXMODE_11,MMC3_DAT1_MUXMODE_12,MMC3_DAT1_MUXMODE_13,MMC3_DAT1_MUXMODE_14,MMC3_DAT1_MUXMODE_15" line.long 0x38C "CTRL_CORE_PAD_MMC3_DAT2," rbitfld.long 0x38C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x38C 25. "MMC3_DAT2_WAKEUPEVENT," "MMC3_DAT2_WAKEUPEVENT_0,MMC3_DAT2_WAKEUPEVENT_1" newline bitfld.long 0x38C 24. "MMC3_DAT2_WAKEUPENABLE," "MMC3_DAT2_WAKEUPENABLE_0,MMC3_DAT2_WAKEUPENABLE_1" newline rbitfld.long 0x38C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38C 19. "MMC3_DAT2_SLEWCONTROL," "MMC3_DAT2_SLEWCONTROL_0,MMC3_DAT2_SLEWCONTROL_1" newline bitfld.long 0x38C 18. "MMC3_DAT2_INPUTENABLE," "MMC3_DAT2_INPUTENABLE_0,MMC3_DAT2_INPUTENABLE_1" newline bitfld.long 0x38C 17. "MMC3_DAT2_PULLTYPESELECT," "MMC3_DAT2_PULLTYPESELECT_0,MMC3_DAT2_PULLTYPESELECT_1" newline bitfld.long 0x38C 16. "MMC3_DAT2_PULLUDENABLE," "MMC3_DAT2_PULLUDENABLE_0,MMC3_DAT2_PULLUDENABLE_1" newline hexmask.long.byte 0x38C 9.--15. 1. "RESERVED," newline bitfld.long 0x38C 8. "MMC3_DAT2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT2_MODESELECT_0,MMC3_DAT2_MODESELECT_1" newline bitfld.long 0x38C 4.--7. "MMC3_DAT2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38C 0.--3. "MMC3_DAT2_MUXMODE," "MMC3_DAT2_MUXMODE_0,MMC3_DAT2_MUXMODE_1,MMC3_DAT2_MUXMODE_2,?,MMC3_DAT2_MUXMODE_4,?,?,?,?,MMC3_DAT2_MUXMODE_9,MMC3_DAT2_MUXMODE_10,MMC3_DAT2_MUXMODE_11,MMC3_DAT2_MUXMODE_12,MMC3_DAT2_MUXMODE_13,MMC3_DAT2_MUXMODE_14,MMC3_DAT2_MUXMODE_15" line.long 0x390 "CTRL_CORE_PAD_MMC3_DAT3," rbitfld.long 0x390 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x390 25. "MMC3_DAT3_WAKEUPEVENT," "MMC3_DAT3_WAKEUPEVENT_0,MMC3_DAT3_WAKEUPEVENT_1" newline bitfld.long 0x390 24. "MMC3_DAT3_WAKEUPENABLE," "MMC3_DAT3_WAKEUPENABLE_0,MMC3_DAT3_WAKEUPENABLE_1" newline rbitfld.long 0x390 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x390 19. "MMC3_DAT3_SLEWCONTROL," "MMC3_DAT3_SLEWCONTROL_0,MMC3_DAT3_SLEWCONTROL_1" newline bitfld.long 0x390 18. "MMC3_DAT3_INPUTENABLE," "MMC3_DAT3_INPUTENABLE_0,MMC3_DAT3_INPUTENABLE_1" newline bitfld.long 0x390 17. "MMC3_DAT3_PULLTYPESELECT," "MMC3_DAT3_PULLTYPESELECT_0,MMC3_DAT3_PULLTYPESELECT_1" newline bitfld.long 0x390 16. "MMC3_DAT3_PULLUDENABLE," "MMC3_DAT3_PULLUDENABLE_0,MMC3_DAT3_PULLUDENABLE_1" newline hexmask.long.byte 0x390 9.--15. 1. "RESERVED," newline bitfld.long 0x390 8. "MMC3_DAT3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT3_MODESELECT_0,MMC3_DAT3_MODESELECT_1" newline bitfld.long 0x390 4.--7. "MMC3_DAT3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x390 0.--3. "MMC3_DAT3_MUXMODE," "MMC3_DAT3_MUXMODE_0,MMC3_DAT3_MUXMODE_1,MMC3_DAT3_MUXMODE_2,?,MMC3_DAT3_MUXMODE_4,?,?,?,?,MMC3_DAT3_MUXMODE_9,MMC3_DAT3_MUXMODE_10,MMC3_DAT3_MUXMODE_11,MMC3_DAT3_MUXMODE_12,MMC3_DAT3_MUXMODE_13,MMC3_DAT3_MUXMODE_14,MMC3_DAT3_MUXMODE_15" line.long 0x394 "CTRL_CORE_PAD_MMC3_DAT4," rbitfld.long 0x394 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x394 25. "MMC3_DAT4_WAKEUPEVENT," "MMC3_DAT4_WAKEUPEVENT_0,MMC3_DAT4_WAKEUPEVENT_1" newline bitfld.long 0x394 24. "MMC3_DAT4_WAKEUPENABLE," "MMC3_DAT4_WAKEUPENABLE_0,MMC3_DAT4_WAKEUPENABLE_1" newline rbitfld.long 0x394 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x394 19. "MMC3_DAT4_SLEWCONTROL," "MMC3_DAT4_SLEWCONTROL_0,MMC3_DAT4_SLEWCONTROL_1" newline bitfld.long 0x394 18. "MMC3_DAT4_INPUTENABLE," "MMC3_DAT4_INPUTENABLE_0,MMC3_DAT4_INPUTENABLE_1" newline bitfld.long 0x394 17. "MMC3_DAT4_PULLTYPESELECT," "MMC3_DAT4_PULLTYPESELECT_0,MMC3_DAT4_PULLTYPESELECT_1" newline bitfld.long 0x394 16. "MMC3_DAT4_PULLUDENABLE," "MMC3_DAT4_PULLUDENABLE_0,MMC3_DAT4_PULLUDENABLE_1" newline hexmask.long.byte 0x394 9.--15. 1. "RESERVED," newline bitfld.long 0x394 8. "MMC3_DAT4_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT4_MODESELECT_0,MMC3_DAT4_MODESELECT_1" newline bitfld.long 0x394 4.--7. "MMC3_DAT4_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x394 0.--3. "MMC3_DAT4_MUXMODE," "MMC3_DAT4_MUXMODE_0,MMC3_DAT4_MUXMODE_1,MMC3_DAT4_MUXMODE_2,?,MMC3_DAT4_MUXMODE_4,?,?,?,?,MMC3_DAT4_MUXMODE_9,MMC3_DAT4_MUXMODE_10,MMC3_DAT4_MUXMODE_11,MMC3_DAT4_MUXMODE_12,MMC3_DAT4_MUXMODE_13,MMC3_DAT4_MUXMODE_14,MMC3_DAT4_MUXMODE_15" line.long 0x398 "CTRL_CORE_PAD_MMC3_DAT5," rbitfld.long 0x398 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x398 25. "MMC3_DAT5_WAKEUPEVENT," "MMC3_DAT5_WAKEUPEVENT_0,MMC3_DAT5_WAKEUPEVENT_1" newline bitfld.long 0x398 24. "MMC3_DAT5_WAKEUPENABLE," "MMC3_DAT5_WAKEUPENABLE_0,MMC3_DAT5_WAKEUPENABLE_1" newline rbitfld.long 0x398 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x398 19. "MMC3_DAT5_SLEWCONTROL," "MMC3_DAT5_SLEWCONTROL_0,MMC3_DAT5_SLEWCONTROL_1" newline bitfld.long 0x398 18. "MMC3_DAT5_INPUTENABLE," "MMC3_DAT5_INPUTENABLE_0,MMC3_DAT5_INPUTENABLE_1" newline bitfld.long 0x398 17. "MMC3_DAT5_PULLTYPESELECT," "MMC3_DAT5_PULLTYPESELECT_0,MMC3_DAT5_PULLTYPESELECT_1" newline bitfld.long 0x398 16. "MMC3_DAT5_PULLUDENABLE," "MMC3_DAT5_PULLUDENABLE_0,MMC3_DAT5_PULLUDENABLE_1" newline hexmask.long.byte 0x398 9.--15. 1. "RESERVED," newline bitfld.long 0x398 8. "MMC3_DAT5_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT5_MODESELECT_0,MMC3_DAT5_MODESELECT_1" newline bitfld.long 0x398 4.--7. "MMC3_DAT5_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x398 0.--3. "MMC3_DAT5_MUXMODE," "MMC3_DAT5_MUXMODE_0,MMC3_DAT5_MUXMODE_1,MMC3_DAT5_MUXMODE_2,?,MMC3_DAT5_MUXMODE_4,?,?,?,?,MMC3_DAT5_MUXMODE_9,MMC3_DAT5_MUXMODE_10,MMC3_DAT5_MUXMODE_11,MMC3_DAT5_MUXMODE_12,MMC3_DAT5_MUXMODE_13,MMC3_DAT5_MUXMODE_14,MMC3_DAT5_MUXMODE_15" line.long 0x39C "CTRL_CORE_PAD_MMC3_DAT6," rbitfld.long 0x39C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x39C 25. "MMC3_DAT6_WAKEUPEVENT," "MMC3_DAT6_WAKEUPEVENT_0,MMC3_DAT6_WAKEUPEVENT_1" newline bitfld.long 0x39C 24. "MMC3_DAT6_WAKEUPENABLE," "MMC3_DAT6_WAKEUPENABLE_0,MMC3_DAT6_WAKEUPENABLE_1" newline rbitfld.long 0x39C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x39C 19. "MMC3_DAT6_SLEWCONTROL," "MMC3_DAT6_SLEWCONTROL_0,MMC3_DAT6_SLEWCONTROL_1" newline bitfld.long 0x39C 18. "MMC3_DAT6_INPUTENABLE," "MMC3_DAT6_INPUTENABLE_0,MMC3_DAT6_INPUTENABLE_1" newline bitfld.long 0x39C 17. "MMC3_DAT6_PULLTYPESELECT," "MMC3_DAT6_PULLTYPESELECT_0,MMC3_DAT6_PULLTYPESELECT_1" newline bitfld.long 0x39C 16. "MMC3_DAT6_PULLUDENABLE," "MMC3_DAT6_PULLUDENABLE_0,MMC3_DAT6_PULLUDENABLE_1" newline hexmask.long.byte 0x39C 9.--15. 1. "RESERVED," newline bitfld.long 0x39C 8. "MMC3_DAT6_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT6_MODESELECT_0,MMC3_DAT6_MODESELECT_1" newline bitfld.long 0x39C 4.--7. "MMC3_DAT6_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x39C 0.--3. "MMC3_DAT6_MUXMODE," "MMC3_DAT6_MUXMODE_0,MMC3_DAT6_MUXMODE_1,MMC3_DAT6_MUXMODE_2,?,MMC3_DAT6_MUXMODE_4,?,?,?,?,MMC3_DAT6_MUXMODE_9,MMC3_DAT6_MUXMODE_10,MMC3_DAT6_MUXMODE_11,MMC3_DAT6_MUXMODE_12,MMC3_DAT6_MUXMODE_13,MMC3_DAT6_MUXMODE_14,MMC3_DAT6_MUXMODE_15" line.long 0x3A0 "CTRL_CORE_PAD_MMC3_DAT7," rbitfld.long 0x3A0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3A0 25. "MMC3_DAT7_WAKEUPEVENT," "MMC3_DAT7_WAKEUPEVENT_0,MMC3_DAT7_WAKEUPEVENT_1" newline bitfld.long 0x3A0 24. "MMC3_DAT7_WAKEUPENABLE," "MMC3_DAT7_WAKEUPENABLE_0,MMC3_DAT7_WAKEUPENABLE_1" newline rbitfld.long 0x3A0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A0 19. "MMC3_DAT7_SLEWCONTROL," "MMC3_DAT7_SLEWCONTROL_0,MMC3_DAT7_SLEWCONTROL_1" newline bitfld.long 0x3A0 18. "MMC3_DAT7_INPUTENABLE," "MMC3_DAT7_INPUTENABLE_0,MMC3_DAT7_INPUTENABLE_1" newline bitfld.long 0x3A0 17. "MMC3_DAT7_PULLTYPESELECT," "MMC3_DAT7_PULLTYPESELECT_0,MMC3_DAT7_PULLTYPESELECT_1" newline bitfld.long 0x3A0 16. "MMC3_DAT7_PULLUDENABLE," "MMC3_DAT7_PULLUDENABLE_0,MMC3_DAT7_PULLUDENABLE_1" newline hexmask.long.byte 0x3A0 9.--15. 1. "RESERVED," newline bitfld.long 0x3A0 8. "MMC3_DAT7_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "MMC3_DAT7_MODESELECT_0,MMC3_DAT7_MODESELECT_1" newline bitfld.long 0x3A0 4.--7. "MMC3_DAT7_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A0 0.--3. "MMC3_DAT7_MUXMODE," "MMC3_DAT7_MUXMODE_0,MMC3_DAT7_MUXMODE_1,MMC3_DAT7_MUXMODE_2,?,MMC3_DAT7_MUXMODE_4,?,?,?,?,MMC3_DAT7_MUXMODE_9,MMC3_DAT7_MUXMODE_10,MMC3_DAT7_MUXMODE_11,MMC3_DAT7_MUXMODE_12,MMC3_DAT7_MUXMODE_13,MMC3_DAT7_MUXMODE_14,MMC3_DAT7_MUXMODE_15" line.long 0x3A4 "CTRL_CORE_PAD_SPI1_SCLK," rbitfld.long 0x3A4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3A4 25. "SPI1_SCLK_WAKEUPEVENT," "SPI1_SCLK_WAKEUPEVENT_0,SPI1_SCLK_WAKEUPEVENT_1" newline bitfld.long 0x3A4 24. "SPI1_SCLK_WAKEUPENABLE," "SPI1_SCLK_WAKEUPENABLE_0,SPI1_SCLK_WAKEUPENABLE_1" newline rbitfld.long 0x3A4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A4 19. "SPI1_SCLK_SLEWCONTROL," "SPI1_SCLK_SLEWCONTROL_0,SPI1_SCLK_SLEWCONTROL_1" newline bitfld.long 0x3A4 18. "SPI1_SCLK_INPUTENABLE," "SPI1_SCLK_INPUTENABLE_0,SPI1_SCLK_INPUTENABLE_1" newline bitfld.long 0x3A4 17. "SPI1_SCLK_PULLTYPESELECT," "SPI1_SCLK_PULLTYPESELECT_0,SPI1_SCLK_PULLTYPESELECT_1" newline bitfld.long 0x3A4 16. "SPI1_SCLK_PULLUDENABLE," "SPI1_SCLK_PULLUDENABLE_0,SPI1_SCLK_PULLUDENABLE_1" newline hexmask.long.byte 0x3A4 9.--15. 1. "RESERVED," newline bitfld.long 0x3A4 8. "SPI1_SCLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_SCLK_MODESELECT_0,SPI1_SCLK_MODESELECT_1" newline bitfld.long 0x3A4 4.--7. "SPI1_SCLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A4 0.--3. "SPI1_SCLK_MUXMODE," "SPI1_SCLK_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,SPI1_SCLK_MUXMODE_14,SPI1_SCLK_MUXMODE_15" line.long 0x3A8 "CTRL_CORE_PAD_SPI1_D1," rbitfld.long 0x3A8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3A8 25. "SPI1_D1_WAKEUPEVENT," "SPI1_D1_WAKEUPEVENT_0,SPI1_D1_WAKEUPEVENT_1" newline bitfld.long 0x3A8 24. "SPI1_D1_WAKEUPENABLE," "SPI1_D1_WAKEUPENABLE_0,SPI1_D1_WAKEUPENABLE_1" newline rbitfld.long 0x3A8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A8 19. "SPI1_D1_SLEWCONTROL," "SPI1_D1_SLEWCONTROL_0,SPI1_D1_SLEWCONTROL_1" newline bitfld.long 0x3A8 18. "SPI1_D1_INPUTENABLE," "SPI1_D1_INPUTENABLE_0,SPI1_D1_INPUTENABLE_1" newline bitfld.long 0x3A8 17. "SPI1_D1_PULLTYPESELECT," "SPI1_D1_PULLTYPESELECT_0,SPI1_D1_PULLTYPESELECT_1" newline bitfld.long 0x3A8 16. "SPI1_D1_PULLUDENABLE," "SPI1_D1_PULLUDENABLE_0,SPI1_D1_PULLUDENABLE_1" newline hexmask.long.byte 0x3A8 9.--15. 1. "RESERVED," newline bitfld.long 0x3A8 8. "SPI1_D1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_D1_MODESELECT_0,SPI1_D1_MODESELECT_1" newline bitfld.long 0x3A8 4.--7. "SPI1_D1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3A8 0.--3. "SPI1_D1_MUXMODE," "SPI1_D1_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,SPI1_D1_MUXMODE_14,SPI1_D1_MUXMODE_15" line.long 0x3AC "CTRL_CORE_PAD_SPI1_D0," rbitfld.long 0x3AC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3AC 25. "SPI1_D0_WAKEUPEVENT," "SPI1_D0_WAKEUPEVENT_0,SPI1_D0_WAKEUPEVENT_1" newline bitfld.long 0x3AC 24. "SPI1_D0_WAKEUPENABLE," "SPI1_D0_WAKEUPENABLE_0,SPI1_D0_WAKEUPENABLE_1" newline rbitfld.long 0x3AC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3AC 19. "SPI1_D0_SLEWCONTROL," "SPI1_D0_SLEWCONTROL_0,SPI1_D0_SLEWCONTROL_1" newline bitfld.long 0x3AC 18. "SPI1_D0_INPUTENABLE," "SPI1_D0_INPUTENABLE_0,SPI1_D0_INPUTENABLE_1" newline bitfld.long 0x3AC 17. "SPI1_D0_PULLTYPESELECT," "SPI1_D0_PULLTYPESELECT_0,SPI1_D0_PULLTYPESELECT_1" newline bitfld.long 0x3AC 16. "SPI1_D0_PULLUDENABLE," "SPI1_D0_PULLUDENABLE_0,SPI1_D0_PULLUDENABLE_1" newline hexmask.long.byte 0x3AC 9.--15. 1. "RESERVED," newline bitfld.long 0x3AC 8. "SPI1_D0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_D0_MODESELECT_0,SPI1_D0_MODESELECT_1" newline bitfld.long 0x3AC 4.--7. "SPI1_D0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3AC 0.--3. "SPI1_D0_MUXMODE," "SPI1_D0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,SPI1_D0_MUXMODE_14,SPI1_D0_MUXMODE_15" line.long 0x3B0 "CTRL_CORE_PAD_SPI1_CS0," rbitfld.long 0x3B0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3B0 25. "SPI1_CS0_WAKEUPEVENT," "SPI1_CS0_WAKEUPEVENT_0,SPI1_CS0_WAKEUPEVENT_1" newline bitfld.long 0x3B0 24. "SPI1_CS0_WAKEUPENABLE," "SPI1_CS0_WAKEUPENABLE_0,SPI1_CS0_WAKEUPENABLE_1" newline rbitfld.long 0x3B0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B0 19. "SPI1_CS0_SLEWCONTROL," "SPI1_CS0_SLEWCONTROL_0,SPI1_CS0_SLEWCONTROL_1" newline bitfld.long 0x3B0 18. "SPI1_CS0_INPUTENABLE," "SPI1_CS0_INPUTENABLE_0,SPI1_CS0_INPUTENABLE_1" newline bitfld.long 0x3B0 17. "SPI1_CS0_PULLTYPESELECT," "SPI1_CS0_PULLTYPESELECT_0,SPI1_CS0_PULLTYPESELECT_1" newline bitfld.long 0x3B0 16. "SPI1_CS0_PULLUDENABLE," "SPI1_CS0_PULLUDENABLE_0,SPI1_CS0_PULLUDENABLE_1" newline hexmask.long.byte 0x3B0 9.--15. 1. "RESERVED," newline bitfld.long 0x3B0 8. "SPI1_CS0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_CS0_MODESELECT_0,SPI1_CS0_MODESELECT_1" newline bitfld.long 0x3B0 4.--7. "SPI1_CS0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B0 0.--3. "SPI1_CS0_MUXMODE," "SPI1_CS0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,SPI1_CS0_MUXMODE_14,SPI1_CS0_MUXMODE_15" line.long 0x3B4 "CTRL_CORE_PAD_SPI1_CS1," rbitfld.long 0x3B4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3B4 25. "SPI1_CS1_WAKEUPEVENT," "SPI1_CS1_WAKEUPEVENT_0,SPI1_CS1_WAKEUPEVENT_1" newline bitfld.long 0x3B4 24. "SPI1_CS1_WAKEUPENABLE," "SPI1_CS1_WAKEUPENABLE_0,SPI1_CS1_WAKEUPENABLE_1" newline rbitfld.long 0x3B4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B4 19. "SPI1_CS1_SLEWCONTROL," "SPI1_CS1_SLEWCONTROL_0,SPI1_CS1_SLEWCONTROL_1" newline bitfld.long 0x3B4 18. "SPI1_CS1_INPUTENABLE," "SPI1_CS1_INPUTENABLE_0,SPI1_CS1_INPUTENABLE_1" newline bitfld.long 0x3B4 17. "SPI1_CS1_PULLTYPESELECT," "SPI1_CS1_PULLTYPESELECT_0,SPI1_CS1_PULLTYPESELECT_1" newline bitfld.long 0x3B4 16. "SPI1_CS1_PULLUDENABLE," "SPI1_CS1_PULLUDENABLE_0,SPI1_CS1_PULLUDENABLE_1" newline hexmask.long.byte 0x3B4 9.--15. 1. "RESERVED," newline bitfld.long 0x3B4 8. "SPI1_CS1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_CS1_MODESELECT_0,SPI1_CS1_MODESELECT_1" newline bitfld.long 0x3B4 4.--7. "SPI1_CS1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B4 0.--3. "SPI1_CS1_MUXMODE," "SPI1_CS1_MUXMODE_0,?,SPI1_CS1_MUXMODE_2,SPI1_CS1_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,SPI1_CS1_MUXMODE_14,SPI1_CS1_MUXMODE_15" line.long 0x3B8 "CTRL_CORE_PAD_SPI1_CS2," rbitfld.long 0x3B8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3B8 25. "SPI1_CS2_WAKEUPEVENT," "SPI1_CS2_WAKEUPEVENT_0,SPI1_CS2_WAKEUPEVENT_1" newline bitfld.long 0x3B8 24. "SPI1_CS2_WAKEUPENABLE," "SPI1_CS2_WAKEUPENABLE_0,SPI1_CS2_WAKEUPENABLE_1" newline rbitfld.long 0x3B8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B8 19. "SPI1_CS2_SLEWCONTROL," "SPI1_CS2_SLEWCONTROL_0,SPI1_CS2_SLEWCONTROL_1" newline bitfld.long 0x3B8 18. "SPI1_CS2_INPUTENABLE," "SPI1_CS2_INPUTENABLE_0,SPI1_CS2_INPUTENABLE_1" newline bitfld.long 0x3B8 17. "SPI1_CS2_PULLTYPESELECT," "SPI1_CS2_PULLTYPESELECT_0,SPI1_CS2_PULLTYPESELECT_1" newline bitfld.long 0x3B8 16. "SPI1_CS2_PULLUDENABLE," "SPI1_CS2_PULLUDENABLE_0,SPI1_CS2_PULLUDENABLE_1" newline hexmask.long.byte 0x3B8 9.--15. 1. "RESERVED," newline bitfld.long 0x3B8 8. "SPI1_CS2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_CS2_MODESELECT_0,SPI1_CS2_MODESELECT_1" newline bitfld.long 0x3B8 4.--7. "SPI1_CS2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3B8 0.--3. "SPI1_CS2_MUXMODE," "SPI1_CS2_MUXMODE_0,SPI1_CS2_MUXMODE_1,SPI1_CS2_MUXMODE_2,SPI1_CS2_MUXMODE_3,SPI1_CS2_MUXMODE_4,SPI1_CS2_MUXMODE_5,SPI1_CS2_MUXMODE_6,?,?,?,?,?,?,?,SPI1_CS2_MUXMODE_14,SPI1_CS2_MUXMODE_15" line.long 0x3BC "CTRL_CORE_PAD_SPI1_CS3," rbitfld.long 0x3BC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3BC 25. "SPI1_CS3_WAKEUPEVENT," "SPI1_CS3_WAKEUPEVENT_0,SPI1_CS3_WAKEUPEVENT_1" newline bitfld.long 0x3BC 24. "SPI1_CS3_WAKEUPENABLE," "SPI1_CS3_WAKEUPENABLE_0,SPI1_CS3_WAKEUPENABLE_1" newline rbitfld.long 0x3BC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3BC 19. "SPI1_CS3_SLEWCONTROL," "SPI1_CS3_SLEWCONTROL_0,SPI1_CS3_SLEWCONTROL_1" newline bitfld.long 0x3BC 18. "SPI1_CS3_INPUTENABLE," "SPI1_CS3_INPUTENABLE_0,SPI1_CS3_INPUTENABLE_1" newline bitfld.long 0x3BC 17. "SPI1_CS3_PULLTYPESELECT," "SPI1_CS3_PULLTYPESELECT_0,SPI1_CS3_PULLTYPESELECT_1" newline bitfld.long 0x3BC 16. "SPI1_CS3_PULLUDENABLE," "SPI1_CS3_PULLUDENABLE_0,SPI1_CS3_PULLUDENABLE_1" newline hexmask.long.byte 0x3BC 9.--15. 1. "RESERVED," newline bitfld.long 0x3BC 8. "SPI1_CS3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI1_CS3_MODESELECT_0,SPI1_CS3_MODESELECT_1" newline bitfld.long 0x3BC 4.--7. "SPI1_CS3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3BC 0.--3. "SPI1_CS3_MUXMODE," "SPI1_CS3_MUXMODE_0,SPI1_CS3_MUXMODE_1,SPI1_CS3_MUXMODE_2,SPI1_CS3_MUXMODE_3,SPI1_CS3_MUXMODE_4,SPI1_CS3_MUXMODE_5,SPI1_CS3_MUXMODE_6,?,?,?,?,?,?,?,SPI1_CS3_MUXMODE_14,SPI1_CS3_MUXMODE_15" line.long 0x3C0 "CTRL_CORE_PAD_SPI2_SCLK," rbitfld.long 0x3C0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3C0 25. "SPI2_SCLK_WAKEUPEVENT," "SPI2_SCLK_WAKEUPEVENT_0,SPI2_SCLK_WAKEUPEVENT_1" newline bitfld.long 0x3C0 24. "SPI2_SCLK_WAKEUPENABLE," "SPI2_SCLK_WAKEUPENABLE_0,SPI2_SCLK_WAKEUPENABLE_1" newline rbitfld.long 0x3C0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C0 19. "SPI2_SCLK_SLEWCONTROL," "SPI2_SCLK_SLEWCONTROL_0,SPI2_SCLK_SLEWCONTROL_1" newline bitfld.long 0x3C0 18. "SPI2_SCLK_INPUTENABLE," "SPI2_SCLK_INPUTENABLE_0,SPI2_SCLK_INPUTENABLE_1" newline bitfld.long 0x3C0 17. "SPI2_SCLK_PULLTYPESELECT," "SPI2_SCLK_PULLTYPESELECT_0,SPI2_SCLK_PULLTYPESELECT_1" newline bitfld.long 0x3C0 16. "SPI2_SCLK_PULLUDENABLE," "SPI2_SCLK_PULLUDENABLE_0,SPI2_SCLK_PULLUDENABLE_1" newline hexmask.long.byte 0x3C0 9.--15. 1. "RESERVED," newline bitfld.long 0x3C0 8. "SPI2_SCLK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI2_SCLK_MODESELECT_0,SPI2_SCLK_MODESELECT_1" newline bitfld.long 0x3C0 4.--7. "SPI2_SCLK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C0 0.--3. "SPI2_SCLK_MUXMODE," "SPI2_SCLK_MUXMODE_0,SPI2_SCLK_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,SPI2_SCLK_MUXMODE_14,SPI2_SCLK_MUXMODE_15" line.long 0x3C4 "CTRL_CORE_PAD_SPI2_D1," rbitfld.long 0x3C4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3C4 25. "SPI2_D1_WAKEUPEVENT," "SPI2_D1_WAKEUPEVENT_0,SPI2_D1_WAKEUPEVENT_1" newline bitfld.long 0x3C4 24. "SPI2_D1_WAKEUPENABLE," "SPI2_D1_WAKEUPENABLE_0,SPI2_D1_WAKEUPENABLE_1" newline rbitfld.long 0x3C4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C4 19. "SPI2_D1_SLEWCONTROL," "SPI2_D1_SLEWCONTROL_0,SPI2_D1_SLEWCONTROL_1" newline bitfld.long 0x3C4 18. "SPI2_D1_INPUTENABLE," "SPI2_D1_INPUTENABLE_0,SPI2_D1_INPUTENABLE_1" newline bitfld.long 0x3C4 17. "SPI2_D1_PULLTYPESELECT," "SPI2_D1_PULLTYPESELECT_0,SPI2_D1_PULLTYPESELECT_1" newline bitfld.long 0x3C4 16. "SPI2_D1_PULLUDENABLE," "SPI2_D1_PULLUDENABLE_0,SPI2_D1_PULLUDENABLE_1" newline hexmask.long.byte 0x3C4 9.--15. 1. "RESERVED," newline bitfld.long 0x3C4 8. "SPI2_D1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI2_D1_MODESELECT_0,SPI2_D1_MODESELECT_1" newline bitfld.long 0x3C4 4.--7. "SPI2_D1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C4 0.--3. "SPI2_D1_MUXMODE," "SPI2_D1_MUXMODE_0,SPI2_D1_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,SPI2_D1_MUXMODE_14,SPI2_D1_MUXMODE_15" line.long 0x3C8 "CTRL_CORE_PAD_SPI2_D0," rbitfld.long 0x3C8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3C8 25. "SPI2_D0_WAKEUPEVENT," "SPI2_D0_WAKEUPEVENT_0,SPI2_D0_WAKEUPEVENT_1" newline bitfld.long 0x3C8 24. "SPI2_D0_WAKEUPENABLE," "SPI2_D0_WAKEUPENABLE_0,SPI2_D0_WAKEUPENABLE_1" newline rbitfld.long 0x3C8 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C8 19. "SPI2_D0_SLEWCONTROL," "SPI2_D0_SLEWCONTROL_0,SPI2_D0_SLEWCONTROL_1" newline bitfld.long 0x3C8 18. "SPI2_D0_INPUTENABLE," "SPI2_D0_INPUTENABLE_0,SPI2_D0_INPUTENABLE_1" newline bitfld.long 0x3C8 17. "SPI2_D0_PULLTYPESELECT," "SPI2_D0_PULLTYPESELECT_0,SPI2_D0_PULLTYPESELECT_1" newline bitfld.long 0x3C8 16. "SPI2_D0_PULLUDENABLE," "SPI2_D0_PULLUDENABLE_0,SPI2_D0_PULLUDENABLE_1" newline hexmask.long.byte 0x3C8 9.--15. 1. "RESERVED," newline bitfld.long 0x3C8 8. "SPI2_D0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI2_D0_MODESELECT_0,SPI2_D0_MODESELECT_1" newline bitfld.long 0x3C8 4.--7. "SPI2_D0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C8 0.--3. "SPI2_D0_MUXMODE," "SPI2_D0_MUXMODE_0,SPI2_D0_MUXMODE_1,SPI2_D0_MUXMODE_2,?,?,?,?,?,?,?,?,?,?,?,SPI2_D0_MUXMODE_14,SPI2_D0_MUXMODE_15" line.long 0x3CC "CTRL_CORE_PAD_SPI2_CS0," rbitfld.long 0x3CC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3CC 25. "SPI2_CS0_WAKEUPEVENT," "SPI2_CS0_WAKEUPEVENT_0,SPI2_CS0_WAKEUPEVENT_1" newline bitfld.long 0x3CC 24. "SPI2_CS0_WAKEUPENABLE," "SPI2_CS0_WAKEUPENABLE_0,SPI2_CS0_WAKEUPENABLE_1" newline rbitfld.long 0x3CC 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3CC 19. "SPI2_CS0_SLEWCONTROL," "SPI2_CS0_SLEWCONTROL_0,SPI2_CS0_SLEWCONTROL_1" newline bitfld.long 0x3CC 18. "SPI2_CS0_INPUTENABLE," "SPI2_CS0_INPUTENABLE_0,SPI2_CS0_INPUTENABLE_1" newline bitfld.long 0x3CC 17. "SPI2_CS0_PULLTYPESELECT," "SPI2_CS0_PULLTYPESELECT_0,SPI2_CS0_PULLTYPESELECT_1" newline bitfld.long 0x3CC 16. "SPI2_CS0_PULLUDENABLE," "SPI2_CS0_PULLUDENABLE_0,SPI2_CS0_PULLUDENABLE_1" newline hexmask.long.byte 0x3CC 9.--15. 1. "RESERVED," newline bitfld.long 0x3CC 8. "SPI2_CS0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "SPI2_CS0_MODESELECT_0,SPI2_CS0_MODESELECT_1" newline bitfld.long 0x3CC 4.--7. "SPI2_CS0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3CC 0.--3. "SPI2_CS0_MUXMODE," "SPI2_CS0_MUXMODE_0,SPI2_CS0_MUXMODE_1,SPI2_CS0_MUXMODE_2,?,?,?,?,?,?,?,?,?,?,?,SPI2_CS0_MUXMODE_14,SPI2_CS0_MUXMODE_15" line.long 0x3D0 "CTRL_CORE_PAD_DCAN1_TX," rbitfld.long 0x3D0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3D0 25. "DCAN1_TX_WAKEUPEVENT," "DCAN1_TX_WAKEUPEVENT_0,DCAN1_TX_WAKEUPEVENT_1" newline bitfld.long 0x3D0 24. "DCAN1_TX_WAKEUPENABLE," "DCAN1_TX_WAKEUPENABLE_0,DCAN1_TX_WAKEUPENABLE_1" newline rbitfld.long 0x3D0 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3D0 19. "DCAN1_TX_SLEWCONTROL," "DCAN1_TX_SLEWCONTROL_0,DCAN1_TX_SLEWCONTROL_1" newline bitfld.long 0x3D0 18. "DCAN1_TX_INPUTENABLE," "DCAN1_TX_INPUTENABLE_0,DCAN1_TX_INPUTENABLE_1" newline bitfld.long 0x3D0 17. "DCAN1_TX_PULLTYPESELECT," "DCAN1_TX_PULLTYPESELECT_0,DCAN1_TX_PULLTYPESELECT_1" newline bitfld.long 0x3D0 16. "DCAN1_TX_PULLUDENABLE," "DCAN1_TX_PULLUDENABLE_0,DCAN1_TX_PULLUDENABLE_1" newline hexmask.long.byte 0x3D0 9.--15. 1. "RESERVED," newline bitfld.long 0x3D0 8. "DCAN1_TX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "DCAN1_TX_MODESELECT_0,DCAN1_TX_MODESELECT_1" newline bitfld.long 0x3D0 4.--7. "DCAN1_TX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3D0 0.--3. "DCAN1_TX_MUXMODE," "DCAN1_TX_MUXMODE_0,?,DCAN1_TX_MUXMODE_2,DCAN1_TX_MUXMODE_3,?,?,DCAN1_TX_MUXMODE_6,?,?,?,?,?,?,?,DCAN1_TX_MUXMODE_14,DCAN1_TX_MUXMODE_15" line.long 0x3D4 "CTRL_CORE_PAD_DCAN1_RX," rbitfld.long 0x3D4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x3D4 25. "DCAN1_RX_WAKEUPEVENT," "DCAN1_RX_WAKEUPEVENT_0,DCAN1_RX_WAKEUPEVENT_1" newline bitfld.long 0x3D4 24. "DCAN1_RX_WAKEUPENABLE," "DCAN1_RX_WAKEUPENABLE_0,DCAN1_RX_WAKEUPENABLE_1" newline rbitfld.long 0x3D4 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3D4 19. "DCAN1_RX_SLEWCONTROL," "DCAN1_RX_SLEWCONTROL_0,DCAN1_RX_SLEWCONTROL_1" newline bitfld.long 0x3D4 18. "DCAN1_RX_INPUTENABLE," "DCAN1_RX_INPUTENABLE_0,DCAN1_RX_INPUTENABLE_1" newline bitfld.long 0x3D4 17. "DCAN1_RX_PULLTYPESELECT," "DCAN1_RX_PULLTYPESELECT_0,DCAN1_RX_PULLTYPESELECT_1" newline bitfld.long 0x3D4 16. "DCAN1_RX_PULLUDENABLE," "DCAN1_RX_PULLUDENABLE_0,DCAN1_RX_PULLUDENABLE_1" newline hexmask.long.byte 0x3D4 9.--15. 1. "RESERVED," newline bitfld.long 0x3D4 8. "DCAN1_RX_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "DCAN1_RX_MODESELECT_0,DCAN1_RX_MODESELECT_1" newline bitfld.long 0x3D4 4.--7. "DCAN1_RX_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3D4 0.--3. "DCAN1_RX_MUXMODE," "DCAN1_RX_MUXMODE_0,?,DCAN1_RX_MUXMODE_2,DCAN1_RX_MUXMODE_3,DCAN1_RX_MUXMODE_4,?,DCAN1_RX_MUXMODE_6,?,?,?,?,?,?,?,DCAN1_RX_MUXMODE_14,DCAN1_RX_MUXMODE_15" group.long 0x17E0++0x2F line.long 0x00 "CTRL_CORE_PAD_UART1_RXD," rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 25. "UART1_RXD_WAKEUPEVENT," "UART1_RXD_WAKEUPEVENT_0,UART1_RXD_WAKEUPEVENT_1" newline bitfld.long 0x00 24. "UART1_RXD_WAKEUPENABLE," "UART1_RXD_WAKEUPENABLE_0,UART1_RXD_WAKEUPENABLE_1" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 19. "UART1_RXD_SLEWCONTROL," "UART1_RXD_SLEWCONTROL_0,UART1_RXD_SLEWCONTROL_1" newline bitfld.long 0x00 18. "UART1_RXD_INPUTENABLE," "UART1_RXD_INPUTENABLE_0,UART1_RXD_INPUTENABLE_1" newline bitfld.long 0x00 17. "UART1_RXD_PULLTYPESELECT," "UART1_RXD_PULLTYPESELECT_0,UART1_RXD_PULLTYPESELECT_1" newline bitfld.long 0x00 16. "UART1_RXD_PULLUDENABLE," "UART1_RXD_PULLUDENABLE_0,UART1_RXD_PULLUDENABLE_1" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "UART1_RXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART1_RXD_MODESELECT_0,UART1_RXD_MODESELECT_1" newline bitfld.long 0x00 4.--7. "UART1_RXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "UART1_RXD_MUXMODE," "UART1_RXD_MUXMODE_0,?,?,UART1_RXD_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,UART1_RXD_MUXMODE_14,UART1_RXD_MUXMODE_15" line.long 0x04 "CTRL_CORE_PAD_UART1_TXD," rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x04 25. "UART1_TXD_WAKEUPEVENT," "UART1_TXD_WAKEUPEVENT_0,UART1_TXD_WAKEUPEVENT_1" newline bitfld.long 0x04 24. "UART1_TXD_WAKEUPENABLE," "UART1_TXD_WAKEUPENABLE_0,UART1_TXD_WAKEUPENABLE_1" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 19. "UART1_TXD_SLEWCONTROL," "UART1_TXD_SLEWCONTROL_0,UART1_TXD_SLEWCONTROL_1" newline bitfld.long 0x04 18. "UART1_TXD_INPUTENABLE," "UART1_TXD_INPUTENABLE_0,UART1_TXD_INPUTENABLE_1" newline bitfld.long 0x04 17. "UART1_TXD_PULLTYPESELECT," "UART1_TXD_PULLTYPESELECT_0,UART1_TXD_PULLTYPESELECT_1" newline bitfld.long 0x04 16. "UART1_TXD_PULLUDENABLE," "UART1_TXD_PULLUDENABLE_0,UART1_TXD_PULLUDENABLE_1" newline hexmask.long.byte 0x04 9.--15. 1. "RESERVED," newline bitfld.long 0x04 8. "UART1_TXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART1_TXD_MODESELECT_0,UART1_TXD_MODESELECT_1" newline bitfld.long 0x04 4.--7. "UART1_TXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. "UART1_TXD_MUXMODE," "UART1_TXD_MUXMODE_0,?,?,UART1_TXD_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,UART1_TXD_MUXMODE_14,UART1_TXD_MUXMODE_15" line.long 0x08 "CTRL_CORE_PAD_UART1_CTSN," rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x08 25. "UART1_CTSN_WAKEUPEVENT," "UART1_CTSN_WAKEUPEVENT_0,UART1_CTSN_WAKEUPEVENT_1" newline bitfld.long 0x08 24. "UART1_CTSN_WAKEUPENABLE," "UART1_CTSN_WAKEUPENABLE_0,UART1_CTSN_WAKEUPENABLE_1" newline rbitfld.long 0x08 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 19. "UART1_CTSN_SLEWCONTROL," "UART1_CTSN_SLEWCONTROL_0,UART1_CTSN_SLEWCONTROL_1" newline bitfld.long 0x08 18. "UART1_CTSN_INPUTENABLE," "UART1_CTSN_INPUTENABLE_0,UART1_CTSN_INPUTENABLE_1" newline bitfld.long 0x08 17. "UART1_CTSN_PULLTYPESELECT," "UART1_CTSN_PULLTYPESELECT_0,UART1_CTSN_PULLTYPESELECT_1" newline bitfld.long 0x08 16. "UART1_CTSN_PULLUDENABLE," "UART1_CTSN_PULLUDENABLE_0,UART1_CTSN_PULLUDENABLE_1" newline hexmask.long.byte 0x08 9.--15. 1. "RESERVED," newline bitfld.long 0x08 8. "UART1_CTSN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART1_CTSN_MODESELECT_0,UART1_CTSN_MODESELECT_1" newline bitfld.long 0x08 4.--7. "UART1_CTSN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. "UART1_CTSN_MUXMODE," "UART1_CTSN_MUXMODE_0,?,UART1_CTSN_MUXMODE_2,UART1_CTSN_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,UART1_CTSN_MUXMODE_14,UART1_CTSN_MUXMODE_15" line.long 0x0C "CTRL_CORE_PAD_UART1_RTSN," rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x0C 25. "UART1_RTSN_WAKEUPEVENT," "UART1_RTSN_WAKEUPEVENT_0,UART1_RTSN_WAKEUPEVENT_1" newline bitfld.long 0x0C 24. "UART1_RTSN_WAKEUPENABLE," "UART1_RTSN_WAKEUPENABLE_0,UART1_RTSN_WAKEUPENABLE_1" newline rbitfld.long 0x0C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 19. "UART1_RTSN_SLEWCONTROL," "UART1_RTSN_SLEWCONTROL_0,UART1_RTSN_SLEWCONTROL_1" newline bitfld.long 0x0C 18. "UART1_RTSN_INPUTENABLE," "UART1_RTSN_INPUTENABLE_0,UART1_RTSN_INPUTENABLE_1" newline bitfld.long 0x0C 17. "UART1_RTSN_PULLTYPESELECT," "UART1_RTSN_PULLTYPESELECT_0,UART1_RTSN_PULLTYPESELECT_1" newline bitfld.long 0x0C 16. "UART1_RTSN_PULLUDENABLE," "UART1_RTSN_PULLUDENABLE_0,UART1_RTSN_PULLUDENABLE_1" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline bitfld.long 0x0C 8. "UART1_RTSN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART1_RTSN_MODESELECT_0,UART1_RTSN_MODESELECT_1" newline bitfld.long 0x0C 4.--7. "UART1_RTSN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "UART1_RTSN_MUXMODE," "UART1_RTSN_MUXMODE_0,?,UART1_RTSN_MUXMODE_2,UART1_RTSN_MUXMODE_3,?,?,?,?,?,?,?,?,?,?,UART1_RTSN_MUXMODE_14,UART1_RTSN_MUXMODE_15" line.long 0x10 "CTRL_CORE_PAD_UART2_RXD," rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x10 25. "UART2_RXD_WAKEUPEVENT," "UART2_RXD_WAKEUPEVENT_0,UART2_RXD_WAKEUPEVENT_1" newline bitfld.long 0x10 24. "UART2_RXD_WAKEUPENABLE," "UART2_RXD_WAKEUPENABLE_0,UART2_RXD_WAKEUPENABLE_1" newline rbitfld.long 0x10 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 19. "UART2_RXD_SLEWCONTROL," "UART2_RXD_SLEWCONTROL_0,UART2_RXD_SLEWCONTROL_1" newline bitfld.long 0x10 18. "UART2_RXD_INPUTENABLE," "UART2_RXD_INPUTENABLE_0,UART2_RXD_INPUTENABLE_1" newline bitfld.long 0x10 17. "UART2_RXD_PULLTYPESELECT," "UART2_RXD_PULLTYPESELECT_0,UART2_RXD_PULLTYPESELECT_1" newline bitfld.long 0x10 16. "UART2_RXD_PULLUDENABLE," "UART2_RXD_PULLUDENABLE_0,UART2_RXD_PULLUDENABLE_1" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline bitfld.long 0x10 8. "UART2_RXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART2_RXD_MODESELECT_0,UART2_RXD_MODESELECT_1" newline bitfld.long 0x10 4.--7. "UART2_RXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 0.--3. "UART2_RXD_MUXMODE," "?,UART2_RXD_MUXMODE_1,UART2_RXD_MUXMODE_2,UART2_RXD_MUXMODE_3,UART2_RXD_MUXMODE_4,UART2_RXD_MUXMODE_5,?,?,?,?,?,?,?,?,UART2_RXD_MUXMODE_14,UART2_RXD_MUXMODE_15" line.long 0x14 "CTRL_CORE_PAD_UART2_TXD," rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x14 25. "UART2_TXD_WAKEUPEVENT," "UART2_TXD_WAKEUPEVENT_0,UART2_TXD_WAKEUPEVENT_1" newline bitfld.long 0x14 24. "UART2_TXD_WAKEUPENABLE," "UART2_TXD_WAKEUPENABLE_0,UART2_TXD_WAKEUPENABLE_1" newline rbitfld.long 0x14 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 19. "UART2_TXD_SLEWCONTROL," "UART2_TXD_SLEWCONTROL_0,UART2_TXD_SLEWCONTROL_1" newline bitfld.long 0x14 18. "UART2_TXD_INPUTENABLE," "UART2_TXD_INPUTENABLE_0,UART2_TXD_INPUTENABLE_1" newline bitfld.long 0x14 17. "UART2_TXD_PULLTYPESELECT," "UART2_TXD_PULLTYPESELECT_0,UART2_TXD_PULLTYPESELECT_1" newline bitfld.long 0x14 16. "UART2_TXD_PULLUDENABLE," "UART2_TXD_PULLUDENABLE_0,UART2_TXD_PULLUDENABLE_1" newline hexmask.long.byte 0x14 9.--15. 1. "RESERVED," newline bitfld.long 0x14 8. "UART2_TXD_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART2_TXD_MODESELECT_0,UART2_TXD_MODESELECT_1" newline bitfld.long 0x14 4.--7. "UART2_TXD_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 0.--3. "UART2_TXD_MUXMODE," "UART2_TXD_MUXMODE_0,UART2_TXD_MUXMODE_1,UART2_TXD_MUXMODE_2,UART2_TXD_MUXMODE_3,UART2_TXD_MUXMODE_4,UART2_TXD_MUXMODE_5,?,?,?,?,?,?,?,?,UART2_TXD_MUXMODE_14,UART2_TXD_MUXMODE_15" line.long 0x18 "CTRL_CORE_PAD_UART2_CTSN," rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x18 25. "UART2_CTSN_WAKEUPEVENT," "UART2_CTSN_WAKEUPEVENT_0,UART2_CTSN_WAKEUPEVENT_1" newline bitfld.long 0x18 24. "UART2_CTSN_WAKEUPENABLE," "UART2_CTSN_WAKEUPENABLE_0,UART2_CTSN_WAKEUPENABLE_1" newline rbitfld.long 0x18 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 19. "UART2_CTSN_SLEWCONTROL," "UART2_CTSN_SLEWCONTROL_0,UART2_CTSN_SLEWCONTROL_1" newline bitfld.long 0x18 18. "UART2_CTSN_INPUTENABLE," "UART2_CTSN_INPUTENABLE_0,UART2_CTSN_INPUTENABLE_1" newline bitfld.long 0x18 17. "UART2_CTSN_PULLTYPESELECT," "UART2_CTSN_PULLTYPESELECT_0,UART2_CTSN_PULLTYPESELECT_1" newline bitfld.long 0x18 16. "UART2_CTSN_PULLUDENABLE," "UART2_CTSN_PULLUDENABLE_0,UART2_CTSN_PULLUDENABLE_1" newline hexmask.long.byte 0x18 9.--15. 1. "RESERVED," newline bitfld.long 0x18 8. "UART2_CTSN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART2_CTSN_MODESELECT_0,UART2_CTSN_MODESELECT_1" newline bitfld.long 0x18 4.--7. "UART2_CTSN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 0.--3. "UART2_CTSN_MUXMODE," "UART2_CTSN_MUXMODE_0,?,UART2_CTSN_MUXMODE_2,UART2_CTSN_MUXMODE_3,UART2_CTSN_MUXMODE_4,UART2_CTSN_MUXMODE_5,?,?,?,?,?,?,?,?,UART2_CTSN_MUXMODE_14,UART2_CTSN_MUXMODE_15" line.long 0x1C "CTRL_CORE_PAD_UART2_RTSN," rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C 25. "UART2_RTSN_WAKEUPEVENT," "UART2_RTSN_WAKEUPEVENT_0,UART2_RTSN_WAKEUPEVENT_1" newline bitfld.long 0x1C 24. "UART2_RTSN_WAKEUPENABLE," "UART2_RTSN_WAKEUPENABLE_0,UART2_RTSN_WAKEUPENABLE_1" newline rbitfld.long 0x1C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 19. "UART2_RTSN_SLEWCONTROL," "UART2_RTSN_SLEWCONTROL_0,UART2_RTSN_SLEWCONTROL_1" newline bitfld.long 0x1C 18. "UART2_RTSN_INPUTENABLE," "UART2_RTSN_INPUTENABLE_0,UART2_RTSN_INPUTENABLE_1" newline bitfld.long 0x1C 17. "UART2_RTSN_PULLTYPESELECT," "UART2_RTSN_PULLTYPESELECT_0,UART2_RTSN_PULLTYPESELECT_1" newline bitfld.long 0x1C 16. "UART2_RTSN_PULLUDENABLE," "UART2_RTSN_PULLUDENABLE_0,UART2_RTSN_PULLUDENABLE_1" newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline bitfld.long 0x1C 8. "UART2_RTSN_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "UART2_RTSN_MODESELECT_0,UART2_RTSN_MODESELECT_1" newline bitfld.long 0x1C 4.--7. "UART2_RTSN_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 0.--3. "UART2_RTSN_MUXMODE," "UART2_RTSN_MUXMODE_0,UART2_RTSN_MUXMODE_1,UART2_RTSN_MUXMODE_2,UART2_RTSN_MUXMODE_3,UART2_RTSN_MUXMODE_4,UART2_RTSN_MUXMODE_5,?,?,?,?,?,?,?,?,UART2_RTSN_MUXMODE_14,UART2_RTSN_MUXMODE_15" line.long 0x20 "CTRL_CORE_PAD_I2C1_SDA," rbitfld.long 0x20 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x20 25. "I2C1_SDA_WAKEUPEVENT," "I2C1_SDA_WAKEUPEVENT_0,I2C1_SDA_WAKEUPEVENT_1" newline bitfld.long 0x20 24. "I2C1_SDA_WAKEUPENABLE," "I2C1_SDA_WAKEUPENABLE_0,I2C1_SDA_WAKEUPENABLE_1" newline rbitfld.long 0x20 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 18. "I2C1_SDA_INPUTENABLE," "I2C1_SDA_INPUTENABLE_0,I2C1_SDA_INPUTENABLE_1" newline bitfld.long 0x20 17. "I2C1_SDA_PULLTYPESELECT," "I2C1_SDA_PULLTYPESELECT_0,I2C1_SDA_PULLTYPESELECT_1" newline bitfld.long 0x20 16. "I2C1_SDA_PULLUDENABLE," "I2C1_SDA_PULLUDENABLE_0,I2C1_SDA_PULLUDENABLE_1" newline hexmask.long.word 0x20 0.--15. 1. "RESERVED," line.long 0x24 "CTRL_CORE_PAD_I2C1_SCL," rbitfld.long 0x24 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x24 25. "I2C1_SCL_WAKEUPEVENT," "I2C1_SCL_WAKEUPEVENT_0,I2C1_SCL_WAKEUPEVENT_1" newline bitfld.long 0x24 24. "I2C1_SCL_WAKEUPENABLE," "I2C1_SCL_WAKEUPENABLE_0,I2C1_SCL_WAKEUPENABLE_1" newline rbitfld.long 0x24 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x24 18. "I2C1_SCL_INPUTENABLE," "I2C1_SCL_INPUTENABLE_0,I2C1_SCL_INPUTENABLE_1" newline bitfld.long 0x24 17. "I2C1_SCL_PULLTYPESELECT," "I2C1_SCL_PULLTYPESELECT_0,I2C1_SCL_PULLTYPESELECT_1" newline bitfld.long 0x24 16. "I2C1_SCL_PULLUDENABLE," "I2C1_SCL_PULLUDENABLE_0,I2C1_SCL_PULLUDENABLE_1" newline hexmask.long.word 0x24 0.--15. 1. "RESERVED," line.long 0x28 "CTRL_CORE_PAD_I2C2_SDA," rbitfld.long 0x28 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 25. "I2C2_SDA_WAKEUPEVENT," "I2C2_SDA_WAKEUPEVENT_0,I2C2_SDA_WAKEUPEVENT_1" newline bitfld.long 0x28 24. "I2C2_SDA_WAKEUPENABLE," "I2C2_SDA_WAKEUPENABLE_0,I2C2_SDA_WAKEUPENABLE_1" newline rbitfld.long 0x28 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x28 18. "I2C2_SDA_INPUTENABLE," "I2C2_SDA_INPUTENABLE_0,I2C2_SDA_INPUTENABLE_1" newline bitfld.long 0x28 17. "I2C2_SDA_PULLTYPESELECT," "I2C2_SDA_PULLTYPESELECT_0,I2C2_SDA_PULLTYPESELECT_1" newline bitfld.long 0x28 16. "I2C2_SDA_PULLUDENABLE," "I2C2_SDA_PULLUDENABLE_0,I2C2_SDA_PULLUDENABLE_1" newline hexmask.long.word 0x28 4.--15. 1. "RESERVED," newline bitfld.long 0x28 0.--3. "I2C2_SDA_MUXMODE," "I2C2_SDA_MUXMODE_0,I2C2_SDA_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,?,I2C2_SDA_MUXMODE_15" line.long 0x2C "CTRL_CORE_PAD_I2C2_SCL," rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 25. "I2C2_SCL_WAKEUPEVENT," "I2C2_SCL_WAKEUPEVENT_0,I2C2_SCL_WAKEUPEVENT_1" newline bitfld.long 0x2C 24. "I2C2_SCL_WAKEUPENABLE," "I2C2_SCL_WAKEUPENABLE_0,I2C2_SCL_WAKEUPENABLE_1" newline rbitfld.long 0x2C 19.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x2C 18. "I2C2_SCL_INPUTENABLE," "I2C2_SCL_INPUTENABLE_0,I2C2_SCL_INPUTENABLE_1" newline bitfld.long 0x2C 17. "I2C2_SCL_PULLTYPESELECT," "I2C2_SCL_PULLTYPESELECT_0,I2C2_SCL_PULLTYPESELECT_1" newline bitfld.long 0x2C 16. "I2C2_SCL_PULLUDENABLE," "I2C2_SCL_PULLUDENABLE_0,I2C2_SCL_PULLUDENABLE_1" newline hexmask.long.word 0x2C 4.--15. 1. "RESERVED," newline bitfld.long 0x2C 0.--3. "I2C2_SCL_MUXMODE," "I2C2_SCL_MUXMODE_0,I2C2_SCL_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,?,I2C2_SCL_MUXMODE_15" group.long 0x1818++0x37 line.long 0x00 "CTRL_CORE_PAD_WAKEUP0," rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 25. "WAKEUP0_WAKEUPEVENT," "WAKEUP0_WAKEUPEVENT_0,WAKEUP0_WAKEUPEVENT_1" newline bitfld.long 0x00 24. "WAKEUP0_WAKEUPENABLE," "WAKEUP0_WAKEUPENABLE_0,WAKEUP0_WAKEUPENABLE_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 17. "WAKEUP0_PULLTYPESELECT," "WAKEUP0_PULLTYPESELECT_0,WAKEUP0_PULLTYPESELECT_1" newline bitfld.long 0x00 16. "WAKEUP0_PULLUDENABLE," "WAKEUP0_PULLUDENABLE_0,WAKEUP0_PULLUDENABLE_1" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "WAKEUP0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "WAKEUP0_MODESELECT_0,WAKEUP0_MODESELECT_1" newline bitfld.long 0x00 4.--7. "WAKEUP0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "WAKEUP0_MUXMODE," "WAKEUP0_MUXMODE_0,WAKEUP0_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,WAKEUP0_MUXMODE_14,WAKEUP0_MUXMODE_15" line.long 0x04 "CTRL_CORE_PAD_WAKEUP1," rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x04 25. "WAKEUP1_WAKEUPEVENT," "WAKEUP1_WAKEUPEVENT_0,WAKEUP1_WAKEUPEVENT_1" newline bitfld.long 0x04 24. "WAKEUP1_WAKEUPENABLE," "WAKEUP1_WAKEUPENABLE_0,WAKEUP1_WAKEUPENABLE_1" newline rbitfld.long 0x04 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 17. "WAKEUP1_PULLTYPESELECT," "WAKEUP1_PULLTYPESELECT_0,WAKEUP1_PULLTYPESELECT_1" newline bitfld.long 0x04 16. "WAKEUP1_PULLUDENABLE," "WAKEUP1_PULLUDENABLE_0,WAKEUP1_PULLUDENABLE_1" newline hexmask.long.byte 0x04 9.--15. 1. "RESERVED," newline bitfld.long 0x04 8. "WAKEUP1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "WAKEUP1_MODESELECT_0,WAKEUP1_MODESELECT_1" newline bitfld.long 0x04 4.--7. "WAKEUP1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. "WAKEUP1_MUXMODE," "WAKEUP1_MUXMODE_0,WAKEUP1_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,WAKEUP1_MUXMODE_14,WAKEUP1_MUXMODE_15" line.long 0x08 "CTRL_CORE_PAD_WAKEUP2," rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x08 25. "WAKEUP2_WAKEUPEVENT," "WAKEUP2_WAKEUPEVENT_0,WAKEUP2_WAKEUPEVENT_1" newline bitfld.long 0x08 24. "WAKEUP2_WAKEUPENABLE," "WAKEUP2_WAKEUPENABLE_0,WAKEUP2_WAKEUPENABLE_1" newline rbitfld.long 0x08 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 17. "WAKEUP2_PULLTYPESELECT," "WAKEUP2_PULLTYPESELECT_0,WAKEUP2_PULLTYPESELECT_1" newline bitfld.long 0x08 16. "WAKEUP2_PULLUDENABLE," "WAKEUP2_PULLUDENABLE_0,WAKEUP2_PULLUDENABLE_1" newline hexmask.long.byte 0x08 9.--15. 1. "RESERVED," newline bitfld.long 0x08 8. "WAKEUP2_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "WAKEUP2_MODESELECT_0,WAKEUP2_MODESELECT_1" newline bitfld.long 0x08 4.--7. "WAKEUP2_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 0.--3. "WAKEUP2_MUXMODE," "WAKEUP2_MUXMODE_0,WAKEUP2_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,WAKEUP2_MUXMODE_14,WAKEUP2_MUXMODE_15" line.long 0x0C "CTRL_CORE_PAD_WAKEUP3," rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x0C 25. "WAKEUP3_WAKEUPEVENT," "WAKEUP3_WAKEUPEVENT_0,WAKEUP3_WAKEUPEVENT_1" newline bitfld.long 0x0C 24. "WAKEUP3_WAKEUPENABLE," "WAKEUP3_WAKEUPENABLE_0,WAKEUP3_WAKEUPENABLE_1" newline rbitfld.long 0x0C 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 17. "WAKEUP3_PULLTYPESELECT," "WAKEUP3_PULLTYPESELECT_0,WAKEUP3_PULLTYPESELECT_1" newline bitfld.long 0x0C 16. "WAKEUP3_PULLUDENABLE," "WAKEUP3_PULLUDENABLE_0,WAKEUP3_PULLUDENABLE_1" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline bitfld.long 0x0C 8. "WAKEUP3_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "WAKEUP3_MODESELECT_0,WAKEUP3_MODESELECT_1" newline bitfld.long 0x0C 4.--7. "WAKEUP3_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "WAKEUP3_MUXMODE," "WAKEUP3_MUXMODE_0,WAKEUP3_MUXMODE_1,?,?,?,?,?,?,?,?,?,?,?,?,WAKEUP3_MUXMODE_14,WAKEUP3_MUXMODE_15" line.long 0x10 "CTRL_CORE_PAD_ON_OFF," hexmask.long.word 0x10 18.--31. 1. "RESERVED," newline bitfld.long 0x10 17. "ON_OFF_PULLTYPESELECT," "ON_OFF_PULLTYPESELECT_0,ON_OFF_PULLTYPESELECT_1" newline bitfld.long 0x10 16. "ON_OFF_PULLUDENABLE," "ON_OFF_PULLUDENABLE_0,ON_OFF_PULLUDENABLE_1" newline hexmask.long.word 0x10 0.--15. 1. "RESERVED," line.long 0x14 "CTRL_CORE_PAD_RTC_PORZ," hexmask.long.word 0x14 18.--31. 1. "RESERVED," newline bitfld.long 0x14 17. "RTC_PORZ_PULLTYPESELECT," "RTC_PORZ_PULLTYPESELECT_0,RTC_PORZ_PULLTYPESELECT_1" newline bitfld.long 0x14 16. "RTC_PORZ_PULLUDENABLE," "RTC_PORZ_PULLUDENABLE_0,RTC_PORZ_PULLUDENABLE_1" newline hexmask.long.word 0x14 0.--15. 1. "RESERVED," line.long 0x18 "CTRL_CORE_PAD_TMS," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline bitfld.long 0x18 19. "TMS_SLEWCONTROL," "TMS_SLEWCONTROL_0,TMS_SLEWCONTROL_1" newline bitfld.long 0x18 18. "TMS_INPUTENABLE," "TMS_INPUTENABLE_0,TMS_INPUTENABLE_1" newline bitfld.long 0x18 17. "TMS_PULLTYPESELECT," "TMS_PULLTYPESELECT_0,TMS_PULLTYPESELECT_1" newline bitfld.long 0x18 16. "TMS_PULLUDENABLE," "TMS_PULLUDENABLE_0,TMS_PULLUDENABLE_1" newline hexmask.long.word 0x18 0.--15. 1. "RESERVED," line.long 0x1C "CTRL_CORE_PAD_TDI," rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x1C 25. "TDI_WAKEUPEVENT," "TDI_WAKEUPEVENT_0,TDI_WAKEUPEVENT_1" newline bitfld.long 0x1C 24. "TDI_WAKEUPENABLE," "TDI_WAKEUPENABLE_0,TDI_WAKEUPENABLE_1" newline rbitfld.long 0x1C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 19. "TDI_SLEWCONTROL," "TDI_SLEWCONTROL_0,TDI_SLEWCONTROL_1" newline bitfld.long 0x1C 18. "TDI_INPUTENABLE," "TDI_INPUTENABLE_0,TDI_INPUTENABLE_1" newline bitfld.long 0x1C 17. "TDI_PULLTYPESELECT," "TDI_PULLTYPESELECT_0,TDI_PULLTYPESELECT_1" newline bitfld.long 0x1C 16. "TDI_PULLUDENABLE," "TDI_PULLUDENABLE_0,TDI_PULLUDENABLE_1" newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline bitfld.long 0x1C 8. "TDI_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "TDI_MODESELECT_0,TDI_MODESELECT_1" newline bitfld.long 0x1C 4.--7. "TDI_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 0.--3. "TDI_MUXMODE," "TDI_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,TDI_MUXMODE_14,?" line.long 0x20 "CTRL_CORE_PAD_TDO," rbitfld.long 0x20 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x20 25. "TDO_WAKEUPEVENT," "TDO_WAKEUPEVENT_0,TDO_WAKEUPEVENT_1" newline bitfld.long 0x20 24. "TDO_WAKEUPENABLE," "TDO_WAKEUPENABLE_0,TDO_WAKEUPENABLE_1" newline rbitfld.long 0x20 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 19. "TDO_SLEWCONTROL," "TDO_SLEWCONTROL_0,TDO_SLEWCONTROL_1" newline bitfld.long 0x20 18. "TDO_INPUTENABLE," "TDO_INPUTENABLE_0,TDO_INPUTENABLE_1" newline bitfld.long 0x20 17. "TDO_PULLTYPESELECT," "TDO_PULLTYPESELECT_0,TDO_PULLTYPESELECT_1" newline bitfld.long 0x20 16. "TDO_PULLUDENABLE," "TDO_PULLUDENABLE_0,TDO_PULLUDENABLE_1" newline hexmask.long.byte 0x20 9.--15. 1. "RESERVED," newline bitfld.long 0x20 8. "TDO_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "TDO_MODESELECT_0,TDO_MODESELECT_1" newline bitfld.long 0x20 4.--7. "TDO_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 0.--3. "TDO_MUXMODE," "TDO_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,TDO_MUXMODE_14,?" line.long 0x24 "CTRL_CORE_PAD_TCLK," hexmask.long.word 0x24 19.--31. 1. "RESERVED," newline bitfld.long 0x24 18. "TCLK_INPUTENABLE," "TCLK_INPUTENABLE_0,TCLK_INPUTENABLE_1" newline bitfld.long 0x24 17. "TCLK_PULLTYPESELECT," "TCLK_PULLTYPESELECT_0,TCLK_PULLTYPESELECT_1" newline bitfld.long 0x24 16. "TCLK_PULLUDENABLE," "TCLK_PULLUDENABLE_0,TCLK_PULLUDENABLE_1" newline hexmask.long.word 0x24 0.--15. 1. "RESERVED," line.long 0x28 "CTRL_CORE_PAD_TRSTN," hexmask.long.word 0x28 20.--31. 1. "RESERVED," newline bitfld.long 0x28 19. "TRSTN_SLEWCONTROL," "TRSTN_SLEWCONTROL_0,TRSTN_SLEWCONTROL_1" newline bitfld.long 0x28 18. "TRSTN_INPUTENABLE," "TRSTN_INPUTENABLE_0,TRSTN_INPUTENABLE_1" newline bitfld.long 0x28 17. "TRSTN_PULLTYPESELECT," "TRSTN_PULLTYPESELECT_0,TRSTN_PULLTYPESELECT_1" newline bitfld.long 0x28 16. "TRSTN_PULLUDENABLE," "TRSTN_PULLUDENABLE_0,TRSTN_PULLUDENABLE_1" newline hexmask.long.word 0x28 0.--15. 1. "RESERVED," line.long 0x2C "CTRL_CORE_PAD_RTCK," rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 25. "RTCK_WAKEUPEVENT," "RTCK_WAKEUPEVENT_0,RTCK_WAKEUPEVENT_1" newline bitfld.long 0x2C 24. "RTCK_WAKEUPENABLE," "RTCK_WAKEUPENABLE_0,RTCK_WAKEUPENABLE_1" newline rbitfld.long 0x2C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 19. "RTCK_SLEWCONTROL," "RTCK_SLEWCONTROL_0,RTCK_SLEWCONTROL_1" newline bitfld.long 0x2C 18. "RTCK_INPUTENABLE," "RTCK_INPUTENABLE_0,RTCK_INPUTENABLE_1" newline bitfld.long 0x2C 17. "RTCK_PULLTYPESELECT," "RTCK_PULLTYPESELECT_0,RTCK_PULLTYPESELECT_1" newline bitfld.long 0x2C 16. "RTCK_PULLUDENABLE," "RTCK_PULLUDENABLE_0,RTCK_PULLUDENABLE_1" newline hexmask.long.byte 0x2C 9.--15. 1. "RESERVED," newline bitfld.long 0x2C 8. "RTCK_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "RTCK_MODESELECT_0,RTCK_MODESELECT_1" newline bitfld.long 0x2C 4.--7. "RTCK_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 0.--3. "RTCK_MUXMODE," "RTCK_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,RTCK_MUXMODE_14,?" line.long 0x30 "CTRL_CORE_PAD_EMU0," rbitfld.long 0x30 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x30 25. "EMU0_WAKEUPEVENT," "EMU0_WAKEUPEVENT_0,EMU0_WAKEUPEVENT_1" newline bitfld.long 0x30 24. "EMU0_WAKEUPENABLE," "EMU0_WAKEUPENABLE_0,EMU0_WAKEUPENABLE_1" newline rbitfld.long 0x30 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 19. "EMU0_SLEWCONTROL," "EMU0_SLEWCONTROL_0,EMU0_SLEWCONTROL_1" newline bitfld.long 0x30 18. "EMU0_INPUTENABLE," "EMU0_INPUTENABLE_0,EMU0_INPUTENABLE_1" newline bitfld.long 0x30 17. "EMU0_PULLTYPESELECT," "EMU0_PULLTYPESELECT_0,EMU0_PULLTYPESELECT_1" newline bitfld.long 0x30 16. "EMU0_PULLUDENABLE," "EMU0_PULLUDENABLE_0,EMU0_PULLUDENABLE_1" newline hexmask.long.byte 0x30 9.--15. 1. "RESERVED," newline bitfld.long 0x30 8. "EMU0_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "EMU0_MODESELECT_0,EMU0_MODESELECT_1" newline bitfld.long 0x30 4.--7. "EMU0_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 0.--3. "EMU0_MUXMODE," "EMU0_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,EMU0_MUXMODE_14,?" line.long 0x34 "CTRL_CORE_PAD_EMU1," rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x34 25. "EMU1_WAKEUPEVENT," "EMU1_WAKEUPEVENT_0,EMU1_WAKEUPEVENT_1" newline bitfld.long 0x34 24. "EMU1_WAKEUPENABLE," "EMU1_WAKEUPENABLE_0,EMU1_WAKEUPENABLE_1" newline rbitfld.long 0x34 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34 19. "EMU1_SLEWCONTROL," "EMU1_SLEWCONTROL_0,EMU1_SLEWCONTROL_1" newline bitfld.long 0x34 18. "EMU1_INPUTENABLE," "EMU1_INPUTENABLE_0,EMU1_INPUTENABLE_1" newline bitfld.long 0x34 17. "EMU1_PULLTYPESELECT," "EMU1_PULLTYPESELECT_0,EMU1_PULLTYPESELECT_1" newline bitfld.long 0x34 16. "EMU1_PULLUDENABLE," "EMU1_PULLUDENABLE_0,EMU1_PULLUDENABLE_1" newline hexmask.long.byte 0x34 9.--15. 1. "RESERVED," newline bitfld.long 0x34 8. "EMU1_MODESELECT,Selects between the Default IO Timing Mode and a Virtual or Manual IO Timing Mode" "EMU1_MODESELECT_0,EMU1_MODESELECT_1" newline bitfld.long 0x34 4.--7. "EMU1_DELAYMODE,This bit field selects the Virtual Timing Mode used when the MODESELECT bit is set to 0b1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34 0.--3. "EMU1_MUXMODE," "EMU1_MUXMODE_0,?,?,?,?,?,?,?,?,?,?,?,?,?,EMU1_MUXMODE_14,?" group.long 0x185C++0x2F line.long 0x00 "CTRL_CORE_PAD_RESETN," hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 17. "RESETN_PULLTYPESELECT," "RESETN_PULLTYPESELECT_0,RESETN_PULLTYPESELECT_1" newline bitfld.long 0x00 16. "RESETN_PULLUDENABLE," "RESETN_PULLUDENABLE_0,RESETN_PULLUDENABLE_1" newline hexmask.long.word 0x00 0.--15. 1. "RESERVED," line.long 0x04 "CTRL_CORE_PAD_NMIN_DSP," rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x04 25. "NMIN_WAKEUPEVENT," "NMIN_WAKEUPEVENT_0,NMIN_WAKEUPEVENT_1" newline bitfld.long 0x04 24. "NMIN_WAKEUPENABLE," "NMIN_WAKEUPENABLE_0,NMIN_WAKEUPENABLE_1" newline rbitfld.long 0x04 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 17. "NMIN_PULLTYPESELECT," "NMIN_PULLTYPESELECT_0,NMIN_PULLTYPESELECT_1" newline bitfld.long 0x04 16. "NMIN_PULLUDENABLE," "NMIN_PULLUDENABLE_0,NMIN_PULLUDENABLE_1" newline hexmask.long.word 0x04 0.--15. 1. "RESERVED," line.long 0x08 "CTRL_CORE_PAD_RSTOUTN," hexmask.long.word 0x08 18.--31. 1. "RESERVED," newline bitfld.long 0x08 17. "RSTOUTN_PULLTYPESELECT," "RSTOUTN_PULLTYPESELECT_0,RSTOUTN_PULLTYPESELECT_1" newline bitfld.long 0x08 16. "RSTOUTN_PULLUDENABLE," "RSTOUTN_PULLUDENABLE_0,RSTOUTN_PULLUDENABLE_1" newline hexmask.long.word 0x08 0.--15. 1. "RESERVED," line.long 0x0C "CTRL_CORE_PADCONF_WAKEUPEVENT_0," bitfld.long 0x0C 31. "GPMC_A15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 30. "GPMC_A14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 29. "GPMC_A13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 28. "GPMC_A12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 27. "GPMC_A11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 26. "GPMC_A10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 25. "GPMC_A9_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 24. "GPMC_A8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 23. "GPMC_A7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 22. "GPMC_A6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 21. "GPMC_A5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 20. "GPMC_A4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 19. "GPMC_A3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 18. "GPMC_A2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 17. "GPMC_A1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 16. "GPMC_A0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 15. "GPMC_AD15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 14. "GPMC_AD14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 13. "GPMC_AD13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 12. "GPMC_AD12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 11. "GPMC_AD11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 10. "GPMC_AD10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 9. "GPMC_AD9_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 8. "GPMC_AD8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 7. "GPMC_AD7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 6. "GPMC_AD6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 5. "GPMC_AD5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 4. "GPMC_AD4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 3. "GPMC_AD3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 2. "GPMC_AD2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 1. "GPMC_AD1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x0C 0. "GPMC_AD0_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x10 "CTRL_CORE_PADCONF_WAKEUPEVENT_1," bitfld.long 0x10 31. "VIN1A_D2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 30. "VIN1A_D1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 29. "VIN1A_D0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 28. "VIN1A_VSYNC0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 27. "VIN1A_HSYNC0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 26. "VIN1A_FLD0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 25. "VIN1A_DE0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 24. "VIN1B_CLK1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 23. "VIN1A_CLK0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 22. "GPMC_WAIT0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 21. "GPMC_BEN1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 20. "GPMC_BEN0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 19. "GPMC_WEN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 18. "GPMC_OEN_REN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 17. "GPMC_ADVN_ALE_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 16. "GPMC_CLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 15. "GPMC_CS3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 14. "GPMC_CS2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 13. "GPMC_CS0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 12. "GPMC_CS1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 11. "GPMC_A27_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 10. "GPMC_A26_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 9. "GPMC_A25_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 8. "GPMC_A24_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 7. "GPMC_A23_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 6. "GPMC_A22_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 5. "GPMC_A21_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 4. "GPMC_A20_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 3. "GPMC_A19_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 2. "GPMC_A18_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 1. "GPMC_A17_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x10 0. "GPMC_A16_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x14 "CTRL_CORE_PADCONF_WAKEUPEVENT_2," bitfld.long 0x14 31. "VIN2A_D5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 30. "VIN2A_D4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 29. "VIN2A_D3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 28. "VIN2A_D2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 27. "VIN2A_D1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 26. "VIN2A_D0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 25. "VIN2A_VSYNC0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 24. "VIN2A_HSYNC0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 23. "VIN2A_FLD0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 22. "VIN2A_DE0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 21. "VIN2A_CLK0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 20. "VIN1A_D23_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 19. "VIN1A_D22_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 18. "VIN1A_D21_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 17. "VIN1A_D20_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 16. "VIN1A_D19_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 15. "VIN1A_D18_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 14. "VIN1A_D17_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 13. "VIN1A_D16_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 12. "VIN1A_D15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 11. "VIN1A_D14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 10. "VIN1A_D13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 9. "VIN1A_D12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 8. "VIN1A_D11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 7. "VIN1A_D10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 6. "VIN1A_D9_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 5. "VIN1A_D8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 4. "VIN1A_D7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 3. "VIN1A_D6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 2. "VIN1A_D5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 1. "VIN1A_D4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x14 0. "VIN1A_D3_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x18 "CTRL_CORE_PADCONF_WAKEUPEVENT_3," bitfld.long 0x18 31. "VOUT1_D8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 30. "VOUT1_D7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 29. "VOUT1_D6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 28. "VOUT1_D5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 27. "VOUT1_D4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 26. "VOUT1_D3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 25. "VOUT1_D2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 24. "VOUT1_D1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 23. "VOUT1_D0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 22. "VOUT1_VSYNC_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 21. "VOUT1_HSYNC_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 20. "VOUT1_FLD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 19. "VOUT1_DE_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 18. "VOUT1_CLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 17. "VIN2A_D23_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 16. "VIN2A_D22_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 15. "VIN2A_D21_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 14. "VIN2A_D20_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 13. "VIN2A_D19_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 12. "VIN2A_D18_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 11. "VIN2A_D17_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 10. "VIN2A_D16_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 9. "VIN2A_D15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 8. "VIN2A_D14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 7. "VIN2A_D13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 6. "VIN2A_D12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 5. "VIN2A_D11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 4. "VIN2A_D10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 3. "VIN2A_D9_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 2. "VIN2A_D8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 1. "VIN2A_D7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x18 0. "VIN2A_D6_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x1C "CTRL_CORE_PADCONF_WAKEUPEVENT_4," bitfld.long 0x1C 31. "RGMII0_RXD0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 30. "RGMII0_RXD1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 29. "RGMII0_RXD2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 28. "RGMII0_RXD3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 27. "RGMII0_RXCTL_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 26. "RGMII0_RXC_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 25. "RGMII0_TXD0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 24. "RGMII0_TXD1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 23. "RGMII0_TXD2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 22. "RGMII0_TXD3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 21. "RGMII0_TXCTL_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 20. "RGMII0_TXC_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 19. "UART3_TXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 18. "UART3_RXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 17. "RMII_MHZ_50_CLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 16. "MDIO_D_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 15. "MDIO_MCLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 14. "VOUT1_D23_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 13. "VOUT1_D22_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 12. "VOUT1_D21_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 11. "VOUT1_D20_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 10. "VOUT1_D19_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 9. "VOUT1_D18_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 8. "VOUT1_D17_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 7. "VOUT1_D16_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 6. "VOUT1_D15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 5. "VOUT1_D14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 4. "VOUT1_D13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 3. "VOUT1_D12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 2. "VOUT1_D11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 1. "VOUT1_D10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x1C 0. "VOUT1_D9_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x20 "CTRL_CORE_PADCONF_WAKEUPEVENT_5," bitfld.long 0x20 31. "MCASP2_ACLKR_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 30. "MCASP2_FSX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 29. "MCASP2_ACLKX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 28. "MCASP1_AXR15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 27. "MCASP1_AXR14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 26. "MCASP1_AXR13_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 25. "MCASP1_AXR12_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 24. "MCASP1_AXR11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 23. "MCASP1_AXR10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 22. "MCASP1_AXR9_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 21. "MCASP1_AXR8_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 20. "MCASP1_AXR7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 19. "MCASP1_AXR6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 18. "MCASP1_AXR5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 17. "MCASP1_AXR4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 16. "MCASP1_AXR3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 15. "MCASP1_AXR2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 14. "MCASP1_AXR1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 13. "MCASP1_AXR0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 12. "MCASP1_FSR_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 11. "MCASP1_ACLKR_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 10. "MCASP1_FSX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 9. "MCASP1_ACLKX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 8. "XREF_CLK3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 7. "XREF_CLK2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 6. "XREF_CLK1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 5. "XREF_CLK0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 4. "GPIO6_16_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 3. "GPIO6_15_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 2. "GPIO6_14_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 1. "USB2_DRVVBUS_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x20 0. "USB1_DRVVBUS_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x24 "CTRL_CORE_PADCONF_WAKEUPEVENT_6," bitfld.long 0x24 31. "MMC3_CLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 30. "GPIO6_11_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 29. "GPIO6_10_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 28. "MMC1_SDWP_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 27. "MMC1_SDCD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 26. "MMC1_DAT3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 25. "MMC1_DAT2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 24. "MMC1_DAT1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 23. "MMC1_DAT0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 22. "MMC1_CMD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 21. "MMC1_CLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 20. "MCASP5_AXR1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 19. "MCASP5_AXR0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 18. "MCASP5_FSX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 17. "MCASP5_ACLKX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 16. "MCASP4_AXR1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 15. "MCASP4_AXR0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 14. "MCASP4_FSX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 13. "MCASP4_ACLKX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 12. "MCASP3_AXR1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 11. "MCASP3_AXR0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 10. "MCASP3_FSX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 9. "MCASP3_ACLKX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 8. "MCASP2_AXR7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 7. "MCASP2_AXR6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 6. "MCASP2_AXR5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 5. "MCASP2_AXR4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 4. "MCASP2_AXR3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 3. "MCASP2_AXR2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 2. "MCASP2_AXR1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 1. "MCASP2_AXR0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x24 0. "MCASP2_FSR_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x28 "CTRL_CORE_PADCONF_WAKEUPEVENT_7," bitfld.long 0x28 31. "UART2_RTSN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 30. "UART2_CTSN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 29. "UART2_TXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 28. "UART2_RXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 27. "UART1_RTSN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 26. "UART1_CTSN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 25. "UART1_TXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 24. "UART1_RXD_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 23. "DCAN2_RX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 22. "DCAN2_TX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 21. "DCAN1_RX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 20. "DCAN1_TX_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 19. "SPI2_CS0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 18. "SPI2_D0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 17. "SPI2_D1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 16. "SPI2_SCLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 15. "SPI1_CS3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 14. "SPI1_CS2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 13. "SPI1_CS1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 12. "SPI1_CS0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 11. "SPI1_D0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 10. "SPI1_D1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 9. "SPI1_SCLK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 8. "MMC3_DAT7_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 7. "MMC3_DAT6_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 6. "MMC3_DAT5_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 5. "MMC3_DAT4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 4. "MMC3_DAT3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 3. "MMC3_DAT2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 2. "MMC3_DAT1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 1. "MMC3_DAT0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x28 0. "MMC3_CMD_DUPLICATEWAKEUPEVENT," "0,1" line.long 0x2C "CTRL_CORE_PADCONF_WAKEUPEVENT_8," hexmask.long.word 0x2C 19.--31. 1. "RESERVED," newline bitfld.long 0x2C 18. "NMIN_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 17. "EMU4_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 16. "EMU3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 15. "EMU2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 14. "EMU1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 13. "EMU0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 12. "RTCK_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 11. "TDO_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 10. "TDI_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 9. "WAKEUP3_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 8. "WAKEUP2_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 7. "WAKEUP1_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 6. "WAKEUP0_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 5. "I2C3_SCL_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 4. "I2C3_SDA_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 3. "I2C2_SCL_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 2. "I2C2_SDA_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 1. "I2C1_SCL_DUPLICATEWAKEUPEVENT," "0,1" newline bitfld.long 0x2C 0. "I2C1_SDA_DUPLICATEWAKEUPEVENT," "0,1" rgroup.long 0x1B08++0x0B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VMIN_GPU_2,This register contains the AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_NOM" bitfld.long 0x00 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x00 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_NOM which has to be written to theCTRL_WKUP_LDOVBB_GPU_VOLTAGE_CTRL [4:0] LDOVBBGPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x00 0.--11. 1. "STD_FUSE_OPP_VMIN_GPU_2,AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_NOM" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VMIN_GPU_3,This register contains the AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_OD" bitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x04 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_OD which has to be written to theCTRL_WKUP_LDOVBB_GPU_VOLTAGE_CTRL [4:0] LDOVBBGPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x04 0.--11. 1. "STD_FUSE_OPP_VMIN_GPU_3,AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_OD" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VMIN_GPU_4,This register contains the AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_HIGH" bitfld.long 0x08 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x08 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_HIGH which has to be written to theCTRL_WKUP_LDOVBB_GPU_VOLTAGE_CTRL [4:0] LDOVBBGPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x08 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--11. 1. "STD_FUSE_OPP_VMIN_GPU_4,AVS Class 0 voltage value for the vdd_gpu voltage rail when running at OPP_HIGH" rgroup.long 0x1B20++0x0B line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VMIN_MPU_2,This register contains the AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_NOM" bitfld.long 0x00 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x00 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_NOM which has to be written to theCTRL_WKUP_LDOVBB_MPU_VOLTAGE_CTRL [4:0] LDOVBBMPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x00 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x00 0.--11. 1. "STD_FUSE_OPP_VMIN_MPU_2,AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_NOM" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VMIN_MPU_3,This register contains the AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_OD" bitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x04 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_OD which has to be written to theCTRL_WKUP_LDOVBB_MPU_VOLTAGE_CTRL [4:0] LDOVBBMPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x04 0.--11. 1. "STD_FUSE_OPP_VMIN_MPU_3,AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_OD" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VMIN_MPU_4,This register contains the AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_HIGH" bitfld.long 0x08 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 25. "ABBEN," "ABBEN_0,ABBEN_1" newline bitfld.long 0x08 20.--24. "VSETABB,This bit field shows the ABB LDO target value for OPP_HIGH which has to be written to theCTRL_WKUP_LDOVBB_MPU_VOLTAGE_CTRL [4:0] LDOVBBMPU_FBB_VSET_OUT bit field if ABB is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x08 12.--19. 1. "RESERVED,Reserved" newline hexmask.long.word 0x08 0.--11. 1. "STD_FUSE_OPP_VMIN_MPU_4,AVS Class 0 voltage value for the vdd_mpu voltage rail when running at OPP_HIGH" rgroup.long 0x1B38++0x47 line.long 0x00 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_LVT_0,Standard Fuse OPP VDD_GPU [31:0]" line.long 0x04 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_LVT_1,Standard Fuse OPP VDD_GPU [63:32]" line.long 0x08 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_LVT_2,Standard Fuse OPP VDD_GPU [95:64]" line.long 0x0C "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_LVT_3,Standard Fuse OPP VDD_GPU [127:96]" line.long 0x10 "CTRL_CORE_STD_FUSE_OPP_VDD_DSPEVE_LVT_4,Standard Fuse OPP VDD_GPU [159:128]" line.long 0x14 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_LVT_0,Standard Fuse OPP VDD_IVA [31:0]" line.long 0x18 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_LVT_1,Standard Fuse OPP VDD_IVA [63:32]" line.long 0x1C "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_LVT_2,Standard Fuse OPP VDD_IVA [95:64]" line.long 0x20 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_LVT_3,Standard Fuse OPP VDD_IVA [127:96]" line.long 0x24 "CTRL_CORE_STD_FUSE_OPP_VDD_IVA_LVT_4,Standard Fuse OPP VDD_IVA [159:128]" line.long 0x28 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_LVT_0,Standard Fuse OPP VDD_CORE [31:0]" line.long 0x2C "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_LVT_1,Standard Fuse OPP VDD_CORE [63:32]" line.long 0x30 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_LVT_2,Standard Fuse OPP VDD_CORE [95:64]" line.long 0x34 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_LVT_3,Standard Fuse OPP VDD_CORE [127:96]" line.long 0x38 "CTRL_CORE_STD_FUSE_OPP_VDD_CORE_LVT_4,Standard Fuse OPP VDD_CORE [159:128]" line.long 0x3C "CTRL_CORE_LDOSRAM_CORE_4_VOLTAGE_CTRL,CORE 4th SRAM LDO Control register" rbitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x3C 26. "LDOSRAMCORE_4_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMCORE_4_RETMODE_MUX_CTRL_0,LDOSRAMCORE_4_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x3C 21.--25. "LDOSRAMCORE_4_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x3C 16.--20. "LDOSRAMCORE_4_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x3C 10. "LDOSRAMCORE_4_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMCORE_4_ACTMODE_MUX_CTRL_0,LDOSRAMCORE_4_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x3C 5.--9. "LDOSRAMCORE_4_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x3C 0.--4. "LDOSRAMCORE_4_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x40 "CTRL_CORE_LDOSRAM_CORE_5_VOLTAGE_CTRL,CORE 5th SRAM LDO Control register" rbitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x40 26. "LDOSRAMCORE_5_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMCORE_5_RETMODE_MUX_CTRL_0,LDOSRAMCORE_5_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x40 21.--25. "LDOSRAMCORE_5_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x40 16.--20. "LDOSRAMCORE_5_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x40 10. "LDOSRAMCORE_5_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMCORE_5_ACTMODE_MUX_CTRL_0,LDOSRAMCORE_5_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x40 5.--9. "LDOSRAMCORE_5_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x40 0.--4. "LDOSRAMCORE_5_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x44 "CTRL_CORE_LDOSRAM_DSPEVE_2_VOLTAGE_CTRL,DSPEVE 2nd SRAM LDO Control register" rbitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x44 26. "LDOSRAMDSPEVE_2_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMDSPEVE_2_RETMODE_MUX_CTRL_0,LDOSRAMDSPEVE_2_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x44 21.--25. "LDOSRAMDSPEVE_2_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x44 16.--20. "LDOSRAMDSPEVE_2_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x44 10. "LDOSRAMDSPEVE_2_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMDSPEVE_2_ACTMODE_MUX_CTRL_0,LDOSRAMDSPEVE_2_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x44 5.--9. "LDOSRAMDSPEVE_2_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x44 0.--4. "LDOSRAMDSPEVE_2_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x1C14++0x07 line.long 0x00 "CTRL_CORE_SMA_SW_6,OCP Spare Register" rbitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 27.--28. "PLLEN_CONTROL,PLLEN control setting" "CLKOUT is disabled,CLKOUT is enabled,?..." newline hexmask.long.word 0x00 18.--26. 1. "RESERVED," newline bitfld.long 0x00 16.--17. "PCIE_TX_RX_CONTROL,PCIe RX and TX control of ACSPCIe" "ACSPCIe Power Down Mode,ACSPCIe TX Mode,ACSPCIe RX Mode,Reserved" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "RMII_CLK_SETTING,RMII CLK setting" "Internal clock from DPLL_GMAC,External clock from RMII_MHZ_50_CLK pin" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED," newline bitfld.long 0x00 0. "MUXSEL_32K_CLKIN,Setting for mux to select 32KHz clock input to PRCM" "0,1" line.long 0x04 "CTRL_CORE_SMA_SW_7,OCP Spare Register" hexmask.long.word 0x04 18.--31. 1. "RESERVED," newline bitfld.long 0x04 17. "MMU2_ABORT_ENABLE,MMU2 abort enable" "0,1" newline bitfld.long 0x04 16. "MMU1_ABORT_ENABLE,MMU1 abort enable" "0,1" newline rbitfld.long 0x04 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 13. "PCIE_SS1_MMU_ROUTE_ENABLE,PCIe_SS1 MMU route enable" "0,1" newline bitfld.long 0x04 12. "PCIE_SS2_MMU_ROUTE_ENABLE,PCIe_SS2 MMU route enable" "0,1" newline bitfld.long 0x04 11. "EDMA_TC0_RD_MMU_ROUTE_ENABLE,EDMA TC0 RD traffic MMU route enable" "0,1" newline bitfld.long 0x04 10. "EDMA_TC1_RD_MMU_ROUTE_ENABLE,EDMA TC1 RD traffic MMU route enable" "0,1" newline bitfld.long 0x04 9. "EDMA_TC0_WR_MMU_ROUTE_ENABLE,EDMA TC0 WR traffic MMU route enable" "0,1" newline bitfld.long 0x04 8. "EDMA_TC1_WR_MMU_ROUTE_ENABLE,EDMA TC1 WR traffic MMU route enable" "0,1" newline rbitfld.long 0x04 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 1. "PCIE_SS1_AXI2OCP_LEGACY_MODE_ENABLE,PCIe_SS1 AXI2OCP legacy mode enable" "0,1" newline bitfld.long 0x04 0. "PCIE_SS2_AXI2OCP_LEGACY_MODE_ENABLE,PCIe_SS2 AXI2OCP legacy mode enable" "0,1" group.long 0x1C24++0x23 line.long 0x00 "CTRL_CORE_PCIESS1_PCS1," hexmask.long.word 0x00 22.--31. 1. "PCIESS1_PCS_TEST_TXDATA," newline hexmask.long.word 0x00 12.--21. 1. "PCIESS1_PCS_ERR_BIT_EN," newline hexmask.long.byte 0x00 4.--11. 1. "PCIESS1_PCS_CFG_HOLDOFF," newline bitfld.long 0x00 0.--3. "PCIESS1_PCS_DET_DELAY," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "CTRL_CORE_PCIESS1_PCS2," bitfld.long 0x04 27.--31. "PCIESS1_PCS_CFG_SYNC," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 23.--26. "PCIESS1_PCS_CFG_EQ_FUNC," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 19.--22. "PCIESS1_PCS_CFG_EQ_HOLD," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 15.--18. "PCIESS1_PCS_CFG_EQ_INIT," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 12.--14. "PCIESS1_PCS_TEST_OSEL," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 9. "PCIESS1_PCS_TEST_LSEL," "0,1" newline rbitfld.long 0x04 8. "RESERVED," "0,1" newline bitfld.long 0x04 6.--7. "PCIESS1_PCS_ERR_MODE," "0,1,2,3" newline bitfld.long 0x04 5. "PCIESS1_PCS_L1_SLEEP," "0,1" newline bitfld.long 0x04 4. "PCIESS1_PCS_TEST_MODE," "0,1" newline bitfld.long 0x04 2.--3. "PCIESS1_PCS_ERR_LN_EN," "0,1,2,3" newline rbitfld.long 0x04 1. "RESERVED," "0,1" newline bitfld.long 0x04 0. "PCIESS1_PCS_SHORT_TIMES," "0,1" line.long 0x08 "CTRL_CORE_PCIESS2_PCS1," hexmask.long.word 0x08 22.--31. 1. "PCIESS2_PCS_TEST_TXDATA," newline hexmask.long.word 0x08 12.--21. 1. "PCIESS2_PCS_ERR_BIT_EN," newline hexmask.long.byte 0x08 4.--11. 1. "PCIESS2_PCS_CFG_HOLDOFF," newline bitfld.long 0x08 0.--3. "PCIESS2_PCS_DET_DELAY," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "CTRL_CORE_PCIESS2_PCS2," bitfld.long 0x0C 27.--31. "PCIESS2_PCS_CFG_SYNC," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 23.--26. "PCIESS2_PCS_CFG_EQ_FUNC," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 19.--22. "PCIESS2_PCS_CFG_EQ_HOLD," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 15.--18. "PCIESS2_PCS_CFG_EQ_INIT," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 12.--14. "PCIESS2_PCS_TEST_OSEL," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 9. "PCIESS2_PCS_TEST_LSEL," "0,1" newline rbitfld.long 0x0C 8. "RESERVED," "0,1" newline bitfld.long 0x0C 6.--7. "PCIESS2_PCS_ERR_MODE," "0,1,2,3" newline bitfld.long 0x0C 5. "PCIESS2_PCS_L1_SLEEP," "0,1" newline bitfld.long 0x0C 4. "PCIESS2_PCS_TEST_MODE," "0,1" newline bitfld.long 0x0C 2.--3. "PCIESS2_PCS_ERR_LN_EN," "0,1,2,3" newline rbitfld.long 0x0C 1. "RESERVED," "0,1" newline bitfld.long 0x0C 0. "PCIESS2_PCS_SHORT_TIMES," "0,1" line.long 0x10 "CTRL_CORE_PCIE_PCS," hexmask.long.byte 0x10 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 16.--23. 1. "PCIESS_PCS_RC_DELAY_COUNT,Set to 0x96 for proper functional and compliance-mode behavior on both PCIESS1 and PCIESS2" newline hexmask.long.word 0x10 0.--15. 1. "RESERVED," line.long 0x14 "CTRL_CORE_PCIE_PCS_REVISION,pcs_revision" bitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 23.--25. "PCIESS2_PCS_REVISION," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 20.--22. "PCIESS1_PCS_REVISION," "0,1,2,3,4,5,6,7" newline hexmask.long.tbyte 0x14 0.--19. 1. "RESERVED," line.long 0x18 "CTRL_CORE_PCIE_CONTROL,serdes control selection PCIE C0 (0 default) vs PCIE B1 (1)" hexmask.long 0x18 3.--31. 1. "RESERVED," newline bitfld.long 0x18 2. "PCIE_B1C0_MODE_SEL," "0,1" newline rbitfld.long 0x18 1. "RESERVED," "0,1" newline bitfld.long 0x18 0. "PCIE_B0_B1_TSYNCEN," "0,1" line.long 0x1C "CTRL_CORE_PHY_POWER_PCIESS1," hexmask.long.word 0x1C 22.--31. 1. "PCIESS1_PWRCTL_CLKFREQ,Frequency of SYSCLK1 in MHz (rounded)" newline abitfld.long 0x1C 14.--21. "PCIESS1_PWRCTL_CMD,Powers up/down the PCIESS1_PHY_TX and PCIESS1_PHY_RX modules" "0x00=Powers down PCIESS1_PHY_TX and PCIESS1_PHY_RX,0x01=Powers up PCIESS1_PHY_RX,0x02=Powers up PCIESS1_PHY_TX,0x03=Powers up PCIESS1_PHY_TX and PCIESS1_PHY_RX.." newline hexmask.long.word 0x1C 0.--13. 1. "RESERVED,Reserved" line.long 0x20 "CTRL_CORE_PHY_POWER_PCIESS2," hexmask.long.word 0x20 22.--31. 1. "PCIESS2_PWRCTL_CLKFREQ,Frequency of SYSCLK1 in MHz (rounded)" newline abitfld.long 0x20 14.--21. "PCIESS2_PWRCTL_CMD,Powers up/down the PCIESS2_PHY_TX and PCIESS2_PHY_RX modules" "0x00=Powers down PCIESS2_PHY_TX and PCIESS2_PHY_RX,0x01=Powers up PCIESS2_PHY_RX,0x02=Powers up PCIESS2_PHY_TX,0x03=Powers up PCIESS2_PHY_TX and PCIESS2_PHY_RX.." newline hexmask.long.word 0x20 0.--13. 1. "RESERVED,Reserved" repeat 2. (list 8. 9. )(list 0x00 0x04 ) group.long ($2+0x1C1C)++0x03 line.long 0x00 "CTRL_CORE_SMA_SW_$1,Test control inputs used by the module" repeat.end repeat 2. (list 2. 3. )(list 0x00 0x04 ) group.long ($2+0x1C04)++0x03 line.long 0x00 "CTRL_CORE_SMA_SW_$1,OCP Spare Register" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x5B4)++0x03 line.long 0x00 "CTRL_CORE_DTEMP_IVA_$1,TAGGED TEMPERATURE IVA DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_IVA_1,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_IVA_1,temperature" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x5A0)++0x03 line.long 0x00 "CTRL_CORE_DTEMP_DSPEVE_$1,TAGGED TEMPERATURE DSPEVE DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_DSPEVE_1,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_DSPEVE_1,temperature" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x3EC)++0x03 line.long 0x00 "CTRL_CORE_DTEMP_CORE_$1,TAGGED TEMPERATURE CORE DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_CORE_1,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_CORE_1,temperature" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x3D8)++0x03 line.long 0x00 "CTRL_CORE_DTEMP_GPU_$1,TAGGED TEMPERATURE GPU DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_GPU_1,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_GPU_1,temperature" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x3C4)++0x03 line.long 0x00 "CTRL_CORE_DTEMP_MPU_$1,TAGGED TEMPERATURE MPU DOMAIN" hexmask.long.tbyte 0x00 10.--31. 1. "DTEMP_TAG_MPU_1,tag" newline hexmask.long.word 0x00 0.--9. 1. "DTEMP_TEMPERATURE_MPU_1,temperature" repeat.end tree.end tree "CTRL_MODULE_CORE_TARG" base ad:0x4A004000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "CTRL_MODULE_WKUP" base ad:0x4AE0C000 group.long 0x100++0x03 line.long 0x00 "CTRL_WKUP_SEC_CTRL,Control Register" bitfld.long 0x00 31. "SECCTRLWRDISABLE,Control Register write disable control" "Write in this register is allowed,Write in this register is forbidden" newline hexmask.long 0x00 5.--30. 1. "RESERVED," newline bitfld.long 0x00 4. "SECURE_EMIF_CONFIG_RO_EN,Access mode for registers: CTRL_WKUP_EMIF1_SDRAM_CONFIG CTRL_WKUP_EMIF2_SDRAM_CONFIG" "These registers are RW,These registers are RO" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x108++0x0B line.long 0x00 "CTRL_WKUP_SEC_TAP,TAP controllers register" bitfld.long 0x00 31. "SECTAPWR_DISABLE,TAP controllers register write disable control" "0,1" newline rbitfld.long 0x00 27.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 26. "RESERVED,Reserved" "0,1" newline hexmask.long.word 0x00 14.--25. 1. "RESERVED," newline bitfld.long 0x00 13. "IPU2_TAPENABLE,IPU2 TAP control" "IPU2_TAPENABLE_0,IPU2_TAPENABLE_1" newline bitfld.long 0x00 12. "DSP2_TAPENABLE,DSP2 TAP control" "DSP2_TAPENABLE_0,DSP2_TAPENABLE_1" newline bitfld.long 0x00 11. "JTAGEXT_TAPENABLE,External JTAG expansion TAP control" "JTAGEXT_TAPENABLE_0,JTAGEXT_TAPENABLE_1" newline bitfld.long 0x00 10. "IVA_TAPENABLE,IVA TAP control" "IVA_TAPENABLE_0,IVA_TAPENABLE_1" newline bitfld.long 0x00 9. "MPUGLOBALDEBUG_ENABLE,MPU TAP control" "MPUGLOBALDEBUG_ENABLE_0,MPUGLOBALDEBUG_ENABLE_1" newline rbitfld.long 0x00 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 4. "IEEE1500_ENABLE,IEEE1500 and P1500 access enable" "0,1" newline bitfld.long 0x00 3. "P1500_ENABLE,P1500 access enable" "P1500_ENABLE_0,P1500_ENABLE_1" newline bitfld.long 0x00 2. "IPU1_TAPENABLE,IPU1 TAP control" "IPU1_TAPENABLE_0,IPU1_TAPENABLE_1" newline bitfld.long 0x00 1. "DSP1_TAPENABLE,DSP1 TAP control" "DSP1_TAPENABLE_0,DSP1_TAPENABLE_1" newline bitfld.long 0x00 0. "DAP_TAPENABLE,DAP TAP control" "DAP_TAPENABLE_0,DAP_TAPENABLE_1" line.long 0x04 "CTRL_WKUP_OCPREG_SPARE,OCP Spare Register" bitfld.long 0x04 31. "OCPREG_SPARE31,OCP spare register 31" "0,1" newline bitfld.long 0x04 30. "OCPREG_SPARE30,OCP spare register 30" "0,1" newline bitfld.long 0x04 29. "OCPREG_SPARE29,OCP spare register 29" "0,1" newline bitfld.long 0x04 28. "OCPREG_SPARE28,OCP spare register 28" "0,1" newline bitfld.long 0x04 27. "OCPREG_SPARE27,OCP spare register 27" "0,1" newline bitfld.long 0x04 26. "OCPREG_SPARE26,OCP spare register 26" "0,1" newline bitfld.long 0x04 25. "OCPREG_SPARE25,OCP spare register 25" "0,1" newline bitfld.long 0x04 24. "OCPREG_SPARE24,OCP spare register 24" "0,1" newline bitfld.long 0x04 23. "OCPREG_SPARE23,OCP spare register 23" "0,1" newline bitfld.long 0x04 22. "OCPREG_SPARE22,OCP spare register 22" "0,1" newline bitfld.long 0x04 21. "OCPREG_SPARE21,OCP spare register 21" "0,1" newline bitfld.long 0x04 20. "OCPREG_SPARE20,OCP spare register 20" "0,1" newline bitfld.long 0x04 19. "OCPREG_SPARE19,OCP spare register 19" "0,1" newline bitfld.long 0x04 18. "OCPREG_SPARE18,OCP spare register 18" "0,1" newline bitfld.long 0x04 17. "OCPREG_SPARE17,OCP spare register 17" "0,1" newline bitfld.long 0x04 16. "OCPREG_SPARE16,OCP spare register 16" "0,1" newline bitfld.long 0x04 15. "OCPREG_SPARE15,OCP spare register 15" "0,1" newline bitfld.long 0x04 14. "OCPREG_SPARE14,OCP spare register 14" "0,1" newline bitfld.long 0x04 13. "OCPREG_SPARE13,OCP spare register 13" "0,1" newline bitfld.long 0x04 12. "OCPREG_SPARE12,OCP spare register 12" "0,1" newline bitfld.long 0x04 11. "OCPREG_SPARE11,OCP spare register 11" "0,1" newline bitfld.long 0x04 10. "OCPREG_SPARE10,OCP spare register 10" "0,1" newline bitfld.long 0x04 9. "OCPREG_SPARE9,OCP spare register 9" "0,1" newline bitfld.long 0x04 8. "OCPREG_SPARE8,OCP spare register 8" "0,1" newline bitfld.long 0x04 7. "OCPREG_SPARE7,OCP spare register 7" "0,1" newline bitfld.long 0x04 6. "OCPREG_SPARE6,OCP spare register 6" "0,1" newline bitfld.long 0x04 5. "OCPREG_SPARE5,OCP spare register 5" "0,1" newline bitfld.long 0x04 4. "OCPREG_SPARE4,OCP spare register 4" "0,1" newline bitfld.long 0x04 3. "OCPREG_SPARE3,OCP spare register 3" "0,1" newline bitfld.long 0x04 2. "OCPREG_SPARE2,OCP spare register 2" "0,1" newline bitfld.long 0x04 1. "OCPREG_SPARE1,OCP spare register 1" "0,1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" line.long 0x08 "CTRL_WKUP_SECURE_EMIF1_SDRAM_CONFIG,EMIF1 SDRAM configuration register" rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 27.--28. "EMIF1_SDRAM_IBANK_POS,Internal bank position" "0,1,2,3" newline bitfld.long 0x08 24.--26. "EMIF1_SDRAM_DDR_TERM,DDR2 and DDR3 termination resistor value" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 23. "EMIF1_SDRAM_DDR2_DDQS,DDR2 differential DQS enable" "0,1" newline bitfld.long 0x08 21.--22. "EMIF1_SDRAM_DYN_ODT,DDR3 Dynamic ODT" "0,1,2,3" newline bitfld.long 0x08 20. "EMIF1_SDRAM_DDR_DISABLE_DLL,Disable DLL select" "0,1" newline bitfld.long 0x08 18.--19. "EMIF1_SDRAM_DRIVE,SDRAM drive strength" "0,1,2,3" newline bitfld.long 0x08 16.--17. "EMIF1_SDRAM_CWL,DDR3 CAS Write latency" "0,1,2,3" newline rbitfld.long 0x08 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 10.--13. "EMIF1_SDRAM_CL,CAS Latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 7.--9. "EMIF1_SDRAM_ROWSIZE,Row Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4.--6. "EMIF1_SDRAM_IBANK,Internal Bank setup" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "EMIF1_SDRAM_PAGESIZE,Page Size" "0,1,2,3,4,5,6,7" group.long 0x118++0x03 line.long 0x00 "CTRL_WKUP_SECURE_EMIF2_SDRAM_CONFIG,EMIF2 SDRAM register" rbitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 27.--28. "EMIF2_SDRAM_IBANK_POS,Internal bank position" "0,1,2,3" newline bitfld.long 0x00 24.--26. "EMIF2_SDRAM_DDR_TERM,DDR2 and DDR3 termination resistor value" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 23. "EMIF2_SDRAM_DDR2_DDQS,DDR2 differential DQS enable" "0,1" newline bitfld.long 0x00 21.--22. "EMIF2_SDRAM_DYN_ODT,DDR3 Dynamic ODT" "0,1,2,3" newline bitfld.long 0x00 20. "EMIF2_SDRAM_DDR_DISABLE_DLL,Disable DLL select" "0,1" newline bitfld.long 0x00 18.--19. "EMIF2_SDRAM_DRIVE,SDRAM drive strength" "0,1,2,3" newline bitfld.long 0x00 16.--17. "EMIF2_SDRAM_CWL,DDR3 CAS Write latency" "0,1,2,3" newline rbitfld.long 0x00 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 10.--13. "EMIF2_SDRAM_CL,CAS Latency" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7.--9. "EMIF2_SDRAM_ROWSIZE,Row Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--6. "EMIF2_SDRAM_IBANK,Internal Bank setup" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "EMIF2_SDRAM_PAGESIZE,Page Size" "0,1,2,3,4,5,6,7" rgroup.long 0x138++0x07 line.long 0x00 "CTRL_WKUP_STD_FUSE_USB_CONF,Standard Fuse conf [31:0]" hexmask.long.word 0x00 16.--31. 1. "USB_PROD_ID,USB Product Identification" newline hexmask.long.word 0x00 0.--15. 1. "USB_VENDOR_ID,USB Vendor Identification" line.long 0x04 "CTRL_WKUP_STD_FUSE_CONF,Standard Fuse conf [63:32]" hexmask.long.word 0x04 22.--31. 1. "RESERVED," newline bitfld.long 0x04 21. "STD_FUSE_EMIF2_INITREF_DEF_DIS,Disable EMIF2 DDR refresh and initialization sequence" "refresh and initialization sequence are enabled,refresh and initialization sequence are disabled" newline bitfld.long 0x04 20. "STD_FUSE_EMIF2_DDR3_LPDDR2N,EMIF2 DDR3" "reserved,DDR3 configured" newline bitfld.long 0x04 19. "STD_FUSE_EMIF1_INITREF_DEF_DIS,Disable EMIF1 DDR refresh and initialization sequence" "refresh and initialization sequence are enabled,refresh and initialization sequence are disabled" newline bitfld.long 0x04 18. "STD_FUSE_EMIF1_DDR3_LPDDR2N,EMIF1 DDR3" "reserved,DDR3 configured" newline bitfld.long 0x04 17. "RESERVED," "0,1" newline bitfld.long 0x04 16. "STD_FUSE_HDCP_ENABLE,Enable hdcp" "enables hdcp,disables hdcp" newline bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 12. "STD_FUSE_CH_SPEEDUP_DISABLE,ROM code settings for configuration header block and speedup block" "enables CH and speedup,disables CH and speedup" newline hexmask.long.byte 0x04 5.--11. 1. "RESERVED," newline bitfld.long 0x04 4. "STD_FUSE_SGX540_3D_CLOCK_SOURCE,Functional clock selection for the 3D accelerator engine" "GPU is fully enabled (DPLL_CORE/PER),GPU is partially enabled (DPLL_PER/8 max)" newline bitfld.long 0x04 3. "STD_FUSE_SGX540_3D_DISABLE,Disable the 3D accelerator engine" "SGX is enable,SGX is disabled" newline bitfld.long 0x04 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" group.long 0x144++0x27 line.long 0x00 "CTRL_WKUP_EMIF1_SDRAM_CONFIG_EXT,SLICE register for emif1 and emif2" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 17. "EMIF1_NARROW_ONLY,EMIF1 operates in narrow mode to allow for data macros to be powered down to save power" "narrow mode disabled,narrow mode enabled" newline bitfld.long 0x00 16. "EMIF1_EN_ECC,EMIF1 ECC enable" "ECC is disabled,ECC is enabled" newline bitfld.long 0x00 14.--15. "EMIF1_REG_PHY_NUM_OF_SAMPLES,Controls the number of DQ samples required for read leveling" "4 samples,8 samples,16 samples,128 samples" newline bitfld.long 0x00 13. "EMIF1_REG_PHY_SEL_LOGIC,Selects an algorithm for read leveling" "Algorithm 1 is used,Algorithm 2 is used" newline bitfld.long 0x00 12. "EMIF1_REG_PHY_ALL_DQ_MPR_RD_RESP,Analysis method of DQ bits during read leveling" "if the DRAM provides a read response on only one..,if the DRAM provides a read response on all DQ.." newline bitfld.long 0x00 9.--11. "EMIF1_REG_PHY_OUTPUT_STATUS_SELECT,Selects the status to be observed on the outputs of the DDR PHYs through" "selects phy_reg_rdlvl_start_ratio[7:0],selects phy_reg_rdlvl_start_ratio[15:8],selects phy_reg_rdlvl_end_ratio[7:0],selects phy_reg_rdlvl_end_ratio[15:8],?..." newline rbitfld.long 0x00 8. "RESERVED," "0,1" newline bitfld.long 0x00 7. "EMIF1_SDRAM_DISABLE_RESET,DDR3 SDRAM reset disable" "DDR3 SDRAM reset signal is enabled,DDR3 SDRAM reset signal is disabled" newline bitfld.long 0x00 5.--6. "EMIF1_PHY_RD_LOCAL_ODT,Control of ODT (on - die termination) settings for the device DDR I/Os" "ODT disabled,60 Ohms,80 Ohms 0x3 =120 Ohms,?..." newline bitfld.long 0x00 4. "RESERVED," "0,1" newline bitfld.long 0x00 3. "EMIF1_DFI_CLOCK_PHASE_CTRL,EMIF_FICLK clock phase control (shifting by 180Degree)" "0,1" newline bitfld.long 0x00 2. "EMIF1_EN_SLICE_2,Enable command PHY 2" "0,1" newline bitfld.long 0x00 1. "EMIF1_EN_SLICE_1,Enable command PHY 1" "0,1" newline bitfld.long 0x00 0. "EMIF1_EN_SLICE_0,Enable command PHY 0" "0,1" line.long 0x04 "CTRL_WKUP_EMIF2_SDRAM_CONFIG_EXT,SLICE register for emif1 and emif2" hexmask.long.word 0x04 18.--31. 1. "RESERVED," newline bitfld.long 0x04 17. "EMIF2_NARROW_ONLY,EMIF2 operates in narrow mode to allow for data macros to be powered down to save power" "narrow mode disabled,narrow mode enabled" newline rbitfld.long 0x04 16. "RESERVED," "0,1" newline bitfld.long 0x04 14.--15. "EMIF2_REG_PHY_NUM_OF_SAMPLES,Controls the number of DQ samples required for read leveling" "4 samples,8 samples,16 samples,128 samples" newline bitfld.long 0x04 13. "EMIF2_REG_PHY_SEL_LOGIC,Selects an algorithm for read leveling" "Algorithm 1 is used,Algorithm 2 is used" newline bitfld.long 0x04 12. "EMIF2_REG_PHY_ALL_DQ_MPR_RD_RESP,Analysis method of DQ bits during read leveling" "if the DRAM provides a read response on only one..,if the DRAM provides a read response on all DQ.." newline bitfld.long 0x04 9.--11. "EMIF2_REG_PHY_OUTPUT_STATUS_SELECT,Selects the status to be observed on the outputs of the DDR PHYs through" "selects phy_reg_rdlvl_start_ratio[7:0],selects phy_reg_rdlvl_start_ratio[15:8],selects phy_reg_rdlvl_end_ratio[7:0],selects phy_reg_rdlvl_end_ratio[15:8],?..." newline rbitfld.long 0x04 8. "RESERVED," "0,1" newline bitfld.long 0x04 7. "EMIF2_SDRAM_DISABLE_RESET,DDR3 SDRAM reset disable" "DDR3 SDRAM reset signal is enabled,DDR3 SDRAM reset signal is disabled" newline bitfld.long 0x04 5.--6. "EMIF2_PHY_RD_LOCAL_ODT,Control of ODT (on - die termination) settings for the device DDR I/Os" "ODT disabled,60 Ohms,80 Ohms 0x3 =120 Ohms,?..." newline rbitfld.long 0x04 4. "RESERVED," "0,1" newline bitfld.long 0x04 3. "EMIF2_DFI_CLOCK_PHASE_CTRL,EMIF_FICLK clock phase control (shifting by 180Degree)" "0,1" newline bitfld.long 0x04 2. "EMIF2_EN_SLICE_2,Enable command PHY 2" "0,1" newline bitfld.long 0x04 1. "EMIF2_EN_SLICE_1,Enable command PHY 1" "0,1" newline bitfld.long 0x04 0. "EMIF2_EN_SLICE_0,Enable command PHY 0" "0,1" line.long 0x08 "CTRL_WKUP_EMIF1_SDRAM_CONFIG_EXT_1," line.long 0x0C "CTRL_WKUP_EMIF2_SDRAM_CONFIG_EXT_2," line.long 0x10 "CTRL_WKUP_LDOVBB_GPU_VOLTAGE_CTRL,GPU Voltage Body Bias LDO Control register" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED," newline bitfld.long 0x10 10. "LDOVBBGPU_FBB_MUX_CTRL,Override control of EFUSE Forward Body Bias voltage value" "efuse value is used,override value is used" newline rbitfld.long 0x10 5.--9. "LDOVBBGPU_FBB_VSET_IN,EFUSE Forward Body Bias voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x10 0.--4. "LDOVBBGPU_FBB_VSET_OUT,Override value for Forward Body Bias voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x14 "CTRL_WKUP_LDOVBB_MPU_VOLTAGE_CTRL,MPU Voltage Body Bias LDO Control register" hexmask.long.tbyte 0x14 11.--31. 1. "RESERVED," newline bitfld.long 0x14 10. "LDOVBBMPU_FBB_MUX_CTRL,Override control of EFUSE Forward Body Bias voltage value" "efuse value is used,override value is used" newline rbitfld.long 0x14 5.--9. "LDOVBBMPU_FBB_VSET_IN,EFUSE Forward Body Bias voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "LDOVBBMPU_FBB_VSET_OUT,Override value for Forward Body Bias voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "CTRL_WKUP_LDOSRAM_GPU_VOLTAGE_CTRL,GPU SRAM LDO Control register" rbitfld.long 0x18 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 26. "LDOSRAMGPU_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMGPU_RETMODE_MUX_CTRL_0,LDOSRAMGPU_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x18 21.--25. "LDOSRAMGPU_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 16.--20. "LDOSRAMGPU_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x18 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 10. "LDOSRAMGPU_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMGPU_ACTMODE_MUX_CTRL_0,LDOSRAMGPU_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x18 5.--9. "LDOSRAMGPU_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 0.--4. "LDOSRAMGPU_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x1C "CTRL_WKUP_LDOSRAM_MPU_VOLTAGE_CTRL,MPU SRAM LDO Control register" rbitfld.long 0x1C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 26. "LDOSRAMMPU_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMMPU_RETMODE_MUX_CTRL_0,LDOSRAMMPU_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x1C 21.--25. "LDOSRAMMPU_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 16.--20. "LDOSRAMMPU_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 10. "LDOSRAMMPU_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMMPU_ACTMODE_MUX_CTRL_0,LDOSRAMMPU_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x1C 5.--9. "LDOSRAMMPU_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 0.--4. "LDOSRAMMPU_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x20 "CTRL_WKUP_LDOSRAM_CORE_VOLTAGE_CTRL,Core SRAM LDO Control register" rbitfld.long 0x20 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 26. "LDOSRAMCORE_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMCORE_RETMODE_MUX_CTRL_0,LDOSRAMCORE_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x20 21.--25. "LDOSRAMCORE_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 16.--20. "LDOSRAMCORE_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x20 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 10. "LDOSRAMCORE_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMCORE_ACTMODE_MUX_CTRL_0,LDOSRAMCORE_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x20 5.--9. "LDOSRAMCORE_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x20 0.--4. "LDOSRAMCORE_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x24 "CTRL_WKUP_LDOSRAM_MPU_2_VOLTAGE_CTRL,MPU 2nd SRAM LDO Control register" rbitfld.long 0x24 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x24 26. "LDOSRAMMPU_2_RETMODE_MUX_CTRL,Override control of EFUSE Retention Mode Voltage value" "LDOSRAMMPU_2_RETMODE_MUX_CTRL_0,LDOSRAMMPU_2_RETMODE_MUX_CTRL_1" newline rbitfld.long 0x24 21.--25. "LDOSRAMMPU_2_RETMODE_VSET_IN,EFUSE Retention Mode Voltage value (vset[9:5])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x24 16.--20. "LDOSRAMMPU_2_RETMODE_VSET_OUT,Override value for Retention Mode Voltage" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x24 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x24 10. "LDOSRAMMPU_2_ACTMODE_MUX_CTRL,Override control of EFUSE Active Mode Voltage value" "LDOSRAMMPU_2_ACTMODE_MUX_CTRL_0,LDOSRAMMPU_2_ACTMODE_MUX_CTRL_1" newline rbitfld.long 0x24 5.--9. "LDOSRAMMPU_2_ACTMODE_VSET_IN,EFUSE Active Mode Voltage value (vset[4:0])" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x24 0.--4. "LDOSRAMMPU_2_ACTMODE_VSET_OUT,Override value for Active Mode Voltage value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x200++0x17 line.long 0x00 "CTRL_WKUP_STD_FUSE_DIE_ID_0,Die ID Register : Part 0" line.long 0x04 "CTRL_WKUP_ID_CODE,ID_CODE Key Register" line.long 0x08 "CTRL_WKUP_STD_FUSE_DIE_ID_1,Die ID Register : Part 1" line.long 0x0C "CTRL_WKUP_STD_FUSE_DIE_ID_2,Die ID Register : Part 2" line.long 0x10 "CTRL_WKUP_STD_FUSE_DIE_ID_3,Die ID Register : Part 3" line.long 0x14 "CTRL_WKUP_STD_FUSE_PROD_ID_0,Prod ID Register : Part 0" group.long 0x5AC++0x03 line.long 0x00 "CTRL_WKUP_CONTROL_XTAL_OSCILLATOR,XTAL OSCILLATOR control" bitfld.long 0x00 31. "OSCILLATOR0_BOOST,Fast startup control of OSC0" "Fast startup is disabled,Fast startup is enabled" newline bitfld.long 0x00 30. "OSCILLATOR0_OS_OUT,Oscillator output of OSC0" "low to high transition in BOOST mode,BOOST is disabled" newline bitfld.long 0x00 29. "OSCILLATOR1_BOOST,Fast startup control of OSC1" "Fast startup is disabled,Fast startup is enabled" newline bitfld.long 0x00 28. "OSCILLATOR1_OS_OUT,Oscillator output of OSC1" "low to high transition in BOOST mode,BOOST is disabled" newline hexmask.long 0x00 0.--27. 1. "RESERVED," group.long 0x5C8++0x0F line.long 0x00 "CTRL_WKUP_EFUSE_1,EFUSE compensation 1" bitfld.long 0x00 31. "DDRDIFF_PTV_NORTH_SIDE_N5," "0,1" newline bitfld.long 0x00 30. "DDRDIFF_PTV_NORTH_SIDE_N4," "0,1" newline bitfld.long 0x00 29. "DDRDIFF_PTV_NORTH_SIDE_N3," "0,1" newline bitfld.long 0x00 28. "DDRDIFF_PTV_NORTH_SIDE_N2," "0,1" newline bitfld.long 0x00 27. "DDRDIFF_PTV_NORTH_SIDE_N1," "0,1" newline bitfld.long 0x00 26. "DDRDIFF_PTV_NORTH_SIDE_N0," "0,1" newline bitfld.long 0x00 25. "DDRDIFF_PTV_NORTH_SIDE_P5," "0,1" newline bitfld.long 0x00 24. "DDRDIFF_PTV_NORTH_SIDE_P4," "0,1" newline bitfld.long 0x00 23. "DDRDIFF_PTV_NORTH_SIDE_P3," "0,1" newline bitfld.long 0x00 22. "DDRDIFF_PTV_NORTH_SIDE_P2," "0,1" newline bitfld.long 0x00 21. "DDRDIFF_PTV_NORTH_SIDE_P1," "0,1" newline bitfld.long 0x00 20. "DDRDIFF_PTV_NORTH_SIDE_P0," "0,1" newline bitfld.long 0x00 19. "DDRDIFF_PTV_EAST_SIDE_N5," "0,1" newline bitfld.long 0x00 18. "DDRDIFF_PTV_EAST_SIDE_N4," "0,1" newline bitfld.long 0x00 17. "DDRDIFF_PTV_EAST_SIDE_N3," "0,1" newline bitfld.long 0x00 16. "DDRDIFF_PTV_EAST_SIDE_N2," "0,1" newline bitfld.long 0x00 15. "DDRDIFF_PTV_EAST_SIDE_N1," "0,1" newline bitfld.long 0x00 14. "DDRDIFF_PTV_EAST_SIDE_N0," "0,1" newline bitfld.long 0x00 13. "DDRDIFF_PTV_EAST_SIDE_P5," "0,1" newline bitfld.long 0x00 12. "DDRDIFF_PTV_EAST_SIDE_P4," "0,1" newline bitfld.long 0x00 11. "DDRDIFF_PTV_EAST_SIDE_P3," "0,1" newline bitfld.long 0x00 10. "DDRDIFF_PTV_EAST_SIDE_P2," "0,1" newline bitfld.long 0x00 9. "DDRDIFF_PTV_EAST_SIDE_P1," "0,1" newline bitfld.long 0x00 8. "DDRDIFF_PTV_EAST_SIDE_P0," "0,1" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," line.long 0x04 "CTRL_WKUP_EFUSE_2,EFUSE compensation 2" bitfld.long 0x04 31. "DDRDIFF_PTV_SOUTH_SIDE_N5," "0,1" newline bitfld.long 0x04 30. "DDRDIFF_PTV_SOUTH_SIDE_N4," "0,1" newline bitfld.long 0x04 29. "DDRDIFF_PTV_SOUTH_SIDE_N3," "0,1" newline bitfld.long 0x04 28. "DDRDIFF_PTV_SOUTH_SIDE_N2," "0,1" newline bitfld.long 0x04 27. "DDRDIFF_PTV_SOUTH_SIDE_N1," "0,1" newline bitfld.long 0x04 26. "DDRDIFF_PTV_SOUTH_SIDE_N0," "0,1" newline bitfld.long 0x04 25. "DDRDIFF_PTV_SOUTH_SIDE_P5," "0,1" newline bitfld.long 0x04 24. "DDRDIFF_PTV_SOUTH_SIDE_P4," "0,1" newline bitfld.long 0x04 23. "DDRDIFF_PTV_SOUTH_SIDE_P3," "0,1" newline bitfld.long 0x04 22. "DDRDIFF_PTV_SOUTH_SIDE_P2," "0,1" newline bitfld.long 0x04 21. "DDRDIFF_PTV_SOUTH_SIDE_P1," "0,1" newline bitfld.long 0x04 20. "DDRDIFF_PTV_SOUTH_SIDE_P0," "0,1" newline bitfld.long 0x04 19. "DDRDIFF_PTV_WEST_SIDE_N5," "0,1" newline bitfld.long 0x04 18. "DDRDIFF_PTV_WEST_SIDE_N4," "0,1" newline bitfld.long 0x04 17. "DDRDIFF_PTV_WEST_SIDE_N3," "0,1" newline bitfld.long 0x04 16. "DDRDIFF_PTV_WEST_SIDE_N2," "0,1" newline bitfld.long 0x04 15. "DDRDIFF_PTV_WEST_SIDE_N1," "0,1" newline bitfld.long 0x04 14. "DDRDIFF_PTV_WEST_SIDE_N0," "0,1" newline bitfld.long 0x04 13. "DDRDIFF_PTV_WEST_SIDE_P5," "0,1" newline bitfld.long 0x04 12. "DDRDIFF_PTV_WEST_SIDE_P4," "0,1" newline bitfld.long 0x04 11. "DDRDIFF_PTV_WEST_SIDE_P3," "0,1" newline bitfld.long 0x04 10. "DDRDIFF_PTV_WEST_SIDE_P2," "0,1" newline bitfld.long 0x04 9. "DDRDIFF_PTV_WEST_SIDE_P1," "0,1" newline bitfld.long 0x04 8. "DDRDIFF_PTV_WEST_SIDE_P0," "0,1" newline hexmask.long.byte 0x04 0.--7. 1. "RESERVED," line.long 0x08 "CTRL_WKUP_EFUSE_3,EFUSE compensation 3" bitfld.long 0x08 31. "DDRSE_PTV_NORTH_SIDE_N5," "0,1" newline bitfld.long 0x08 30. "DDRSE_PTV_NORTH_SIDE_N4," "0,1" newline bitfld.long 0x08 29. "DDRSE_PTV_NORTH_SIDE_N3," "0,1" newline bitfld.long 0x08 28. "DDRSE_PTV_NORTH_SIDE_N2," "0,1" newline bitfld.long 0x08 27. "DDRSE_PTV_NORTH_SIDE_N1," "0,1" newline bitfld.long 0x08 26. "DDRSE_PTV_NORTH_SIDE_N0," "0,1" newline bitfld.long 0x08 25. "DDRSE_PTV_NORTH_SIDE_P5," "0,1" newline bitfld.long 0x08 24. "DDRSE_PTV_NORTH_SIDE_P4," "0,1" newline bitfld.long 0x08 23. "DDRSE_PTV_NORTH_SIDE_P3," "0,1" newline bitfld.long 0x08 22. "DDRSE_PTV_NORTH_SIDE_P2," "0,1" newline bitfld.long 0x08 21. "DDRSE_PTV_NORTH_SIDE_P1," "0,1" newline bitfld.long 0x08 20. "DDRSE_PTV_NORTH_SIDE_P0," "0,1" newline bitfld.long 0x08 19. "DDRSE_PTV_EAST_SIDE_N5," "0,1" newline bitfld.long 0x08 18. "DDRSE_PTV_EAST_SIDE_N4," "0,1" newline bitfld.long 0x08 17. "DDRSE_PTV_EAST_SIDE_N3," "0,1" newline bitfld.long 0x08 16. "DDRSE_PTV_EAST_SIDE_N2," "0,1" newline bitfld.long 0x08 15. "DDRSE_PTV_EAST_SIDE_N1," "0,1" newline bitfld.long 0x08 14. "DDRSE_PTV_EAST_SIDE_N0," "0,1" newline bitfld.long 0x08 13. "DDRSE_PTV_EAST_SIDE_P5," "0,1" newline bitfld.long 0x08 12. "DDRSE_PTV_EAST_SIDE_P4," "0,1" newline bitfld.long 0x08 11. "DDRSE_PTV_EAST_SIDE_P3," "0,1" newline bitfld.long 0x08 10. "DDRSE_PTV_EAST_SIDE_P2," "0,1" newline bitfld.long 0x08 9. "DDRSE_PTV_EAST_SIDE_P1," "0,1" newline bitfld.long 0x08 8. "DDRSE_PTV_EAST_SIDE_P0," "0,1" newline hexmask.long.byte 0x08 0.--7. 1. "RESERVED," line.long 0x0C "CTRL_WKUP_EFUSE_4,EFUSE compensation 4" bitfld.long 0x0C 31. "DDRSE_PTV_SOUTH_SIDE_N5," "0,1" newline bitfld.long 0x0C 30. "DDRSE_PTV_SOUTH_SIDE_N4," "0,1" newline bitfld.long 0x0C 29. "DDRSE_PTV_SOUTH_SIDE_N3," "0,1" newline bitfld.long 0x0C 28. "DDRSE_PTV_SOUTH_SIDE_N2," "0,1" newline bitfld.long 0x0C 27. "DDRSE_PTV_SOUTH_SIDE_N1," "0,1" newline bitfld.long 0x0C 26. "DDRSE_PTV_SOUTH_SIDE_N0," "0,1" newline bitfld.long 0x0C 25. "DDRSE_PTV_SOUTH_SIDE_P5," "0,1" newline bitfld.long 0x0C 24. "DDRSE_PTV_SOUTH_SIDE_P4," "0,1" newline bitfld.long 0x0C 23. "DDRSE_PTV_SOUTH_SIDE_P3," "0,1" newline bitfld.long 0x0C 22. "DDRSE_PTV_SOUTH_SIDE_P2," "0,1" newline bitfld.long 0x0C 21. "DDRSE_PTV_SOUTH_SIDE_P1," "0,1" newline bitfld.long 0x0C 20. "DDRSE_PTV_SOUTH_SIDE_P0," "0,1" newline bitfld.long 0x0C 19. "DDRSE_PTV_WEST_SIDE_N5," "0,1" newline bitfld.long 0x0C 18. "DDRSE_PTV_WEST_SIDE_N4," "0,1" newline bitfld.long 0x0C 17. "DDRSE_PTV_WEST_SIDE_N3," "0,1" newline bitfld.long 0x0C 16. "DDRSE_PTV_WEST_SIDE_N2," "0,1" newline bitfld.long 0x0C 15. "DDRSE_PTV_WEST_SIDE_N1," "0,1" newline bitfld.long 0x0C 14. "DDRSE_PTV_WEST_SIDE_N0," "0,1" newline bitfld.long 0x0C 13. "DDRSE_PTV_WEST_SIDE_P5," "0,1" newline bitfld.long 0x0C 12. "DDRSE_PTV_WEST_SIDE_P4," "0,1" newline bitfld.long 0x0C 11. "DDRSE_PTV_WEST_SIDE_P3," "0,1" newline bitfld.long 0x0C 10. "DDRSE_PTV_WEST_SIDE_P2," "0,1" newline bitfld.long 0x0C 9. "DDRSE_PTV_WEST_SIDE_P1," "0,1" newline bitfld.long 0x0C 8. "DDRSE_PTV_WEST_SIDE_P0," "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED," group.long 0x5F8++0x03 line.long 0x00 "CTRL_WKUP_EFUSE_13," bitfld.long 0x00 31. "SDIO1833_PTV_N5," "0,1" newline bitfld.long 0x00 30. "SDIO1833_PTV_N4," "0,1" newline bitfld.long 0x00 29. "SDIO1833_PTV_N3," "0,1" newline bitfld.long 0x00 28. "SDIO1833_PTV_N2," "0,1" newline bitfld.long 0x00 27. "SDIO1833_PTV_N1," "0,1" newline bitfld.long 0x00 26. "SDIO1833_PTV_N0," "0,1" newline bitfld.long 0x00 25. "SDIO1833_PTV_P5," "0,1" newline bitfld.long 0x00 24. "SDIO1833_PTV_P4," "0,1" newline bitfld.long 0x00 23. "SDIO1833_PTV_P3," "0,1" newline bitfld.long 0x00 22. "SDIO1833_PTV_P2," "0,1" newline bitfld.long 0x00 21. "SDIO1833_PTV_P1," "0,1" newline bitfld.long 0x00 20. "SDIO1833_PTV_P0," "0,1" newline hexmask.long.tbyte 0x00 0.--19. 1. "RESERVED," tree.end tree "CTRL_MODULE_WKUP_TARG" base ad:0x4AE0D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "CUSTEFUSE_PRM" base ad:0x4AE07600 group.long 0x00++0x07 line.long 0x00 "PM_CUSTEFUSE_PWRSTCTRL,This register controls the CUSTEFUSE power state to reach upon a domain sleep transition" hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_CUSTEFUSE_PWRSTST,This register provides a status on the current CUSTEFUSE power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.tbyte 0x04 3.--19. 1. "RESERVED," rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x24++0x03 line.long 0x00 "RM_CUSTEFUSE_EFUSE_CTRL_CUST_CONTEXT,This register contains dedicated CUSTEFUSE module context statuses" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end repeat 2. (list 2. 1. )(list ad:0x48480000 ad:0x4AE3C000 ) tree "DCAN$1" base $2 group.long 0x00++0x17 line.long 0x00 "DCAN_CTL,DCAN control register NOTE: The Bus-Off recovery sequence (refer to CAN specification) cannot be shortened by setting or resetting INIT bit" rbitfld.long 0x00 26.--31. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 25. "WUBA,Automatic wake up on bus activity when in local power-down mode" "WUBA_0,WUBA_1" bitfld.long 0x00 24. "PDR,Request for local low power-down mode" "PDR_0,PDR_1" newline rbitfld.long 0x00 21.--23. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 20. "DE3,Enable DMA request line for IF3" "DE3_0,DE3_1" bitfld.long 0x00 19. "DE2,Enable DMA request line for IF2" "DE2_0,DE2_1" newline bitfld.long 0x00 18. "DE1,Enable DMA request line for IF1" "DE1_0,DE1_1" bitfld.long 0x00 17. "IE1,Interrupt line 1 enable" "IE1_0,IE1_1" bitfld.long 0x00 16. "INITDBG,Internal init state while debug access" "INITDBG_0,INITDBG_1" newline bitfld.long 0x00 15. "SWR,Software reset enable" "SWR_0,SWR_1" rbitfld.long 0x00 14. "RESERVED,This bit is always read as 0" "0,1" bitfld.long 0x00 10.--13. "PMD,Parityon/offOthers: function enabled" "?,?,?,?,?,PMD_5,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 9. "ABO,Auto-Bus-On enable" "ABO_0,ABO_1" bitfld.long 0x00 8. "IDS,Interruption debug support enable" "IDS_0,IDS_1" bitfld.long 0x00 7. "TEST,Test mode enable" "TEST_0,TEST_1" newline bitfld.long 0x00 6. "CCE,Configuration change enable" "CCE_0,CCE_1" bitfld.long 0x00 5. "DAR,Disable automatic retransmission" "DAR_0,DAR_1" rbitfld.long 0x00 4. "RESERVED,This bit is always read as 0" "0,1" newline bitfld.long 0x00 3. "EIE,Error interrupt enable" "EIE_0,EIE_1" bitfld.long 0x00 2. "SIE,Status change interrupt enable" "SIE_0,SIE_1" bitfld.long 0x00 1. "IE0,Interrupt line 0 enable" "IE0_0,IE0_1" newline bitfld.long 0x00 0. "INIT,Initialization" "INIT_0,INIT_1" line.long 0x04 "DCAN_ES,Error and Status Register Interrupts are generated by bits PER. BOFF and EWARN (if EIE bit in is 1) and by bits WAKEUPPND. RXOK. TXOK. and LEC (if SIE bit in is 1)" hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED,These bits are always read as 0" rbitfld.long 0x04 10. "PDA,Local power-down mode acknowledge" "PDA_0,PDA_1" rbitfld.long 0x04 9. "WAKEUPPND,Wake up pending" "WAKEUPPND_0,WAKEUPPND_1" newline bitfld.long 0x04 8. "PER,Parity error detected" "PER_0_w,PER_1_w" rbitfld.long 0x04 7. "BOFF,Bus-Off state" "BOFF_0,BOFF_1" rbitfld.long 0x04 6. "EWARN,Warning state" "EWARN_0,EWARN_1" newline rbitfld.long 0x04 5. "EPASS,Error passive state" "EPASS_0,EPASS_1" rbitfld.long 0x04 4. "RXOK,Received a message successfully" "RXOK_0,RXOK_1" rbitfld.long 0x04 3. "TXOK,Transmitted a message successfully" "TXOK_0,TXOK_1" newline rbitfld.long 0x04 0.--2. "LEC,Last error code" "LEC_0,LEC_1,LEC_2,LEC_3,LEC_4,LEC_5,LEC_6,LEC_7" line.long 0x08 "DCAN_ERRC,Error Counter Register" hexmask.long.word 0x08 16.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x08 15. "RP,Receive error passive" "RP_0,RP_1" hexmask.long.byte 0x08 8.--14. 1. "REC,Receive error counter" newline hexmask.long.byte 0x08 0.--7. 1. "TEC,Transmit error counter" line.long 0x0C "DCAN_BTR,Bit timing register This register is only writable if CCE and INIT bits in the are set" hexmask.long.word 0x0C 20.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x0C 16.--19. "BRPE,Baud rate prescaler extension.Valid programmed values are 0 to 15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 15. "RESERVED,These bits are always read as 0" "0,1" newline bitfld.long 0x0C 12.--14. "TSEG2,Time segment after the sample pointValid programmed values are 0 to 7" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 8.--11. "TSEG1,Time segment before the sample pointValid programmed values are 1 to15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 6.--7. "SJW,Synchronization Jump WidthValid programmed values are 0 to 3" "0,1,2,3" newline bitfld.long 0x0C 0.--5. "BRP,Baud rate prescalerValue by which the CAN_CLK frequency is divided for generating the bit time quanta" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "DCAN_INT,Interrupt register" hexmask.long.byte 0x10 24.--31. 1. "RESERVED,These bits are always read as 0" hexmask.long.byte 0x10 16.--23. 1. "INT1ID,Interrupt 1 Identifier (indicates the message object with the highest pending interrupt)0x01-0x80: Number of message object which caused the interrupt" hexmask.long.word 0x10 0.--15. 1. "INT0ID,Interrupt Identifier (the number here indicates the source of the interrupt)0x0001-0x0080: Number of message object which caused the interrupt" line.long 0x14 "DCAN_TEST,Test Register For all test modes. the TEST bit in control register needs to be set to 1" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x14 9. "RDA,RAM direct access enable" "RDA_0,RDA_1" bitfld.long 0x14 8. "EXL,External loopback mode" "EXL_0,EXL_1" newline rbitfld.long 0x14 7. "RX,Receive pin" "RX_0,RX_1" bitfld.long 0x14 5.--6. "TX,Control of CAN_TX pin" "TX_0,TX_1,TX_2,TX_3" bitfld.long 0x14 4. "LBACK,Loopback mode" "LBACK_0,LBACK_1" newline bitfld.long 0x14 3. "SILENT,Silent mode" "SILENT_0,SILENT_1" rbitfld.long 0x14 0.--2. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" rgroup.long 0x1C++0x07 line.long 0x00 "DCAN_PERR,Parity Error Code Register If a parity error is detected. the PER flag will be set in" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x00 8.--10. "WORD_NUMBER,Word number where parity error has been detectedRDA word number (1 to 5) of the message object (according to the message RAM representation in RDA mode)" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--7. 1. "MESSAGE_NUMBER,Message object number where parity error has been detected (0x01-0x80)" line.long 0x04 "DCAN_REL,Core revision register" group.long 0x80++0x53 line.long 0x00 "DCAN_ABOTR,Auto-Bus-On Time Register On write access to the while Auto-Bus-On timer is running. the Auto-Bus-On procedure will be aborted" line.long 0x04 "DCAN_TXRQ_X,Transmission Request X Register The software can detect if one or more bits in the different transmission request registers are set" hexmask.long.word 0x04 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x04 14.--15. "TXRQSTREG8,Transmission request bits (aggregate for 113-128 message objects)" "0,1,2,3" bitfld.long 0x04 12.--13. "TXRQSTREG7,Transmission request bits (aggregate for 97-112 message objects)" "0,1,2,3" newline bitfld.long 0x04 10.--11. "TXRQSTREG6,Transmission request bits (aggregate for 81-96 message objects)" "0,1,2,3" bitfld.long 0x04 8.--9. "TXRQSTREG5,Transmission request bits (aggregate for 65-80 message objects)" "0,1,2,3" bitfld.long 0x04 6.--7. "TXRQSTREG4,Transmission request bits (aggregate for 49-64 message objects)" "0,1,2,3" newline bitfld.long 0x04 4.--5. "TXRQSTREG3,Transmission request bits (aggregate for 33-48 message objects)" "0,1,2,3" bitfld.long 0x04 2.--3. "TXRQSTREG2,Transmission request bits (aggregate for 17-32 message objects)" "0,1,2,3" bitfld.long 0x04 0.--1. "TXRQSTREG1,Transmission request bits (aggregate for 1-16 message objects)" "0,1,2,3" line.long 0x08 "DCAN_TXRQ12,Transmission Request Register This register holds the TxRqst bits of the implemented message objects" line.long 0x0C "DCAN_TXRQ34,Transmission Request Register This register holds the TxRqst bits of the implemented message objects" line.long 0x10 "DCAN_TXRQ56,Transmission Request Register This register holds the TxRqst bits of the implemented message objects" line.long 0x14 "DCAN_TXRQ78,Transmission Request Register This register holds the TxRqst bits of the implemented message objects" line.long 0x18 "DCAN_NWDAT_X,New Data X Register With the new data X register. the software can detect if one or more bits in the different new data registers are set" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14.--15. "NEWDATREG8,New data bits (aggregate for 113-128 message objects)" "0,1,2,3" bitfld.long 0x18 12.--13. "NEWDATREG7,New data bits (aggregate for 97-112 message objects)" "0,1,2,3" newline bitfld.long 0x18 10.--11. "NEWDATREG6,New data bits (aggregate for 81-96 message objects)" "0,1,2,3" bitfld.long 0x18 8.--9. "NEWDATREG5,New data bits (aggregate for 65-80 message objects)" "0,1,2,3" bitfld.long 0x18 6.--7. "NEWDATREG4,New data bits (aggregate for 49-64 message objects)" "0,1,2,3" newline bitfld.long 0x18 4.--5. "NEWDATREG3,New data bits (aggregate for 33-48 message objects)" "0,1,2,3" bitfld.long 0x18 2.--3. "NEWDATREG2,New data bits (aggregate for 17-32 message objects)" "0,1,2,3" bitfld.long 0x18 0.--1. "NEWDATREG1,New data bits (aggregate for 1-16 message objects)" "0,1,2,3" line.long 0x1C "DCAN_NWDAT12,New Data Register This register hold the NewDat bits of the implemented message objects" line.long 0x20 "DCAN_NWDAT34,New Data Register This register hold the NewDat bits of the implemented message objects" line.long 0x24 "DCAN_NWDAT56,New Data Register This register hold the NewDat bits of the implemented message objects" line.long 0x28 "DCAN_NWDAT78,New Data Register This register hold the NewDat bits of the implemented message objects" line.long 0x2C "DCAN_INTPND_X,Interrupt Pending X Register With the interrupt pending X register. the software can detect if one or more bits in the different interrupt pending registers are set" hexmask.long.word 0x2C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 14.--15. "INTPNDREG8,Interrupt Pending bits (aggregate for 113-128 message objects)" "0,1,2,3" bitfld.long 0x2C 12.--13. "INTPNDREG7,Interrupt Pending bits (aggregate for 97-112 message objects)" "0,1,2,3" newline bitfld.long 0x2C 10.--11. "INTPNDREG6,Interrupt Pendingbits (aggregate for 81-96 message objects)" "0,1,2,3" bitfld.long 0x2C 8.--9. "INTPNDREG5,Interrupt Pending bits (aggregate for 65-80 message objects)" "0,1,2,3" bitfld.long 0x2C 6.--7. "INTPNDREG4,Interrupt Pending bits (aggregate for 49-64 message objects)" "0,1,2,3" newline bitfld.long 0x2C 4.--5. "INTPNDREG3,Interrupt Pending bits (aggregate for 33-48 message objects)" "0,1,2,3" bitfld.long 0x2C 2.--3. "INTPNDREG2,Interrupt Pending bits (aggregate for 17-32 message objects)" "0,1,2,3" bitfld.long 0x2C 0.--1. "INTPNDREG1,Interrupt Pending bits (aggregate for 1-16 message objects)" "0,1,2,3" line.long 0x30 "DCAN_INTPND12,Interrupt Pending Register This register holds the IntPnd bits of the implemented message objects" line.long 0x34 "DCAN_INTPND34,Interrupt Pending Register This register holds the IntPnd bits of the implemented message objects" line.long 0x38 "DCAN_INTPND56,Interrupt Pending Register This register holds the IntPnd bits of the implemented message objects" line.long 0x3C "DCAN_INTPND78,Interrupt Pending Register This register holds the IntPnd bits of the implemented message objects" line.long 0x40 "DCAN_MSGVAL_X,Message Valid X Register With the message valid X register. the software can detect if one or more bits in the different message valid registers are set" hexmask.long.word 0x40 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x40 14.--15. "MSGVALREG8,Message valid bits (aggregate for 113-128 message objects)" "0,1,2,3" bitfld.long 0x40 12.--13. "MSGVALREG7,Message valid bits (aggregate for 97-112 message objects)" "0,1,2,3" newline bitfld.long 0x40 10.--11. "MSGVALREG6,Message valid bits (aggregate for 81-96 message objects)" "0,1,2,3" bitfld.long 0x40 8.--9. "MSGVALREG5,Message valid bits (aggregate for 65-80 message objects)" "0,1,2,3" bitfld.long 0x40 6.--7. "MSGVALREG4,Message valid bits (aggregate for 49-64 message objects)" "0,1,2,3" newline bitfld.long 0x40 4.--5. "MSGVALREG3,Message valid bits (aggregate for 33-48 message objects)" "0,1,2,3" bitfld.long 0x40 2.--3. "MSGVALREG2,Message valid bits (aggregate for 17-32 message objects)" "0,1,2,3" bitfld.long 0x40 0.--1. "MSGVALREG1,Message valid bits (aggregate for 1-16 message objects)" "0,1,2,3" line.long 0x44 "DCAN_MSGVAL12,Message Valid Register These registers hold the MsgVal bits of the implemented message objects" line.long 0x48 "DCAN_MSGVAL34,Message Valid Register These registers hold the MsgVal bits of the implemented message objects" line.long 0x4C "DCAN_MSGVAL56,Message Valid Register These registers hold the MsgVal bits of the implemented message objects" line.long 0x50 "DCAN_MSGVAL78,Message Valid Register These registers hold the MsgVal bits of the implemented message objects" group.long 0xD8++0x0F line.long 0x00 "DCAN_INTMUX12,Interrupt Multiplexer Register The IntMux flag determine for each message object. which of the two interrupt lines (INT0 or INT1) will be asserted when the IntPnd of this message object is set" line.long 0x04 "DCAN_INTMUX34,Interrupt Multiplexer Register The IntMux flag determine for each message object. which of the two interrupt lines (INT0 or INT1) will be asserted when the IntPnd of this message object is set" line.long 0x08 "DCAN_INTMUX56,Interrupt Multiplexer Register The IntMux flag determine for each message object. which of the two interrupt lines (INT0 or INT1) will be asserted when the IntPnd of this message object is set" line.long 0x0C "DCAN_INTMUX78,Interrupt Multiplexer Register The IntMux flag determine for each message object. which of the two interrupt lines (INT0 or INT1) will be asserted when the IntPnd of this message object is set" group.long 0x100++0x17 line.long 0x00 "DCAN_IF1CMD,IF1 Command Register The IF1 Command Register () configure and initiate the transfer between the IF1 register set and the message RAM" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x00 23. "WR_RD,Write/Read" "WR_RD_0,WR_RD_1" bitfld.long 0x00 22. "MASK,Access mask bits" "MASK_0,MASK_1" newline bitfld.long 0x00 21. "ARB,Access arbitration bits" "ARB_0,ARB_1" bitfld.long 0x00 20. "CONTROL,Access control bitsIf the TXRQST_NEWDAT bit in this register(Bit [18]) is set the TXRQST/ NEWDAT bits in the will be ignored" "CONTROL_0,CONTROL_1" bitfld.long 0x00 19. "CLRINTPND,Clear interrupt pending bit" "CLRINTPND_0,CLRINTPND_1" newline bitfld.long 0x00 18. "TXRQST_NEWDAT,Access transmission request bitNote: If a CAN transmission is requested by setting TXRQST_NEWDAT in this register the TxRqst/NewDat bits in the message object will be set to one independent of the values in" "TXRQST_NEWDAT_0,TXRQST_NEWDAT_1" bitfld.long 0x00 17. "DATA_A,Access Data Bytes" "DATA_A_0,DATA_A_1" bitfld.long 0x00 16. "DATA_B,Access Data Bytes" "DATA_B_0,DATA_B_1" newline bitfld.long 0x00 15. "BUSY,Busy flagThis bit is set to one after the message number has been written to bits [7:0] MESSAGE_NUMBER" "BUSY_0,BUSY_1" bitfld.long 0x00 14. "DMAACTIVE,Activation of DMA feature for subsequent internal IF1 updateThe DMA request remains active until the first read or write to one of the IF1 registers; an exception is a write to MESSAGE_NUMBER when DMAACTIVE is one" "DMAACTIVE_0,DMAACTIVE_1" rbitfld.long 0x00 8.--13. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 0.--7. 1. "MESSAGE_NUMBER,Number of message object in message RAM which is used for data transfer0x01-0x80: Valid message numbers" line.long 0x04 "DCAN_IF1MSK,IF1 Mask Register The bits of the IF1/IF2 mask registers mirror the mask bits of a message object" bitfld.long 0x04 31. "MXTD,Mask Extended IdentifierWhen 11-bit ('standard') identifiers are used for a message object the identifiers of received data frames are written into bits ID[28:18]" "MXTD_0,MXTD_1" bitfld.long 0x04 30. "MDIR,Mask Message Direction" "MDIR_0,MDIR_1" rbitfld.long 0x04 29. "RESERVED,This bit is always read as 1" "0,1" newline hexmask.long 0x04 0.--28. 1. "MSK,Identifier Mask" line.long 0x08 "DCAN_IF1ARB,IF1 arbitration register The Arbitration bits ID[28:0]. XTD. and DIR are used to define the identifier and type of outgoing messages and (together with the mask bits MSK[28:0]. MXTD. and MDIR) for acceptance filtering of incoming messages" bitfld.long 0x08 31. "MSGVAL,Message validThe software should reset the MsgVal bit of all unused Messages Objects during the initialization before it resets bit INIT in" "MSGVAL_0,MSGVAL_1" bitfld.long 0x08 30. "XTD,Extended identifier" "XTD_0,XTD_1" bitfld.long 0x08 29. "DIR,Message direction" "DIR_0,DIR_1" newline hexmask.long 0x08 0.--28. 1. "ID,Message identifierID[28:0]: 29-bit identifier (extended frame)" line.long 0x0C "DCAN_IF1MCTL,IF1 Message Control Register The bits of the IF1/IF2 message control registers mirror the message control bits of a message object" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x0C 15. "NEWDAT,New data" "NEWDAT_0,NEWDAT_1" bitfld.long 0x0C 14. "MSGLST,Message lost (only valid for message objects with direction = receive)" "MSGLST_0,MSGLST_1" newline bitfld.long 0x0C 13. "INTPND,Interrupt pending" "INTPND_0,INTPND_1" bitfld.long 0x0C 12. "UMASK,Use acceptance maskIf the UMASK bit is set to one the message object's mask bits have to be programmed during initialization of the message object before MsgVal is set to one" "UMASK_0,UMASK_1" bitfld.long 0x0C 11. "TXIE,Transmit interrupt enable" "TXIE_0,TXIE_1" newline bitfld.long 0x0C 10. "RXIE,Receive interrupt enable" "RXIE_0,RXIE_1" bitfld.long 0x0C 9. "RMTEN,Remote enable" "RMTEN_0,RMTEN_1" bitfld.long 0x0C 8. "TXRQST,Transmit request" "TXRQST_0,TXRQST_1" newline bitfld.long 0x0C 7. "EOB,End of BlockNote: This bit is used to concatenate multiple message objects to build a FIFO Buffer" "EOB_0,EOB_1" rbitfld.long 0x0C 4.--6. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0.--3. "DLC,Data length code0-8: Data frame has 0-8 data bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "DCAN_IF1DATA,IF1 Data A Register The data bytes of CAN messages are stored in the IF1/IF2 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x10 24.--31. 1. "DATA_3,Data byte 3" hexmask.long.byte 0x10 16.--23. 1. "DATA_2,Data byte 2" hexmask.long.byte 0x10 8.--15. 1. "DATA_1,Data byte 1" newline hexmask.long.byte 0x10 0.--7. 1. "DATA_0,Data byte 0" line.long 0x14 "DCAN_IF1DATB,IF1 Data B Register The data bytes of CAN messages are stored in the IF1/IF2 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x14 24.--31. 1. "DATA_7,Data byte 7" hexmask.long.byte 0x14 16.--23. 1. "DATA_6,Data byte 6" hexmask.long.byte 0x14 8.--15. 1. "DATA_5,Data byte 5" newline hexmask.long.byte 0x14 0.--7. 1. "DATA_4,Data byte 4" group.long 0x120++0x17 line.long 0x00 "DCAN_IF2CMD,IF2 Command Register The IF2 Command Register () configure and initiate the transfer between the IF2 register set and the message RAM" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x00 23. "WR_RD,Write/Read" "WR_RD_0,WR_RD_1" bitfld.long 0x00 22. "MASK,Access mask bits" "MASK_0,MASK_1" newline bitfld.long 0x00 21. "ARB,Access arbitration bits" "ARB_0,ARB_1" bitfld.long 0x00 20. "CONTROL,Access control bitsIf the TXRQST_NEWDAT bit in this register(Bit [18]) is set the TXRQST/ NEWDAT bits in the/ will be ignored" "CONTROL_0,CONTROL_1" bitfld.long 0x00 19. "CLRINTPND,Clear interrupt pending bit" "CLRINTPND_0,CLRINTPND_1" newline bitfld.long 0x00 18. "TXRQST_NEWDAT,Access transmission request bitNote: If a CAN transmission is requested by setting TXRQST_NEWDAT in this register the TxRqst/NewDat bits in the message object will be set to one independent of the values in/" "TXRQST_NEWDAT_0,TXRQST_NEWDAT_1" bitfld.long 0x00 17. "DATA_A,Access Data Bytes" "DATA_A_0,DATA_A_1" bitfld.long 0x00 16. "DATA_B,Access Data Bytes" "DATA_B_0,DATA_B_1" newline bitfld.long 0x00 15. "BUSY,Busy flagThis bit is set to one after the message number has been written to bits [7:0] MESSAGE_NUMBER" "BUSY_0,BUSY_1" bitfld.long 0x00 14. "DMAACTIVE,Activation of DMA feature for subsequent internal IF2 updateThe DMA request remains active until the first read or write to one of the IF2 registers; an exception is a write to MESSAGE_NUMBER when DMAACTIVE is one" "DMAACTIVE_0,DMAACTIVE_1" rbitfld.long 0x00 8.--13. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x00 0.--7. 1. "MESSAGE_NUMBER,Number of message object in message RAM which is used for data transfer0x01-0x80: Valid message numbers" line.long 0x04 "DCAN_IF2MSK,IF2 Mask Register The bits of the IF1/IF2 mask registers mirror the mask bits of a message object" bitfld.long 0x04 31. "MXTD,Mask Extended IdentifierWhen 11-bit ('standard') identifiers are used for a message object the identifiers of received data frames are written into bits ID[28:18]" "MXTD_0,MXTD_1" bitfld.long 0x04 30. "MDIR,Mask Message Direction" "MDIR_0,MDIR_1" rbitfld.long 0x04 29. "RESERVED,This bit is always read as 1" "0,1" newline hexmask.long 0x04 0.--28. 1. "MSK,Identifier Mask" line.long 0x08 "DCAN_IF2ARB,IF2 arbitration register The Arbitration bits ID[28:0]. XTD. and DIR are used to define the identifier and type of outgoing messages and (together with the mask bits MSK[28:0]. MXTD. and MDIR) for acceptance filtering of incoming messages" bitfld.long 0x08 31. "MSGVAL,Message validThe software should reset the MsgVal bit of all unused Messages Objects during the initialization before it resets bit INIT in" "MSGVAL_0,MSGVAL_1" bitfld.long 0x08 30. "XTD,Extended identifier" "XTD_0,XTD_1" bitfld.long 0x08 29. "DIR,Message direction" "DIR_0,DIR_1" newline hexmask.long 0x08 0.--28. 1. "ID,Message identifierID[28:0]: 29-bit identifier (extended frame)" line.long 0x0C "DCAN_IF2MCTL,IF2 Message Control Register The bits of the IF1/IF2 message control registers mirror the message control bits of a message object" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x0C 15. "NEWDAT,New data" "NEWDAT_0,NEWDAT_1" bitfld.long 0x0C 14. "MSGLST,Message lost (only valid for message objects with direction = receive)" "MSGLST_0,MSGLST_1" newline bitfld.long 0x0C 13. "INTPND,Interrupt pending" "INTPND_0,INTPND_1" bitfld.long 0x0C 12. "UMASK,Use acceptance maskIf the UMask bit is set to one the message object's mask bits have to be programmed during initialization of the message object before MsgVal is set to one" "UMASK_0,UMASK_1" bitfld.long 0x0C 11. "TXIE,Transmit interrupt enable" "TXIE_0,TXIE_1" newline bitfld.long 0x0C 10. "RXIE,Receive interrupt enable" "RXIE_0,RXIE_1" bitfld.long 0x0C 9. "RMTEN,Remote enable" "RMTEN_0,RMTEN_1" bitfld.long 0x0C 8. "TXRQST,Transmit request" "TXRQST_0,TXRQST_1" newline bitfld.long 0x0C 7. "EOB,End of BlockNote: This bit is used to concatenate multiple message objects to build a FIFO Buffer" "EOB_0,EOB_1" rbitfld.long 0x0C 4.--6. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0.--3. "DLC,Data length code0-8: Data frame has 0-8 data bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "DCAN_IF2DATA,IF2 Data A Register The data bytes of CAN messages are stored in the IF1/IF2 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x10 24.--31. 1. "DATA_3,Data byte 3" hexmask.long.byte 0x10 16.--23. 1. "DATA_2,Data byte 2" hexmask.long.byte 0x10 8.--15. 1. "DATA_1,Data byte 1" newline hexmask.long.byte 0x10 0.--7. 1. "DATA_0,Data byte 0" line.long 0x14 "DCAN_IF2DATB,IF2 Data B Register The data bytes of CAN messages are stored in the IF1/IF2 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x14 24.--31. 1. "DATA_7,Data byte 7" hexmask.long.byte 0x14 16.--23. 1. "DATA_6,Data byte 6" hexmask.long.byte 0x14 8.--15. 1. "DATA_5,Data byte 5" newline hexmask.long.byte 0x14 0.--7. 1. "DATA_4,Data byte 4" group.long 0x140++0x17 line.long 0x00 "DCAN_IF3OBS,IF3 Observation Register The IF3 register set can automatically be updated with received message objects without the need to initiate the transfer from message RAM by software (Additional information can be found in NOTE: If IF3 Update.." hexmask.long.word 0x00 16.--31. 1. "RESERVED,These bits are always read as 0" rbitfld.long 0x00 15. "IF3_UPD,IF3 Update Data" "IF3_UPD_0,IF3_UPD_1" rbitfld.long 0x00 13.--14. "RESERVED,These bits are always read as 0" "0,1,2,3" newline rbitfld.long 0x00 12. "IF3_SDB,IF3 Status of Data B read access" "IF3_SDB_0,IF3_SDB_1" rbitfld.long 0x00 11. "IF3_SDA,IF3 Status of Data A read access" "IF3_SDA_0,IF3_SDA_1" rbitfld.long 0x00 10. "IF3_SC,IF3 Status of control bits read access" "IF3_SC_0,IF3_SC_1" newline rbitfld.long 0x00 9. "IF3_SA,IF3 Status of Arbitration data read access" "IF3_SA_0,IF3_SA_1" rbitfld.long 0x00 8. "IF3_SM,IF3 Status of Mask data read access" "IF3_SM_0,IF3_SM_1" rbitfld.long 0x00 5.--7. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4. "DATAB,Data B read observation" "DATAB_0,DATAB_1" bitfld.long 0x00 3. "DATAA,Data A read observation" "DATAA_0,DATAA_1" bitfld.long 0x00 2. "CTRL,Ctrl read observation" "CTRL_0,CTRL_1" newline bitfld.long 0x00 1. "ARB,Arbitration data read observation" "ARB_0,ARB_1" bitfld.long 0x00 0. "MASK,Mask data read observation" "MASK_0,MASK_1" line.long 0x04 "DCAN_IF3MSK,IF3 Mask Register" rbitfld.long 0x04 31. "MXTD,Mask Extended IdentifierWhen 11-bit ('standard') identifiers are used for a message object the identifiers of received data frames are written into bits ID[28:18]" "MXTD_0,MXTD_1" rbitfld.long 0x04 30. "MDIR,Mask Message Direction" "MDIR_0,MDIR_1" rbitfld.long 0x04 29. "RESERVED,These bits are always read as 1" "0,1" newline hexmask.long 0x04 0.--28. 1. "MSK,Identifier Mask" line.long 0x08 "DCAN_IF3ARB,IF3 Arbitration Register" bitfld.long 0x08 31. "MSGVAL,Message ValidThe software should reset the MsgVal bit of all unused Messages Objects during the initialization before it resets bit INIT in" "MSGVAL_0,MSGVAL_1" bitfld.long 0x08 30. "XTD,Extended Identifier" "XTD_0,XTD_1" bitfld.long 0x08 29. "DIR,Message Direction" "DIR_0,DIR_1" newline hexmask.long 0x08 0.--28. 1. "ID,Message IdentifierID[28:0]: 29-bit Identifier ('extended frame')" line.long 0x0C "DCAN_IF3MCTL,IF3 Message Control Register" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x0C 15. "NEWDAT,New Data" "NEWDAT_0,NEWDAT_1" bitfld.long 0x0C 14. "MSGLST,Message Lost (only valid for message objects with direction = receive)" "MSGLST_0,MSGLST_1" newline bitfld.long 0x0C 13. "INTPND,Interrupt Pending" "INTPND_0,INTPND_1" bitfld.long 0x0C 12. "UMASK,Use Acceptance MaskIf the UMASK bit is set to one the message object's mask bits have to be programmed during initialization of the message object before MsgVal is set to one" "UMASK_0,UMASK_1" bitfld.long 0x0C 11. "TXIE,Transmit Interrupt enable" "TXIE_0,TXIE_1" newline bitfld.long 0x0C 10. "RXIE,Receive Interrupt enable" "RXIE_0,RXIE_1" bitfld.long 0x0C 9. "RMTEN,Remote enable" "RMTEN_0,RMTEN_1" bitfld.long 0x0C 8. "TXRQST,Transmit Request" "TXRQST_0,TXRQST_1" newline bitfld.long 0x0C 7. "EOB,End of BlockNote: This bit is used to concatenate multiple message objects to build a FIFO Buffer" "EOB_0,EOB_1" bitfld.long 0x0C 4.--6. "RESERVED,These bits are always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0.--3. "DLC,Data Length Code0-8: Data frame has 0-8 data bits" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "DCAN_IF3DATA,IF3 Data A The data bytes of CAN messages are stored in the IF3 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x10 24.--31. 1. "DATA_3,Data byte 3" hexmask.long.byte 0x10 16.--23. 1. "DATA_2,Data byte 2" hexmask.long.byte 0x10 8.--15. 1. "DATA_1,Data byte 1" newline hexmask.long.byte 0x10 0.--7. 1. "DATA_0,Data byte 0" line.long 0x14 "DCAN_IF3DATB,IF3 Data B The data bytes of CAN messages are stored in the IF3 registers in the following order: In a CAN data frame. Data 0 is the first. and Data 7 is the last byte to be transmitted or received" hexmask.long.byte 0x14 24.--31. 1. "DATA_7,Data byte 7" hexmask.long.byte 0x14 16.--23. 1. "DATA_6,Data byte 6" hexmask.long.byte 0x14 8.--15. 1. "DATA_5,Data byte 5" newline hexmask.long.byte 0x14 0.--7. 1. "DATA_4,Data byte 4" group.long 0x160++0x0F line.long 0x00 "DCAN_IF3UPD12,Update Enable Register The automatic update functionality of the IF3 register set can be configured for each message object" line.long 0x04 "DCAN_IF3UPD34,Update Enable Register The automatic update functionality of the IF3 register set can be configured for each message object" line.long 0x08 "DCAN_IF3UPD56,Update Enable Register The automatic update functionality of the IF3 register set can be configured for each message object" line.long 0x0C "DCAN_IF3UPD78,Update Enable Register The automatic update functionality of the IF3 register set can be configured for each message object" group.long 0x1E0++0x07 line.long 0x00 "DCAN_TIOC,TX I/O Control Register The CAN_TX pin of the DCAN module can be used as general purpose IO pin if CAN function is not needed" hexmask.long.word 0x00 19.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x00 18. "PU,CAN_TX pull up/pull down select" "PU_0,PU_1" bitfld.long 0x00 17. "PD,CAN_TX pull disable" "PD_0,PD_1" newline bitfld.long 0x00 16. "OD,CAN_TX open drain enable" "OD_0,OD_1" hexmask.long.word 0x00 4.--15. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x00 3. "FUNC,CAN_TX function" "FUNC_0,FUNC_1" newline bitfld.long 0x00 2. "DIR,CAN_TX data direction" "DIR_0,DIR_1" bitfld.long 0x00 1. "OUT,CAN_TX data out" "OUT_0,OUT_1" bitfld.long 0x00 0. "IN,CAN_TX data inNote: When CAN_TX pin is connected to a CAN transceiver an external pullup resistor has to be used to ensure that the CAN bus will not be disturbed (e.g. while reset of the DCAN module)" "IN_0,IN_1" line.long 0x04 "DCAN_RIOC,RX I/O Control Register The CAN_RX pin of the DCAN_module can be used as general purpose IO pin if CAN function is not needed" hexmask.long.word 0x04 19.--31. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x04 18. "PU,CAN_RX pull up/pull down select" "PU_0,PU_1" bitfld.long 0x04 17. "PD,CAN_RX pull disable" "PD_0,PD_1" newline bitfld.long 0x04 16. "OD,CAN_RX open drain enable" "OD_0,OD_1" hexmask.long.word 0x04 4.--15. 1. "RESERVED,These bits are always read as 0" bitfld.long 0x04 3. "FUNC,CAN_RX function" "FUNC_0,FUNC_1" newline bitfld.long 0x04 2. "DIR,CAN_RX data direction" "DIR_0,DIR_1" bitfld.long 0x04 1. "OUT,CAN_RX data out" "OUT_0,OUT_1" bitfld.long 0x04 0. "IN,CAN_RX data in" "IN_0,IN_1" tree.end repeat.end tree "DCAN1_TARG" base ad:0x4AE3E000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DCAN2_TARG" base ad:0x48482000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DEBUGSS_CT_TBR_FW" base ad:0x4A224000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "DEBUGSS_CT_TBR_FW_CFG_TARG" base ad:0x4A225000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DEBUGSS_CT_TBR_TARG" base ad:0x45000300 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DEVICE_PRM" base ad:0x4AE07D00 group.long 0x00++0x0B line.long 0x00 "PRM_RSTCTRL,Global software cold and warm reset control" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_GLOBAL_COLD_SW,Global COLD software reset control" "RST_GLOBAL_COLD_SW_0,RST_GLOBAL_COLD_SW_1" newline bitfld.long 0x00 0. "RST_GLOBAL_WARM_SW,Global WARM software reset control" "RST_GLOBAL_WARM_SW_0,RST_GLOBAL_WARM_SW_1" line.long 0x04 "PRM_RSTST,This register logs the global reset sources" hexmask.long.word 0x04 17.--31. 1. "RESERVED," bitfld.long 0x04 16. "TSHUT_IVA_RST,TSHUT_IVA warm reset event" "TSHUT_IVA_RST_0,TSHUT_IVA_RST_1" newline bitfld.long 0x04 15. "TSHUT_DSPEVE_RST,TSHUT_DSPEVE warm reset event" "TSHUT_DSPEVE_RST_0,TSHUT_DSPEVE_RST_1" rbitfld.long 0x04 14. "RESERVED," "0,1" newline bitfld.long 0x04 13. "TSHUT_CORE_RST,TSHUT_CORE warm reset event" "TSHUT_CORE_RST_0,TSHUT_CORE_RST_1" bitfld.long 0x04 12. "TSHUT_MM_RST,TSHUT_GPU warm reset event" "TSHUT_MM_RST_0,TSHUT_MM_RST_1" newline bitfld.long 0x04 11. "TSHUT_MPU_RST,TSHUT_MPU warm reset event" "TSHUT_MPU_RST_0,TSHUT_MPU_RST_1" rbitfld.long 0x04 10. "RESERVED," "0,1" newline bitfld.long 0x04 9. "ICEPICK_RST,IcePick reset event" "ICEPICK_RST_0,ICEPICK_RST_1" rbitfld.long 0x04 8. "RESERVED," "0,1" newline rbitfld.long 0x04 7. "RESERVED," "0,1" rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "EXTERNAL_WARM_RST,External warm reset event" "EXTERNAL_WARM_RST_0,EXTERNAL_WARM_RST_1" rbitfld.long 0x04 4. "RESERVED," "0,1" newline bitfld.long 0x04 3. "MPU_WDT_RST,WD_TIMER2 and MPU subsystem watchdog reset event" "MPU_WDT_RST_0,MPU_WDT_RST_1" rbitfld.long 0x04 2. "RESERVED," "0,1" newline bitfld.long 0x04 1. "GLOBAL_WARM_SW_RST,Global warm software reset event" "GLOBAL_WARM_SW_RST_0,GLOBAL_WARM_SW_RST_1" bitfld.long 0x04 0. "GLOBAL_COLD_RST,Power-on (cold) reset event" "GLOBAL_COLD_RST_0,GLOBAL_COLD_RST_1" line.long 0x08 "PRM_RSTTIME,Reset duration control" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED," bitfld.long 0x08 10.--14. "RSTTIME2,Power domain reset duration 2 in number of RM.SYSCLK clock cycles" "RSTTIME2_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x08 0.--9. 1. "RSTTIME1,Global reset duration 1 in number of Func_32k_clk clock cycles" group.long 0x18++0x0B line.long 0x00 "PRM_PSCON_COUNT,This register allows controlling 2 parameters for power state controller" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "HG_PONOUT_2_PGOODIN_TIME,The value 'NbCycles' set in this field determines the duration of the PONOUT to PGOODIN transition for power domain without DPS" newline hexmask.long.byte 0x00 8.--15. 1. "PONOUT_2_PGOODIN_TIME,The value 'NbCycles' set in this field determines the duration of the PONOUT to PGOODIN transition for power domain without DPS" hexmask.long.byte 0x00 0.--7. 1. "PCHARGE_TIME,Number of system clock cycles for the SRAM pre-charge duration" line.long 0x04 "PRM_IO_COUNT,This register allows controlling DDR IO isolation removal setup" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "ISO_2_ON_TIME,Determines the setup time of the DDR IOs going out of isolation" line.long 0x08 "PRM_IO_PMCTRL,This register allows controlling power management features of the IOs" hexmask.long.word 0x08 17.--31. 1. "RESERVED," bitfld.long 0x08 16. "GLOBAL_WUEN,Global IO wakeup enable" "GLOBAL_WUEN_0,GLOBAL_WUEN_1" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x08 9. "WUCLK_STATUS,Gives value of WUCLKOUT signal coming back from IO pad ring" "0,1" newline bitfld.long 0x08 8. "WUCLK_CTRL,Direct control on WUCLKIN signal to IO pad ring" "WUCLK_CTRL_0,WUCLK_CTRL_1" rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline rbitfld.long 0x08 5. "IO_ON_STATUS,Gives the functional status of the IO ring" "IO_ON_STATUS_0,IO_ON_STATUS_1" bitfld.long 0x08 4. "ISOOVR_EXTEND,Control non-EMIF IO isolation extension upon a device wakeup from OFF mode" "ISOOVR_EXTEND_0,ISOOVR_EXTEND_1" newline rbitfld.long 0x08 2.--3. "RESERVED," "0,1,2,3" rbitfld.long 0x08 1. "ISOCLK_STATUS,Gives value of ISOCLKOUT signal coming back from IO pad ring" "0,1" newline bitfld.long 0x08 0. "ISOCLK_OVERRIDE,Override control on ISOCLKIN signal to IO pad ring" "ISOCLK_OVERRIDE_0,ISOCLK_OVERRIDE_1" group.long 0xBC++0x03 line.long 0x00 "PRM_SRAM_COUNT,Common setup for SRAM LDO transition counters" hexmask.long.byte 0x00 24.--31. 1. "STARTUP_COUNT,Determines the start-up duration of SRAM and ABB LDO" hexmask.long.byte 0x00 16.--23. 1. "SLPCNT_VALUE,Delay between retention/off assertion of last SRAM bank and SRAMALLRET signal to LDO is driven high" newline hexmask.long.byte 0x00 8.--15. 1. "VSETUPCNT_VALUE,SRAM LDO rampup time from retention to active mode" rbitfld.long 0x00 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--5. "PCHARGECNT_VALUE,Delay between de-assertion of standby_rta_ret_on and standby_rta_ret_good" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0xC4++0x3B line.long 0x00 "PRM_SLDO_CORE_SETUP,Setup of the SRAM LDO for CORE voltage domain" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "AIPOFF,Override on AIPOFF input of SRAM LDO" "AIPOFF_0,AIPOFF_1" newline bitfld.long 0x00 7. "ENFUNC5,ENFUNC5 input of SRAM LDO" "ENFUNC5_0,ENFUNC5_1" bitfld.long 0x00 6. "ENFUNC4,ENFUNC4 input of SRAM LDO" "ENFUNC4_0,ENFUNC4_1" newline bitfld.long 0x00 5. "ENFUNC3,ENFUNC3 input of SRAM LDO" "ENFUNC3_0,ENFUNC3_1" bitfld.long 0x00 4. "ENFUNC2,ENFUNC2 input of SRAM LDO" "ENFUNC2_0,ENFUNC2_1" newline bitfld.long 0x00 3. "ENFUNC1,ENFUNC1 input of SRAM LDO" "ENFUNC1_0,ENFUNC1_1" bitfld.long 0x00 2. "ABBOFF_SLEEP,Determines whether SRAMNWA is supplied by VDDS or VDDAR during deep-sleep" "ABBOFF_SLEEP_0,ABBOFF_SLEEP_1" newline bitfld.long 0x00 1. "ABBOFF_ACT,Determines whether SRAMNWA is supplied by VDDS or VDDAR during active mode" "ABBOFF_ACT_0,ABBOFF_ACT_1" bitfld.long 0x00 0. "ENABLE_RTA,Control for HD memory RTA feature" "ENABLE_RTA_0,ENABLE_RTA_1" line.long 0x04 "PRM_SLDO_CORE_CTRL,Control and status of the SRAM LDO for CORE voltage domain" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," bitfld.long 0x04 9. "SRAM_IN_TRANSITION,Status indicating SRAM LDO state machine state" "SRAM_IN_TRANSITION_0,SRAM_IN_TRANSITION_1" newline bitfld.long 0x04 8. "SRAMLDO_STATUS,SRAMLDO status" "SRAMLDO_STATUS_0,SRAMLDO_STATUS_1" hexmask.long.byte 0x04 1.--7. 1. "RESERVED," newline bitfld.long 0x04 0. "RETMODE_ENABLE,Control if the SRAM LDO retention mode is used or not" "RETMODE_ENABLE_0,?" line.long 0x08 "PRM_SLDO_MPU_SETUP,Setup of the SRAM LDO for MPU voltage domain" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "AIPOFF,Override on AIPOFF input of SRAM LDO" "AIPOFF_0,AIPOFF_1" newline bitfld.long 0x08 7. "ENFUNC5,ENFUNC5 input of SRAM LDO" "ENFUNC5_0,ENFUNC5_1" bitfld.long 0x08 6. "ENFUNC4,ENFUNC4 input of SRAM LDO" "ENFUNC4_0,ENFUNC4_1" newline bitfld.long 0x08 5. "ENFUNC3,ENFUNC3 input of SRAM LDO" "ENFUNC3_0,ENFUNC3_1" bitfld.long 0x08 4. "ENFUNC2,ENFUNC2 input of SRAM LDO" "ENFUNC2_0,ENFUNC2_1" newline bitfld.long 0x08 3. "ENFUNC1,ENFUNC1 input of SRAM LDO" "ENFUNC1_0,ENFUNC1_1" bitfld.long 0x08 2. "ABBOFF_SLEEP,Determines whether SRAMNWA is supplied by VDDS or VDDAR during deep-sleep" "ABBOFF_SLEEP_0,ABBOFF_SLEEP_1" newline bitfld.long 0x08 1. "ABBOFF_ACT,Determines whether SRAMNWA is supplied by VDDS or VDDAR during active mode" "ABBOFF_ACT_0,ABBOFF_ACT_1" bitfld.long 0x08 0. "ENABLE_RTA,Control for HD memory RTA feature" "ENABLE_RTA_0,ENABLE_RTA_1" line.long 0x0C "PRM_SLDO_MPU_CTRL,Control and status of the SRAM LDO for MPU voltage domain" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," rbitfld.long 0x0C 9. "SRAM_IN_TRANSITION,Status indicating SRAM LDO state machine state" "SRAM_IN_TRANSITION_0,SRAM_IN_TRANSITION_1" newline rbitfld.long 0x0C 8. "SRAMLDO_STATUS,SRAMLDO status" "SRAMLDO_STATUS_0,SRAMLDO_STATUS_1" hexmask.long.byte 0x0C 1.--7. 1. "RESERVED," newline bitfld.long 0x0C 0. "RETMODE_ENABLE,Control if the SRAM LDO retention mode is used or not" "RETMODE_ENABLE_0,RETMODE_ENABLE_1" line.long 0x10 "PRM_SLDO_GPU_SETUP,Setup of the SRAM LDO for GPU voltage domain" hexmask.long.tbyte 0x10 9.--31. 1. "RESERVED," bitfld.long 0x10 8. "AIPOFF,Override on AIPOFF input of SRAM LDO" "AIPOFF_0,AIPOFF_1" newline bitfld.long 0x10 7. "ENFUNC5,ENFUNC5 input of SRAM LDO" "ENFUNC5_0,ENFUNC5_1" bitfld.long 0x10 6. "ENFUNC4,ENFUNC4 input of SRAM LDO" "ENFUNC4_0,ENFUNC4_1" newline bitfld.long 0x10 5. "ENFUNC3,ENFUNC3 input of SRAM LDO" "ENFUNC3_0,ENFUNC3_1" bitfld.long 0x10 4. "ENFUNC2,ENFUNC2 input of SRAM LDO" "ENFUNC2_0,ENFUNC2_1" newline bitfld.long 0x10 3. "ENFUNC1,ENFUNC1 input of SRAM LDO" "ENFUNC1_0,ENFUNC1_1" bitfld.long 0x10 2. "ABBOFF_SLEEP,Determines whether SRAMNWA is supplied by VDDS or VDDAR during deep-sleep" "ABBOFF_SLEEP_0,ABBOFF_SLEEP_1" newline bitfld.long 0x10 1. "ABBOFF_ACT,Determines whether SRAMNWA is supplied by VDDS or VDDAR during active mode" "ABBOFF_ACT_0,ABBOFF_ACT_1" bitfld.long 0x10 0. "ENABLE_RTA,Control for HD memory RTA feature" "ENABLE_RTA_0,ENABLE_RTA_1" line.long 0x14 "PRM_SLDO_GPU_CTRL,Control and status of the SRAM LDO for GPU voltage domain" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "SRAM_IN_TRANSITION,Status indicating SRAM LDO state machine state" "SRAM_IN_TRANSITION_0,SRAM_IN_TRANSITION_1" newline rbitfld.long 0x14 8. "SRAMLDO_STATUS,SRAMLDO status" "SRAMLDO_STATUS_0,SRAMLDO_STATUS_1" hexmask.long.byte 0x14 1.--7. 1. "RESERVED," newline bitfld.long 0x14 0. "RETMODE_ENABLE,Control if the SRAM LDO retention mode is used or not" "RETMODE_ENABLE_0,RETMODE_ENABLE_1" line.long 0x18 "PRM_ABBLDO_MPU_SETUP,Selects the MPU_ABB LDO mode" hexmask.long.word 0x18 16.--31. 1. "RESERVED," hexmask.long.byte 0x18 8.--15. 1. "SR2_WTCNT_VALUE,LDO settling time for active-mode OPP change" newline rbitfld.long 0x18 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x18 4. "RESERVED," "0,1" newline rbitfld.long 0x18 3. "RESERVED," "0,1" bitfld.long 0x18 2. "ACTIVE_FBB_SEL,Defines ABB LDO mode when voltage is in slow fast OPP" "ACTIVE_FBB_SEL_0,ACTIVE_FBB_SEL_1" newline rbitfld.long 0x18 1. "RESERVED," "0,1" bitfld.long 0x18 0. "SR2EN,Enable ABB power management" "SR2EN_0,SR2EN_1" line.long 0x1C "PRM_ABBLDO_MPU_CTRL,Control and Status of ABB on MPU voltage domain" hexmask.long 0x1C 7.--31. 1. "RESERVED," rbitfld.long 0x1C 6. "SR2_IN_TRANSITION,Indicates VBBLDO_CON is or is not in transition state" "SR2_IN_TRANSITION_0,SR2_IN_TRANSITION_1" newline rbitfld.long 0x1C 5. "RESERVED," "0,1" rbitfld.long 0x1C 3.--4. "SR2_STATUS,Indicate ABB LDO current operation status" "SR2_STATUS_0,SR2_STATUS_1,SR2_STATUS_2,SR2_STATUS_3" newline bitfld.long 0x1C 2. "OPP_CHANGE,When OPP_CHANGE is set to 1 VBBLDO_CON samples OPP_SEL ACTIVE_FBB_SEL upon detecting rising edge" "0,1" bitfld.long 0x1C 0.--1. "OPP_SEL,To control the ABB LDO (FBB/RBB) at a given OPP set to 0x1" "OPP_SEL_0,OPP_SEL_1,?,?" line.long 0x20 "PRM_ABBLDO_GPU_SETUP,Selects the GPU_ABB LDO mode" hexmask.long.word 0x20 16.--31. 1. "RESERVED," hexmask.long.byte 0x20 8.--15. 1. "SR2_WTCNT_VALUE,LDO settling time for active-mode OPP change" newline rbitfld.long 0x20 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x20 4. "RESERVED," "0,1" newline rbitfld.long 0x20 3. "RESERVED," "0,1" bitfld.long 0x20 2. "ACTIVE_FBB_SEL,Defines ABB LDO mode when voltage is in slow fast OPP" "ACTIVE_FBB_SEL_0,ACTIVE_FBB_SEL_1" newline rbitfld.long 0x20 1. "RESERVED," "0,1" bitfld.long 0x20 0. "SR2EN,Enable ABB power management" "SR2EN_0,SR2EN_1" line.long 0x24 "PRM_ABBLDO_GPU_CTRL,Control and Status of ABB on GPU voltage domain" hexmask.long 0x24 7.--31. 1. "RESERVED," rbitfld.long 0x24 6. "SR2_IN_TRANSITION,Indicates VBBLDO_CON is or is not in transition state" "SR2_IN_TRANSITION_0,SR2_IN_TRANSITION_1" newline rbitfld.long 0x24 5. "RESERVED," "0,1" rbitfld.long 0x24 3.--4. "SR2_STATUS,Indicate ABB LDO current operation status" "SR2_STATUS_0,SR2_STATUS_1,SR2_STATUS_2,SR2_STATUS_3" newline bitfld.long 0x24 2. "OPP_CHANGE,When OPP_CHANGE is set to 1 VBBLDO_CON samples OPP_SEL ACTIVE_FBB_SEL upon detecting rising edge" "0,1" bitfld.long 0x24 0.--1. "OPP_SEL,To control the ABB LDO (FBB/RBB) at a given OPP set to 0x1" "OPP_SEL_0,OPP_SEL_1,?,?" line.long 0x28 "PRM_BANDGAP_SETUP,Setup of the bandgap" hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED," hexmask.long.byte 0x28 0.--7. 1. "STARTUP_COUNT,Determines the start-up duration of BANDGAP" line.long 0x2C "PRM_DEVICE_OFF_CTRL,This register is used to control device OFF transition" hexmask.long.tbyte 0x2C 10.--31. 1. "RESERVED," bitfld.long 0x2C 9. "EMIF2_OFFWKUP_DISABLE,Controls the EMIF2_DEVICE_OFFWKUP_CORESRTACTST notifier sent to EMIF1 upon a device wakeup from OFF mode" "EMIF2_OFFWKUP_DISABLE_0,EMIF2_OFFWKUP_DISABLE_1" newline bitfld.long 0x2C 8. "EMIF1_OFFWKUP_DISABLE,Controls the EMIF1_DEVICE_OFFWKUP_CORESRTACTST notifier sent to EMIF2 upon a device wakeup from OFF mode" "EMIF1_OFFWKUP_DISABLE_0,EMIF1_OFFWKUP_DISABLE_1" hexmask.long.byte 0x2C 1.--7. 1. "RESERVED," newline bitfld.long 0x2C 0. "DEVICE_OFF_ENABLE,Controls transition to device OFF mode" "DEVICE_OFF_ENABLE_0,DEVICE_OFF_ENABLE_1" line.long 0x30 "PRM_PHASE1_CNDP,This register stores the start descriptor address of automatic restore phase1" line.long 0x34 "PRM_PHASE2A_CNDP,This register stores the start descriptor address of automatic restore phase2A" line.long 0x38 "PRM_PHASE2B_CNDP,This register stores the start descriptor address of automatic restore phase2B" group.long 0x118++0x1F line.long 0x00 "PRM_SLDO_DSPEVE_SETUP,Setup of the SRAM LDO for DSPEVE voltage domain" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "AIPOFF,Override on AIPOFF input of SRAM LDO" "AIPOFF_0,AIPOFF_1" newline bitfld.long 0x00 7. "ENFUNC5,ENFUNC5 input of SRAM LDO" "ENFUNC5_0,ENFUNC5_1" bitfld.long 0x00 6. "ENFUNC4,ENFUNC4 input of SRAM LDO" "ENFUNC4_0,ENFUNC4_1" newline bitfld.long 0x00 5. "ENFUNC3,ENFUNC3 input of SRAM LDO" "ENFUNC3_0,ENFUNC3_1" bitfld.long 0x00 4. "ENFUNC2,ENFUNC2 input of SRAM LDO" "ENFUNC2_0,ENFUNC2_1" newline bitfld.long 0x00 3. "ENFUNC1,ENFUNC1 input of SRAM LDO" "ENFUNC1_0,ENFUNC1_1" bitfld.long 0x00 2. "ABBOFF_SLEEP,Determines whether SRAMNWA is supplied by VDDS or VDDAR during deep-sleep" "ABBOFF_SLEEP_0,ABBOFF_SLEEP_1" newline bitfld.long 0x00 1. "ABBOFF_ACT,Determines whether SRAMNWA is supplied by VDDS or VDDAR during active mode" "ABBOFF_ACT_0,ABBOFF_ACT_1" bitfld.long 0x00 0. "ENABLE_RTA,Control for HD memory RTA feature" "ENABLE_RTA_0,ENABLE_RTA_1" line.long 0x04 "PRM_SLDO_IVA_SETUP,Setup of the SRAM LDO for IVA voltage domain" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "AIPOFF,Override on AIPOFF input of SRAM LDO" "AIPOFF_0,AIPOFF_1" newline bitfld.long 0x04 7. "ENFUNC5,ENFUNC5 input of SRAM LDO" "ENFUNC5_0,ENFUNC5_1" bitfld.long 0x04 6. "ENFUNC4,ENFUNC4 input of SRAM LDO" "ENFUNC4_0,ENFUNC4_1" newline bitfld.long 0x04 5. "ENFUNC3,ENFUNC3 input of SRAM LDO" "ENFUNC3_0,ENFUNC3_1" bitfld.long 0x04 4. "ENFUNC2,ENFUNC2 input of SRAM LDO" "ENFUNC2_0,ENFUNC2_1" newline bitfld.long 0x04 3. "ENFUNC1,ENFUNC1 input of SRAM LDO" "ENFUNC1_0,ENFUNC1_1" bitfld.long 0x04 2. "ABBOFF_SLEEP,Determines whether SRAMNWA is supplied by VDDS or VDDAR during deep-sleep" "ABBOFF_SLEEP_0,ABBOFF_SLEEP_1" newline bitfld.long 0x04 1. "ABBOFF_ACT,Determines whether SRAMNWA is supplied by VDDS or VDDAR during active mode" "ABBOFF_ACT_0,ABBOFF_ACT_1" bitfld.long 0x04 0. "ENABLE_RTA,Control for HD memory RTA feature" "ENABLE_RTA_0,ENABLE_RTA_1" line.long 0x08 "PRM_ABBLDO_DSPEVE_CTRL,Control and Status of ABB on DSPEVE voltage domain" hexmask.long 0x08 7.--31. 1. "RESERVED," rbitfld.long 0x08 6. "SR2_IN_TRANSITION,Indicates VBBLDO_CON is or is not in transition state" "SR2_IN_TRANSITION_0,SR2_IN_TRANSITION_1" newline rbitfld.long 0x08 5. "RESERVED," "0,1" rbitfld.long 0x08 3.--4. "SR2_STATUS,Indicate ABB LDO current operation status" "SR2_STATUS_0,SR2_STATUS_1,SR2_STATUS_2,SR2_STATUS_3" newline bitfld.long 0x08 2. "OPP_CHANGE,When OPP_CHANGE is set to 1 VBBLDO_CON samples OPP_SEL ACTIVE_FBB_SEL upon detecting rising edge" "0,1" bitfld.long 0x08 0.--1. "OPP_SEL,To control the ABB LDO (FBB/RBB) at a given OPP set to 0x1" "OPP_SEL_0,OPP_SEL_1,?,?" line.long 0x0C "PRM_ABBLDO_IVA_CTRL,Control and Status of ABB on IVA voltage domain" hexmask.long 0x0C 7.--31. 1. "RESERVED," rbitfld.long 0x0C 6. "SR2_IN_TRANSITION,Indicates VBBLDO_CON is or is not in transition state" "SR2_IN_TRANSITION_0,SR2_IN_TRANSITION_1" newline rbitfld.long 0x0C 5. "RESERVED," "0,1" rbitfld.long 0x0C 3.--4. "SR2_STATUS,Indicate ABB LDO current operation status" "SR2_STATUS_0,SR2_STATUS_1,SR2_STATUS_2,SR2_STATUS_3" newline bitfld.long 0x0C 2. "OPP_CHANGE,When OPP_CHANGE is set to 1 VBBLDO_CON samples OPP_SEL ACTIVE_FBB_SEL upon detecting rising edge" "0,1" bitfld.long 0x0C 0.--1. "OPP_SEL,To control the ABB LDO (FBB/RBB) at a given OPP set to 0x1" "OPP_SEL_0,OPP_SEL_1,?,?" line.long 0x10 "PRM_SLDO_DSPEVE_CTRL,Control and status of the SRAM LDO for CORE voltage domain" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," rbitfld.long 0x10 9. "SRAM_IN_TRANSITION,Status indicating SRAM LDO state machine state" "SRAM_IN_TRANSITION_0,SRAM_IN_TRANSITION_1" newline rbitfld.long 0x10 8. "SRAMLDO_STATUS,SRAMLDO status" "SRAMLDO_STATUS_0,SRAMLDO_STATUS_1" hexmask.long.byte 0x10 1.--7. 1. "RESERVED," newline bitfld.long 0x10 0. "RETMODE_ENABLE,Control if the SRAM LDO retention mode is used or not" "RETMODE_ENABLE_0,RETMODE_ENABLE_1" line.long 0x14 "PRM_SLDO_IVA_CTRL,Control and status of the SRAM LDO for CORE voltage domain" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," rbitfld.long 0x14 9. "SRAM_IN_TRANSITION,Status indicating SRAM LDO state machine state" "SRAM_IN_TRANSITION_0,SRAM_IN_TRANSITION_1" newline rbitfld.long 0x14 8. "SRAMLDO_STATUS,SRAMLDO status" "SRAMLDO_STATUS_0,SRAMLDO_STATUS_1" hexmask.long.byte 0x14 1.--7. 1. "RESERVED," newline bitfld.long 0x14 0. "RETMODE_ENABLE,Control if the SRAM LDO retention mode is used or not" "RETMODE_ENABLE_0,RETMODE_ENABLE_1" line.long 0x18 "PRM_ABBLDO_DSPEVE_SETUP,Selects the GPU_ABB LDO mode" hexmask.long.word 0x18 16.--31. 1. "RESERVED," hexmask.long.byte 0x18 8.--15. 1. "SR2_WTCNT_VALUE,LDO settling time for active-mode OPP change" newline rbitfld.long 0x18 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x18 4. "RESERVED," "0,1" newline rbitfld.long 0x18 3. "RESERVED," "0,1" bitfld.long 0x18 2. "ACTIVE_FBB_SEL,Defines ABB LDO mode when voltage is in slow fast OPP" "ACTIVE_FBB_SEL_0,ACTIVE_FBB_SEL_1" newline rbitfld.long 0x18 1. "RESERVED," "0,1" bitfld.long 0x18 0. "SR2EN,Enable ABB power management" "SR2EN_0,SR2EN_1" line.long 0x1C "PRM_ABBLDO_IVA_SETUP,Selects the GPU_ABB LDO mode" hexmask.long.word 0x1C 16.--31. 1. "RESERVED," hexmask.long.byte 0x1C 8.--15. 1. "SR2_WTCNT_VALUE,LDO settling time for active-mode OPP change" newline rbitfld.long 0x1C 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 4. "RESERVED," "0,1" newline rbitfld.long 0x1C 3. "RESERVED," "0,1" bitfld.long 0x1C 2. "ACTIVE_FBB_SEL,Defines ABB LDO mode when voltage is in slow fast OPP" "ACTIVE_FBB_SEL_0,ACTIVE_FBB_SEL_1" newline rbitfld.long 0x1C 1. "RESERVED," "0,1" bitfld.long 0x1C 0. "SR2EN,Enable ABB power management" "SR2EN_0,SR2EN_1" tree.end tree "DISPC" base ad:0x58001000 rgroup.long 0x00++0x03 line.long 0x00 "DISPC_REVISION,IP Revision" group.long 0x10++0x0F line.long 0x00 "DISPC_SYSCONFIG,This register allows to control various parameters of the OCP interface" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x00 12.--13. "MIDLEMODE,Master interface power management standby/wait control" "MIDLEMODE_0,MIDLEMODE_1,MIDLEMODE_2,MIDLEMODE_3" newline rbitfld.long 0x00 10.--11. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" newline rbitfld.long 0x00 6.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x00 5. "WARMRESET,Warm reset" "WARMRESET_0,WARMRESET_1" newline bitfld.long 0x00 3.--4. "SIDLEMODE,Slave interface power management Idle req/ack control" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" newline bitfld.long 0x00 2. "ENWAKEUP,WakeUp feature control" "ENWAKEUP_0,ENWAKEUP_1" newline bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,Internal interface clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "DISPC_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "DISPC_IRQSTATUS,This register regroups all the status of the module internal events that generate an interrupt" bitfld.long 0x08 31. "FLIPIMMEDIATEDONE_IRQ,Flip Immediate Done" "FLIPIMMEDIATEDONE_IRQ_0,FLIPIMMEDIATEDONE_IRQ_1" newline bitfld.long 0x08 30. "FRAMEDONE3_IRQ,Frame done for the third LCD" "FRAMEDONE3_IRQ_0,FRAMEDONE3_IRQ_1" newline bitfld.long 0x08 29. "ACBIASCOUNT_STATUS3_IRQ,AC bias count status for the third LCD" "ACBIASCOUNT_STATUS3_IRQ_0,ACBIASCOUNT_STATUS3_IRQ_1" newline bitfld.long 0x08 28. "VSYNC3_IRQ,Vertical synchronization for the third LCD" "VSYNC3_IRQ_0,VSYNC3_IRQ_1" newline bitfld.long 0x08 27. "SYNCLOST3_IRQ,Synchronization lost on the third LCD output" "SYNCLOST3_IRQ_0,SYNCLOST3_IRQ_1" newline bitfld.long 0x08 26. "WBUNCOMPLETE_ERROR_IRQ,Write-back DMA buffer is flushed before it is completely drained.In WB capture mode if the new frame starts before the WB DMA buffers are fully drained (onto external memory) then the contents of the WB DMA buffers are lost.." "WBUNCOMPLETE_ERROR_IRQ_0,WBUNCOMPLETE_ERROR_IRQ_1" newline bitfld.long 0x08 25. "WBBUFFER_OVERFLOW_IRQ,Write-back DMA buffer overflow" "WBBUFFER_OVERFLOW_IRQ_0,WBBUFFER_OVERFLOW_IRQ_1" newline bitfld.long 0x08 24. "FRAME_DONETV_IRQ,Frame done for the TV" "FRAME_DONETV_IRQ_0,FRAME_DONETV_IRQ_1" newline bitfld.long 0x08 23. "FRAME_DONEWB_IRQ,Frame done for the write-back channel" "FRAME_DONEWB_IRQ_0,FRAME_DONEWB_IRQ_1" newline bitfld.long 0x08 22. "FRAME_DONE2_IRQ,Frame done for the secondary LCD" "FRAME_DONE2_IRQ_0,FRAME_DONE2_IRQ_1" newline bitfld.long 0x08 21. "ACBIASCOUNT_STATUS2_IRQ,AC bias count status for the secondary LCD" "ACBIASCOUNT_STATUS2_IRQ_0,ACBIASCOUNT_STATUS2_IRQ_1" newline bitfld.long 0x08 20. "VID3BUFFER_UNDERFLOW_IRQ,Video 3 DMA buffer underflow" "VID3BUFFER_UNDERFLOW_IRQ_0,VID3BUFFER_UNDERFLOW_IRQ_1" newline bitfld.long 0x08 19. "VID3END_WINDOW_IRQ,The end of the video 3 window has been reached" "VID3END_WINDOW_IRQ_0,VID3END_WINDOW_IRQ_1" newline bitfld.long 0x08 18. "VSYNC2_IRQ,Vertical synchronization for the secondary LCD" "VSYNC2_IRQ_0,VSYNC2_IRQ_1" newline bitfld.long 0x08 17. "SYNC_LOST2_IRQ,Synchronization lost on the secondary LCD output" "SYNC_LOST2_IRQ_0,SYNC_LOST2_IRQ_1" newline bitfld.long 0x08 16. "WAKEUP_IRQ,Wakeup" "WAKEUP_IRQ_0,WAKEUP_IRQ_1" newline bitfld.long 0x08 15. "SYNCLOST_TV_IRQ,Synchronization lost on the TV output" "SYNCLOST_TV_IRQ_0,SYNCLOST_TV_IRQ_1" newline bitfld.long 0x08 14. "SYNC_LOST1_IRQ,Synchronizationl ost on the primary LCD output" "SYNC_LOST1_IRQ_0,SYNC_LOST1_IRQ_1" newline bitfld.long 0x08 13. "VID2END_WINDOW_IRQ,The end of the video 2 Window has been reached" "VID2END_WINDOW_IRQ_0,VID2END_WINDOW_IRQ_1" newline bitfld.long 0x08 12. "VID2BUFFER_UNDERFLOW_IRQ,Video 2 DMA buffer underflow" "VID2BUFFER_UNDERFLOW_IRQ_0,VID2BUFFER_UNDERFLOW_IRQ_1" newline bitfld.long 0x08 11. "VID1END_WINDOW_IRQ,The end of the video 1 Window has been reached" "VID1END_WINDOW_IRQ_0,VID1END_WINDOW_IRQ_1" newline bitfld.long 0x08 10. "VID1BUFFER_UNDERFLOW_IRQ,Video 1 DMA buffer underflow" "VID1BUFFER_UNDERFLOW_IRQ_0,VID1BUFFER_UNDERFLOW_IRQ_1" newline bitfld.long 0x08 9. "OCPERROR_IRQ,OCP error" "OCPERROR_IRQ_0,OCPERROR_IRQ_1" newline bitfld.long 0x08 8. "PALETTEGAMMA_LOADING_IRQ,Palette Gamma loading status" "PALETTEGAMMA_LOADING_IRQ_0,PALETTEGAMMA_LOADING_IRQ_1" newline bitfld.long 0x08 7. "GFXEND_WINDOW_IRQ,The end of the graphics wndow has been reached" "GFXEND_WINDOW_IRQ_0,GFXEND_WINDOW_IRQ_1" newline bitfld.long 0x08 6. "GFXBUFFER_UNDERFLOW_IRQ,Graphics DMA buffer underflow" "GFXBUFFER_UNDERFLOW_IRQ_0,GFXBUFFER_UNDERFLOW_IRQ_1" newline bitfld.long 0x08 5. "PROGRAMMED_LINENUMBER_IRQ,Programmed line number" "PROGRAMMED_LINENUMBER_IRQ_0,PROGRAMMED_LINENUMBER_IRQ_1" newline bitfld.long 0x08 4. "ACBIASCOUNT_STATUS1_IRQ,AC bias count status for the primary LCD" "ACBIASCOUNT_STATUS1_IRQ_0,ACBIASCOUNT_STATUS1_IRQ_1" newline bitfld.long 0x08 3. "EVSYNC_ODD_IRQ,VSYNC for odd field from the TV encoder (HDMI)" "EVSYNC_ODD_IRQ_0,EVSYNC_ODD_IRQ_1" newline bitfld.long 0x08 2. "EVSYNC_EVEN_IRQ,VSYNC for even field from the TV encoder (HDMI)" "EVSYNC_EVEN_IRQ_0,EVSYNC_EVEN_IRQ_1" newline bitfld.long 0x08 1. "VSYNC1_IRQ,Vertical synchronization for the primary LCD" "VSYNC1_IRQ_0,VSYNC1_IRQ_1" newline bitfld.long 0x08 0. "FRAME_DONE1_IRQ,Frame done for the primary LCD" "FRAME_DONE1_IRQ_0,FRAME_DONE1_IRQ_1" line.long 0x0C "DISPC_IRQENABLE,This register allows to mask/unmask the module internal sources of interrupt. on an event-by-event basis" bitfld.long 0x0C 31. "FLIPIMMEDIATEDONE_EN,Flip Immediate Done" "FLIPIMMEDIATEDONE_EN_0,FLIPIMMEDIATEDONE_EN_1" newline bitfld.long 0x0C 30. "FRAME_DONE3_EN,Frame done for the third LCD" "FRAME_DONE3_EN_0,FRAME_DONE3_EN_1" newline bitfld.long 0x0C 29. "ACBIASCOUNT_STATUS3_EN,AC Bias count status for the third LCD" "ACBIASCOUNT_STATUS3_EN_0,ACBIASCOUNT_STATUS3_EN_1" newline bitfld.long 0x0C 28. "VSYNC3_EN,Vertical synchronization for the third LCD" "VSYNC3_EN_0,VSYNC3_EN_1" newline bitfld.long 0x0C 27. "SYNC_LOST3_EN,Synchronization lost on the third LCD output" "SYNC_LOST3_EN_0,SYNC_LOST3_EN_1" newline bitfld.long 0x0C 26. "WBUNCOMPLETE_ERROR_EN,The write back buffer has been flushed before been fully drained" "WBUNCOMPLETE_ERROR_EN_0,WBUNCOMPLETE_ERROR_EN_1" newline bitfld.long 0x0C 25. "WBBUFFER_OVERFLOW_EN,Write-back DMA buffer overflow" "WBBUFFER_OVERFLOW_EN_0,WBBUFFER_OVERFLOW_EN_1" newline bitfld.long 0x0C 24. "FRAME_DONETV_EN,Frame done for the TV" "FRAME_DONETV_EN_0,FRAME_DONETV_EN_1" newline bitfld.long 0x0C 23. "FRAME_DONEWB_EN,Frame done for the write-back channel" "FRAME_DONEWB_EN_0,FRAME_DONEWB_EN_1" newline bitfld.long 0x0C 22. "FRAME_DONE2_EN,Frame done for the secondary LCD" "FRAME_DONE2_EN_0,FRAME_DONE2_EN_1" newline bitfld.long 0x0C 21. "ACBIASCOUNT_STATUS2_EN,AC Bias count status for the secondary LCD" "ACBIASCOUNT_STATUS2_EN_0,ACBIASCOUNT_STATUS2_EN_1" newline bitfld.long 0x0C 20. "VID3BUFFER_UNDERFLOW_EN,Video 3 DMA Buffer Underflow" "VID3BUFFER_UNDERFLOW_EN_0,VID3BUFFER_UNDERFLOW_EN_1" newline bitfld.long 0x0C 19. "VID3END_WINDOW_EN,The end of the video 3 window has been reached" "VID3END_WINDOW_EN_0,VID3END_WINDOW_EN_1" newline bitfld.long 0x0C 18. "VSYNC2_EN,Vertical synchronization for the secondary LCD" "VSYNC2_EN_0,VSYNC2_EN_1" newline bitfld.long 0x0C 17. "SYNC_LOST2_EN,Synchronization lost on the secondary LCD output" "SYNC_LOST2_EN_0,SYNC_LOST2_EN_1" newline bitfld.long 0x0C 16. "WAKEUP_EN,Wake up mask" "WAKEUP_EN_0,WAKEUP_EN_1" newline bitfld.long 0x0C 15. "SYNC_LOSTTV_EN,Synchronization lost on the TV output" "SYNC_LOSTTV_EN_0,SYNC_LOSTTV_EN_1" newline bitfld.long 0x0C 14. "SYNC_LOST1_EN,Synchronization lost for the primary LCD" "SYNC_LOST1_EN_0,SYNC_LOST1_EN_1" newline bitfld.long 0x0C 13. "VID2END_WINDOW_EN,The end of the video 2 Window has been reached" "VID2END_WINDOW_EN_0,VID2END_WINDOW_EN_1" newline bitfld.long 0x0C 12. "VID2BUFFER_UNDERFLOW_EN,Video 2 DMA buffer underflow" "VID2BUFFER_UNDERFLOW_EN_0,VID2BUFFER_UNDERFLOW_EN_1" newline bitfld.long 0x0C 11. "ENDVID1_WINDOW_EN,The end of the video 1 window has been reached" "ENDVID1_WINDOW_EN_0,ENDVID1_WINDOW_EN_1" newline bitfld.long 0x0C 10. "VID1BUFFER_UNDERFLOW_EN,Video 1 DMA buffer underflow" "VID1BUFFER_UNDERFLOW_EN_0,VID1BUFFER_UNDERFLOW_EN_1" newline bitfld.long 0x0C 9. "OCPERROR_EN,OCP Error" "OCPERROR_EN_0,OCPERROR_EN_1" newline bitfld.long 0x0C 8. "PALETTE_GAMMA_EN,Palette gamma loading mask" "PALETTE_GAMMA_EN_0,PALETTE_GAMMA_EN_1" newline bitfld.long 0x0C 7. "GFXEND_WINDOW_EN,The end of the graphics Window has been reached" "GFXEND_WINDOW_EN_0,GFXEND_WINDOW_EN_1" newline bitfld.long 0x0C 6. "GFXBUFFER_UNDERFLOW_EN,Graphics DMA Buffer Underflow" "GFXBUFFER_UNDERFLOW_EN_0,GFXBUFFER_UNDERFLOW_EN_1" newline bitfld.long 0x0C 5. "PROGRAMMED_LINENUMBER_EN,Programmed Line Number" "PROGRAMMED_LINENUMBER_EN_0,PROGRAMMED_LINENUMBER_EN_1" newline bitfld.long 0x0C 4. "ACBIASCOUNT_STATUS1_EN,AC Bias count status for the primary LCD" "ACBIASCOUNT_STATUS1_EN_0,ACBIASCOUNT_STATUS1_EN_1" newline bitfld.long 0x0C 3. "EVSYNC_ODD_EN,VSYNC for odd field from the TV encoder (HDMI)" "EVSYNC_ODD_EN_0,EVSYNC_ODD_EN_1" newline bitfld.long 0x0C 2. "EVSYNC_EVEN_EN,VSYNC for even field from the TV encoder (HDMI)" "EVSYNC_EVEN_EN_0,EVSYNC_EVEN_EN_1" newline bitfld.long 0x0C 1. "VSYNC1_EN,Vertical synchronization for the primary LCD" "VSYNC1_EN_0,VSYNC1_EN_1" newline bitfld.long 0x0C 0. "FRAMEDONE_EN,Frame done for the primary LCD" "FRAMEDONE_EN_0,FRAMEDONE_EN_1" group.long 0x40++0x07 line.long 0x00 "DISPC_CONTROL1,The control register configures the Display Controller module for the primary LCD and TV outputs" bitfld.long 0x00 30.--31. "SPATIALTEMPORAL_DITHERINGFRAMES,Spatial/temporal dithering number of frames for the primary LCD output wr: VFP start period of primary LCD" "SPATIALTEMPORAL_DITHERINGFRAMES_0,SPATIALTEMPORAL_DITHERINGFRAMES_1,SPATIALTEMPORAL_DITHERINGFRAMES_2,SPATIALTEMPORAL_DITHERINGFRAMES_3" newline rbitfld.long 0x00 29. "LCDENABLEPOL,Write 0s for future compatibility" "0,1" newline rbitfld.long 0x00 28. "LCDENABLESIGNAL,Write 0s for future compatibility" "0,1" newline rbitfld.long 0x00 27. "PCKFREEENABLE,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 25.--26. "TDMUNUSEDBITS,State of unused bits (TDM mode only) for the primary LCD output" "TDMUNUSEDBITS_0,TDMUNUSEDBITS_1,TDMUNUSEDBITS_2,TDMUNUSEDBITS_3" newline bitfld.long 0x00 23.--24. "TDMCYCLEFORMAT,Cycle format (TDM mode only) for the primary LCD output WR: VFP start period of primary LCD" "TDMCYCLEFORMAT_0,TDMCYCLEFORMAT_1,TDMCYCLEFORMAT_2,TDMCYCLEFORMAT_3" newline bitfld.long 0x00 21.--22. "TDMPARALLELMODE,Output interface width (TDM mode only) for the primary LCD output WR: VFP start period of primary LCD" "TDMPARALLELMODE_0,TDMPARALLELMODE_1,TDMPARALLELMODE_2,TDMPARALLELMODE_3" newline bitfld.long 0x00 20. "TDMENABLE,Enable the multiple cycle format for the primary LCD output" "TDMENABLE_0,TDMENABLE_1" newline bitfld.long 0x00 17.--19. "HT,Hold time for TV output WR: EVSYNC Encoded value (from 1 to 8) to specify the number of external digital clock periods to hold the data (programmed value = value minus 1)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "GPOUT1,General purpose output signal l WR: immediate" "GPOUT1_0,GPOUT1_1" newline bitfld.long 0x00 15. "GPOUT0,General Purpose Output Signal WR:immediate" "GPOUT0_0,GPOUT0_1" newline rbitfld.long 0x00 14. "GPIN1,General purpose input signal WR: immediately" "GPIN1_0_r,GPIN1_1_r" newline rbitfld.long 0x00 13. "GPIN0,General purpose input signal WR: immediately" "GPIN0_0_r,GPIN0_1_r" newline bitfld.long 0x00 12. "OVERLAYOPTI_MIZATION,Overlay optimization for the primary LCD output WR: VFP start period of the primary LCD" "OVERLAYOPTI_MIZATION_0,OVERLAYOPTI_MIZATION_1" newline bitfld.long 0x00 11. "STALLMODE,STALL mode for the primary LCD output wr: VFP start period of primary LCD" "STALLMODE_0,STALLMODE_1" newline rbitfld.long 0x00 10. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 8.--9. "TFTDATALINES,Number of lines of the primary LCD interface WR: VFP start period of primary LCD" "TFTDATALINES_0,TFTDATALINES_1,TFTDATALINES_2,TFTDATALINES_3" newline bitfld.long 0x00 7. "STDITHERENABLE,Spatial temporal dithering enable for the primary LCD output WR: VFP start period of primary LCD" "STDITHERENABLE_0,STDITHERENABLE_1" newline bitfld.long 0x00 6. "GOTV,GO command for the TV output" "GOTV_0,GOTV_1" newline bitfld.long 0x00 5. "GOLCD,GO command for the primary LCD output" "GOLCD_0,GOLCD_1" newline bitfld.long 0x00 4. "M8B,Mono 8-bit mode of the primary LCD wr: VFP start period of primary LCD output" "M8B_0,M8B_1" newline bitfld.long 0x00 3. "STNTFT,LCD Display type of the primary LCD WR: VFP start period of primary LCD output" "STNTFT_0,STNTFT_1" newline bitfld.long 0x00 2. "MONOCOLOR,Monochrome/color selection for the primary LCD WR: VFP start period of primary LCD output" "MONOCOLOR_0,MONOCOLOR_1" newline bitfld.long 0x00 1. "TVENABLE,Enable the TV output wr: immediate effect only occurs at the end of the current frame" "TVENABLE_0,TVENABLE_1" newline bitfld.long 0x00 0. "LCDENABLE,Enable the primary LCD outputs wr: immediate Effect only occurs at the end of the current frame" "LCDENABLE_0,LCDENABLE_1" line.long 0x04 "DISPC_CONFIG1,The control register configures the Display Controller module for the primary LCD output and TV output" rbitfld.long 0x04 30.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x04 28.--29. "TVINTERLEAVE,TV Interleave Pattern" "0,1,2,3" newline bitfld.long 0x04 26.--27. "PLCDINTERLEAVE,pLCD Interleave Pattern" "0,1,2,3" newline bitfld.long 0x04 25. "FULLRANGE,Color Space Conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x04 24. "COLORCONV_ENABLE,Enable the color space conversion" "COLORCONV_ENABLE_0,COLORCONV_ENABLE_1" newline bitfld.long 0x04 23. "FIDFIRST,Selects the first field to output in case of interlace mode" "FIDFIRST_0,FIDFIRST_1" newline bitfld.long 0x04 22. "OUTPUTMODE_ENABLE,Selects between progressive and interlace mode for the primary LCD output" "OUTPUTMODE_ENABLE_0,OUTPUTMODE_ENABLE_1" newline bitfld.long 0x04 21. "BT1120ENABLE,Selects BT.1120 format on the primary LCD output" "BT1120ENABLE_0,BT1120ENABLE_1" newline bitfld.long 0x04 20. "BT656ENABLE,Selects BT.656 format on the primary LCD output" "BT656ENABLE_0,BT656ENABLE_1" newline bitfld.long 0x04 19. "TVALPHABLENDER_ENABLE,Selects the alpha blender overlay manager for the TV output instead of the color key alpha blender (LCD output)" "TVALPHABLENDER_ENABLE_0,TVALPHABLENDER_ENABLE_1" newline bitfld.long 0x04 18. "LCDALPHABLENDER_ENABLE,Selects the alpha blender overlay manager for the primary LCD output instead of the color key alpha blender (LCD output)" "LCDALPHABLENDER_ENABLE_0,LCDALPHABLENDER_ENABLE_1" newline bitfld.long 0x04 17. "BUFFERFILLING,Controls if the DMA buffers are refilled only when the LOW threshold is reached or if all DMA buffers are refilled when at least one of them reaches the LOW threshold" "BUFFERFILLING_0,BUFFERFILLING_1" newline bitfld.long 0x04 16. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x04 15. "CPR,Color phase rotation control (primary LCD output)" "CPR_0,CPR_1" newline bitfld.long 0x04 14. "BUFFERMERGE,Buffer merge control wr: EVSYNC or whenDISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the write-back pipeline)" "BUFFERMERGE_0,BUFFERMERGE_1" newline bitfld.long 0x04 13. "TCKTV_SELECTION,Transparency color key selection (TV output) wr: EVSYNC" "TCKTV_SELECTION_0,TCKTV_SELECTION_1" newline bitfld.long 0x04 12. "TCKTVENABLE,Transparency color key enabled (TV output) WR: EVSYNC" "TCKTVENABLE_0,TCKTVENABLE_1" newline bitfld.long 0x04 11. "TCKLCD_SELECTION,Transparency color key selection (primary LCD output) wr: VFP start period of primary LCD output" "TCKLCD_SELECTION_0,TCKLCD_SELECTION_1" newline bitfld.long 0x04 10. "TCKLCDENABLE,Transparency color key enabled (primary LCD output) wr: VFP start period of primary LCD output" "TCKLCDENABLE_0,TCKLCDENABLE_1" newline bitfld.long 0x04 9. "GAMATABLE_ENABLE,For backward compatibility an enable bit has been added on the 2 additional gamma tables (secondary display and TV)" "GAMATABLE_ENABLE_0,GAMATABLE_ENABLE_1" newline bitfld.long 0x04 8. "ACBIASGATED,ACBias Gated Enabled (primary LCD output) wr: VFP start period of primary LCD output" "ACBIASGATED_0,ACBIASGATED_1" newline bitfld.long 0x04 7. "VSYNCGATED,VSYNC Gated Enabled (primary LCD output) wr: VFP start period of primary LCD output" "VSYNCGATED_0,VSYNCGATED_1" newline bitfld.long 0x04 6. "HSYNCGATED,HSYNC Gated Enabled (primary LCD output) wr: VFP start period of primary LCD output" "HSYNCGATED_0,HSYNCGATED_1" newline bitfld.long 0x04 5. "PIXELCLOCK_GATED,Pixel Clock Gated Enabled (primary LCD output) wr: VFP start period of primary LCD output" "PIXELCLOCK_GATED_0,PIXELCLOCK_GATED_1" newline bitfld.long 0x04 4. "PIXELDATAGATED,Pixel data gated enabled (primary LCD output) wr: VFP start period of primary LCD output" "PIXELDATAGATED_0,PIXELDATAGATED_1" newline bitfld.long 0x04 3. "PALETTEGAMMA_TABLE,Palette/gamma table selection wr: VFP start period of primary LCD output or VFP start period of secondary LCD output or EVSYNC or whenDISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the.." "PALETTEGAMMA_TABLE_0,PALETTEGAMMA_TABLE_1" newline bitfld.long 0x04 1.--2. "LOADMODE,Loading mode for the palette/gamma table wr: VFP start period of primary LCD output or VFP start period of secondary LCD output or EVSYNC or whenDISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the.." "LOADMODE_0,LOADMODE_1,LOADMODE_2,LOADMODE_3" newline bitfld.long 0x04 0. "PIXELGATED,Pixel gated enable (only for TFT) (primary LCD output) wr: VFP start period of primary LCD output" "PIXELGATED_0,PIXELGATED_1" group.long 0x4C++0x33 line.long 0x00 "DISPC_DEFAULT_COLOR0,The control register allows to configure the default solid background color for the primary LCD" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "DEFAULTCOLOR,24-bit RGB color value to specify the default solid color to display when there is no data from the overlays" line.long 0x04 "DISPC_DEFAULT_COLOR1,The control register allows to configure the default solid background color for the TV output" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x04 0.--23. 1. "DEFAULTCOLOR,24-bit RGB color value to specify the default solid color to display when there is no data from the overlays" line.long 0x08 "DISPC_TRANS_COLOR0,The register sets the transparency color value for the video/graphics overlays for the primary LCD output" hexmask.long.byte 0x08 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x08 0.--23. 1. "TRANSCOLORKEY,Transparency color key value in RGB format [0] BITMAP 1 (CLUT) [23 1] set to 0s [1:0] BITMAP 2 (CLUT) [23 2] set to 0s [3:0] BITMAP 4 (CLUT) [23 4] set to 0s [7:0] BITMAP 8 (CLUT) [23 8] set to 0s [11:0] RGB 12 [23 12] set to 0s [15:0] RGB.." line.long 0x0C "DISPC_TRANS_COLOR1,The register sets the transparency color value for the video/graphics overlays for the TV output" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x0C 0.--23. 1. "TRANSCOLORKEY,Transparency color key value in RGB format [0] BITMAP 1 (CLUT) [23 1] set to 0s [1:0] BITMAP 2 (CLUT) [23 2] set to 0s [3:0] BITMAP 4 (CLUT) [23 4] set to 0s [7:0] BITMAP 8 (CLUT) [23 8] set to 0s [11:0] RGB 12 [23 12] set to 0s [15:0] RGB.." line.long 0x10 "DISPC_LINE_STATUS,The control register indicates the current primary LCD panel display line number" hexmask.long.tbyte 0x10 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--11. 1. "LINENUMBER,Current LCD panel line number Current display line number" line.long 0x14 "DISPC_LINE_NUMBER,The control register indicates the primary LCD panel display line number for the interrupt and the DMA request" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x14 0.--11. 1. "LINENUMBER,LCD panel line number programming LCD line number defines the line on which the programmable interrupt is generated and the DMA request occurs" line.long 0x18 "DISPC_TIMING_H1,The register configures the timing logic for the HSYNC signal" hexmask.long.word 0x18 20.--31. 1. "HBP,Horizontal Back Porch" newline hexmask.long.word 0x18 8.--19. 1. "HFP,Horizontal front porch" newline hexmask.long.byte 0x18 0.--7. 1. "HSW,Horizontal synchronization pulse width" line.long 0x1C "DISPC_TIMING_V1,The register configures the timing logic for the VSYNC signal" hexmask.long.word 0x1C 20.--31. 1. "VBP,Vertical back porch" newline hexmask.long.word 0x1C 8.--19. 1. "VFP,Vertical front porch" newline hexmask.long.byte 0x1C 0.--7. 1. "VSW,Vertical synchronization pulse width" line.long 0x20 "DISPC_POL_FREQ1,The register configures the signal configuration" hexmask.long.word 0x20 19.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x20 18. "ALIGN,Defines the alignment between HSYNC and VSYNC assertion" "ALIGN_0,ALIGN_1" newline bitfld.long 0x20 17. "ONOFF,HSYNC/VSYNC Pixel clock Control On/OffNote: Control module register CTRL_CORE_SMA_SW_1[22]DSS_CH0_ON_OFF must be set to match enum=DBit16" "ONOFF_0,ONOFF_1" newline bitfld.long 0x20 16. "RF,Program HSYNC/VSYNC Rise or FallNote: Control module register CTRL_CORE_SMA_SW_1[16]DSS_CH0_RF must be set to match enum=DRiEdPCk" "RF_0,RF_1" newline bitfld.long 0x20 15. "IEO,Invert output enable" "IEO_0,IEO_1" newline bitfld.long 0x20 14. "IPC,Invert pixel clockNote: Control module register CTRL_CORE_SMA_SW_1[19]DSS_CH0_IPC must be set to match enum=DfPCk" "IPC_0,IPC_1" newline bitfld.long 0x20 13. "IHS,Invert HSYNC" "IHS_0,IHS_1" newline bitfld.long 0x20 12. "IVS,Invert VSYNC" "IVS_0,IVS_1" newline bitfld.long 0x20 8.--11. "ACBI,AC Bias pin transitions per interrupt Value (from 0 to 15) used to specify the number of AC Bias pin transitions" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x20 0.--7. 1. "ACB,AC Bias pin frequency value (from 0 to 255) used to specify the number of line clocks to count before transitioning the AC Bias pin" line.long 0x24 "DISPC_DIVISOR1,The register configures the divisors" hexmask.long.byte 0x24 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x24 16.--23. 1. "LCD,Display controller logic clock divisor value (from 1 to 255) to specify the intermediate pixel clock frequency based on the LCD1_CLK" newline hexmask.long.byte 0x24 8.--15. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x24 0.--7. 1. "PCD,Pixel clock divisor value (from 1 to 255) to specify the frequency of the pixel clock based on the LCD1_CLK divided byDISPC_DIVISOR1.LCD value" line.long 0x28 "DISPC_GLOBAL_ALPHA,The register defines the global alpha value for the graphics and three video pipelines" hexmask.long.byte 0x28 24.--31. 1. "VID3GLOBALALPHA,Global alpha value from 0 to 255" newline hexmask.long.byte 0x28 16.--23. 1. "VID2GLOBALALPHA,Global alpha value from 0 to 255" newline hexmask.long.byte 0x28 8.--15. 1. "VID1GLOBALALPHA,Global alpha value from 0 to 255" newline hexmask.long.byte 0x28 0.--7. 1. "GFXGLOBALALPHA,Global alpha value from 0 to 255" line.long 0x2C "DISPC_SIZE_TV,The register configures the size of the TV output field (interlace). frame (progressive) (horizontal and vertical)" rbitfld.long 0x2C 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x2C 16.--27. 1. "LPP,Lines per panel encoded value (from 1 to 4096) to specify the number of lines per panel" newline bitfld.long 0x2C 14.--15. "DELTA_LPP,Indicates the delta size value of the odd field compared to the even field" "DELTA_LPP_0,DELTA_LPP_1,DELTA_LPP_2,?" newline rbitfld.long 0x2C 12.--13. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline hexmask.long.word 0x2C 0.--11. 1. "PPL,Pixels per line encoded value (from 1 to 4096) to specify the number of pixels contains within each line on the display" line.long 0x30 "DISPC_SIZE_LCD1,The register configures the panel size (horizontal and vertical)" rbitfld.long 0x30 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x30 16.--27. 1. "LPP,Lines per panel encoded value (from 1 to 4096) to specify the number of lines per panel (program to value minus 1)" newline bitfld.long 0x30 14.--15. "DELTA_LPP,Indicates the delta size value of the odd field compared to the even field" "DELTA_LPP_0,DELTA_LPP_1,DELTA_LPP_2,?" newline rbitfld.long 0x30 12.--13. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline hexmask.long.word 0x30 0.--11. 1. "PPL,Pixels per line encoded value (from 1 to 4096) to specify the number of pixels contains within each line on the display (program to value minus 1)" group.long 0x88++0x07 line.long 0x00 "DISPC_GFX_POSITION,The register configures the position of the graphics window" rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "POSY,Y position of the graphics window" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "POSX,X position of the graphics window" line.long 0x04 "DISPC_GFX_SIZE,The register configures the size of the graphics window" rbitfld.long 0x04 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 16.--27. 1. "SIZEY,Number of lines of the graphics window" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "SIZEX,Number of pixels of the graphics window" group.long 0xA0++0x13 line.long 0x00 "DISPC_GFX_ATTRIBUTES,The register configures the graphics attributes" bitfld.long 0x00 30.--31. "CHANNELOUT2,It is not used if CHANNELOUT is set to TV" "CHANNELOUT2_0,CHANNELOUT2_1,CHANNELOUT2_2,CHANNELOUT2_3" newline bitfld.long 0x00 29. "BURSTTYPE,The type of burst can be INCR (incremental) or BLCK (2D block)" "BURSTTYPE_0,BURSTTYPE_1" newline bitfld.long 0x00 28. "PREMULTIPLYALPHA,The field configures the DISPC GFX to process incoming data as premultiplied alpha data or non premultiplied alpha data" "PREMULTIPLYALPHA_0,PREMULTIPLYALPHA_1" newline bitfld.long 0x00 26.--27. "ZORDER,Z-Order defining the priority of the layer compared to others when overlaying" "ZORDER_0,ZORDER_1,ZORDER_2,ZORDER_3" newline bitfld.long 0x00 25. "ZORDERENABLE,Z-order Enable" "ZORDERENABLE_0,ZORDERENABLE_1" newline bitfld.long 0x00 24. "ANTIFLICKER,Antiflicker filtering using a 3-tap filter with hardcoded coefficients (1/4 1/2 1/4)" "ANTIFLICKER_0,ANTIFLICKER_1" newline rbitfld.long 0x00 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 18.--20. "SUBSAMPLINGPATTERN,Subsampling pattern setting" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 17. "SELFREFRESHAUTO,Automatic self-refresh mode" "SELFREFRESHAUTO_0,SELFREFRESHAUTO_1" newline bitfld.long 0x00 16. "FORCE1DTILEDMODE,Force TILED regions access to 1D or 2D" "FORCE1DTILEDMODE_0,FORCE1DTILEDMODE_1" newline bitfld.long 0x00 15. "SELFREFRESH,Enables the self refresh of the graphics window from its own DMA buffer" "SELFREFRESH_0,SELFREFRESH_1" newline bitfld.long 0x00 14. "ARBITRATION,Determines the priority of the graphics pipeline" "ARBITRATION_0,ARBITRATION_1" newline bitfld.long 0x00 12.--13. "ROTATION,Graphics rotation flag" "ROTATION_0,ROTATION_1,ROTATION_2,ROTATION_3" newline bitfld.long 0x00 11. "BUFPRELOAD,Graphics preload value" "BUFPRELOAD_0,BUFPRELOAD_1" newline bitfld.long 0x00 10. "FRAMEPACKINGMODE,Frame packing mode control" "FRAMEPACKINGMODE_0,FRAMEPACKINGMODE_1" newline bitfld.long 0x00 9. "NIBBLEMODE,Graphics nibble mode (only for 1- 2- and 4 bpp)NOTE: BITMAP formats and associated Nibble Mode are not supported in this family of devices" "NIBBLEMODE_0,NIBBLEMODE_1" newline bitfld.long 0x00 8. "CHANNELOUT,Graphics Channel Out configuration: LCD WB or TV" "CHANNELOUT_0,CHANNELOUT_1" newline bitfld.long 0x00 6.--7. "BURSTSIZE,Graphics DMA burst size" "BURSTSIZE_0,BURSTSIZE_1,BURSTSIZE_2,BURSTSIZE_3" newline bitfld.long 0x00 5. "REPLICATIONENABLE,Graphics replication enabled: RGB" "REPLICATIONENABLE_0,REPLICATIONENABLE_1" newline bitfld.long 0x00 1.--4. "FORMAT,Graphics format" "?,?,?,FORMAT_3,FORMAT_4,FORMAT_5,FORMAT_6,FORMAT_7,FORMAT_8,FORMAT_9,FORMAT_10,FORMAT_11,FORMAT_12,FORMAT_13,FORMAT_14,FORMAT_15" newline bitfld.long 0x00 0. "ENABLE,Graphics enable" "ENABLE_0,ENABLE_1" line.long 0x04 "DISPC_GFX_BUF_THRESHOLD,The register configures the graphics buffer" hexmask.long.word 0x04 16.--31. 1. "BUFHIGHTHRESHOLD,DMA buffer high threshold number of 128 bits defining the threshold value" newline hexmask.long.word 0x04 0.--15. 1. "BUFLOWTHRESHOLD,DMA buffer low threshold number of 128 bits defining the threshold value" line.long 0x08 "DISPC_GFX_BUF_SIZE_STATUS,The register defines the Graphics buffer size" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x08 0.--15. 1. "BUFSIZE,DMA buffer size in number of 128 bits" line.long 0x0C "DISPC_GFX_ROW_INC,The register configures the number of bytes to increment at the end of the row" line.long 0x10 "DISPC_GFX_PIXEL_INC,The register configures the number of bytes to increment between two pixels" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x10 0.--7. 1. "PIXELINC,Number of bytes to increment between two pixels" group.long 0xB8++0x03 line.long 0x00 "DISPC_GFX_TABLE_BA,The register configures the base address of the palette buffer or the gamma table buffer" group.long 0xC4++0x23 line.long 0x00 "DISPC_VID1_POSITION,The register configures the position of the video window 1" rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "POSY,Y position of the video window 1 Encoded value (from 0 to 2047) to specify the Y position of the video window 1 .The line at the top has the Y-position 0" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "POSX,X position of the video window 1 Encoded value (from 0 to 2047) to specify the X position of the video window 1" line.long 0x04 "DISPC_VID1_SIZE,The register configures the size of the video window 1" rbitfld.long 0x04 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 16.--27. 1. "SIZEY,Number of lines of the video 1 Encoded value (from 1 to 4096) to specify the number of lines of the video window 1" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "SIZEX,Number of pixels of the video window 1 Encoded value (from 1 to 2048) to specify the number of pixels of the video window 1" line.long 0x08 "DISPC_VID1_ATTRIBUTES,The register configures the attributes of the video window 1" bitfld.long 0x08 30.--31. "CHANNELOUT2,It is not used if CHANNELOUT is set to TV" "CHANNELOUT2_0,CHANNELOUT2_1,CHANNELOUT2_2,CHANNELOUT2_3" newline bitfld.long 0x08 29. "BURSTTYPE,The type of burst can be INCR (incremental) or BLCK (2D block)" "BURSTTYPE_0,BURSTTYPE_1" newline bitfld.long 0x08 28. "PREMULTIPHYALPHA,The field configures the DISPC VID1 to process incoming data as premultiplied alpha data or non premultiplied alpha data" "PREMULTIPHYALPHA_0,PREMULTIPHYALPHA_1" newline bitfld.long 0x08 26.--27. "ZORDER,Z-Order defining the priority of the layer compared to others when overlaying" "ZORDER_0,ZORDER_1,ZORDER_2,ZORDER_3" newline bitfld.long 0x08 25. "ZORDERENABLE,Z-order Enable" "ZORDERENABLE_0,ZORDERENABLE_1" newline bitfld.long 0x08 24. "SELFREFRESH,Enables the self refresh of the video window from its own DMA buffer only" "SELFREFRESH_0,SELFREFRESH_1" newline bitfld.long 0x08 23. "ARBITRATION,Determines the priority of the video pipeline" "ARBITRATION_0,ARBITRATION_1" newline bitfld.long 0x08 22. "DOUBLESTRIDE,Determines if the stride for CbCr buffer is the 1x or 2x of the Y buffer stride" "DOUBLESTRIDE_0,DOUBLESTRIDE_1" newline bitfld.long 0x08 21. "VERTICALTAPS,Video vertical resize tap number" "VERTICALTAPS_0,VERTICALTAPS_1" newline bitfld.long 0x08 20. "FORCE1DTILEDMODE,Force TILED regions access to 1D or 2D" "FORCE1DTILEDMODE_0,FORCE1DTILEDMODE_1" newline bitfld.long 0x08 19. "BUFPRELOAD,Video Preload Value" "BUFPRELOAD_0,BUFPRELOAD_1" newline rbitfld.long 0x08 18. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 17. "SELFREFRESHAUTO,Automatic self-refresh mode" "SELFREFRESHAUTO_0,SELFREFRESHAUTO_1" newline bitfld.long 0x08 16. "CHANNELOUT,Video channel out configuration: LCD WB or TV" "CHANNELOUT_0,CHANNELOUT_1" newline bitfld.long 0x08 14.--15. "BURSTSIZE,Video DMA burst size" "BURSTSIZE_0,BURSTSIZE_1,BURSTSIZE_2,BURSTSIZE_3" newline bitfld.long 0x08 12.--13. "ROTATION,Video rotation flag" "ROTATION_0,ROTATION_1,ROTATION_2,ROTATION_3" newline bitfld.long 0x08 11. "FULLRANGE,Color space conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x08 10. "REPLICATIONENABLE,Replication enable" "REPLICATIONENABLE_0,REPLICATIONENABLE_1" newline bitfld.long 0x08 9. "COLORCONVENABLE,Enable the color space conversion" "COLORCONVENABLE_0,COLORCONVENABLE_1" newline bitfld.long 0x08 8. "FRAMEPACKINGMODE,Frame packing mode control" "FRAMEPACKINGMODE_0,FRAMEPACKINGMODE_1" newline rbitfld.long 0x08 7. "HRESIZECONF,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 5.--6. "RESIZEENABLE,Video Resize Enable" "RESIZEENABLE_0,RESIZEENABLE_1,RESIZEENABLE_2,RESIZEENABLE_3" newline bitfld.long 0x08 1.--4. "FORMAT,Video Format" "FORMAT_0,FORMAT_1,FORMAT_2,FORMAT_3,FORMAT_4,FORMAT_5,FORMAT_6,FORMAT_7,FORMAT_8,FORMAT_9,FORMAT_10,FORMAT_11,FORMAT_12,FORMAT_13,FORMAT_14,FORMAT_15" newline bitfld.long 0x08 0. "ENABLE,Video Enable" "ENABLE_0,ENABLE_1" line.long 0x0C "DISPC_VID1_BUF_THRESHOLD,The register configures the video buffer associated with the video pipeline 1" hexmask.long.word 0x0C 16.--31. 1. "BUFHIGHTHRESHOLD,Video DMA buffer high threshold number of 128 bits defining the threshold value" newline hexmask.long.word 0x0C 0.--15. 1. "BUFLOWTHRESHOLD,DMA buffer low threshold number of 128 bits defining the threshold value" line.long 0x10 "DISPC_VID1_BUF_SIZE_STATUS,The register defines the Video buffer size for the video pipeline 1" hexmask.long.word 0x10 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--15. 1. "BUFSIZE,Video 1 DMA buffer size in number of 128-bits" line.long 0x14 "DISPC_VID1_ROW_INC,The register configures the number of bytes to increment at the end of the row for the buffer associated with the video window 1" line.long 0x18 "DISPC_VID1_PIXEL_INC,The register configures the number of bytes to increment between two pixels for the buffer associated with the video window 2" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x18 0.--7. 1. "PIXELINC,Number of bytes to increment between two pixels" line.long 0x1C "DISPC_VID1_FIR,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 1" rbitfld.long 0x1C 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter" newline rbitfld.long 0x1C 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter" line.long 0x20 "DISPC_VID1_PICTURE_SIZE,The register configures the size of the video picture associated with the video layer 1 before up/down-scaling" rbitfld.long 0x20 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 16.--27. 1. "MEMSIZEY,Number of lines of the video picture" newline rbitfld.long 0x20 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 0.--10. 1. "MEMSIZEX,Number of pixels of the video picture Encoded value (from 1 to 2048) to specify the number of pixels of the video picture in memory (program to value minus 1)" group.long 0x130++0x13 line.long 0x00 "DISPC_VID1_CONV_COEF0,The register configures the color space conversion matrix coefficients for the video pipeline 1" rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "RCR,RCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "RY,RY coefficient encoded signed value (from -1024 to 1023)" line.long 0x04 "DISPC_VID1_CONV_COEF1,The register configures the color space conversion matrix coefficients for the video pipeline 1" rbitfld.long 0x04 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "GY,GY coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "RCB,RCb coefficient encoded signed value (from -1024 to 1023)" line.long 0x08 "DISPC_VID1_CONV_COEF2,The register configures the color space conversion matrix coefficients for the video pipeline 1" rbitfld.long 0x08 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "GCB,GCb coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x08 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "GCR,GCr coefficient encoded signed value (from -1024 to 1023)" line.long 0x0C "DISPC_VID1_CONV_COEF3,The register configures the color space conversion matrix coefficients for the video pipeline 1" rbitfld.long 0x0C 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "BCR,BCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x0C 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "BY,BY coefficient encoded signed value (from -1024 to 1023)" line.long 0x10 "DISPC_VID1_CONV_COEF4,The register configures the color space conversion matrix coefficients for the video pipeline 1" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--10. 1. "BCB,BCb coefficient encoded signed value (from -1024 to 1023)" group.long 0x154++0x23 line.long 0x00 "DISPC_VID2_POSITION,The register configures the position of the video window 2" rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "POSY,Y position of the video window 2 encoded value (from 0 to 2047) to specify the Y position of the video window 2 .The line at the top has the Y-position 0" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "POSX,X position of the video window 2 encoded value (from 0 to 2047) to specify the X position of the video window 2" line.long 0x04 "DISPC_VID2_SIZE,The register configures the size of the video window 2" rbitfld.long 0x04 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 16.--27. 1. "SIZEY,Number of lines of the video 2 encoded value (from 1 to 4096) to specify the number of lines of the video window 2" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "SIZEX,Number of pixels of the video window 2 encoded value (from 1 to 2048) to specify the number of pixels of the video window 2" line.long 0x08 "DISPC_VID2_ATTRIBUTES,The register configures the attributes of the video window 2" bitfld.long 0x08 30.--31. "CHANNELOUT2,It is not used if CHANNELOUT is set to TV" "CHANNELOUT2_0,CHANNELOUT2_1,CHANNELOUT2_2,CHANNELOUT2_3" newline bitfld.long 0x08 29. "BURSTTYPE,The type of burst can be INCR (incremental) or BLCK (2D block)" "BURSTTYPE_0,BURSTTYPE_1" newline bitfld.long 0x08 28. "PREMULTIPLYALPHA,The field configures the DISPC VID2 to process incoming data as premultiplied alpha data or non premultiplied alpha data" "PREMULTIPLYALPHA_0,PREMULTIPLYALPHA_1" newline bitfld.long 0x08 26.--27. "ZORDER,Z-Order defining the priority of the layer compared to others when overlaying" "ZORDER_0,ZORDER_1,ZORDER_2,ZORDER_3" newline bitfld.long 0x08 25. "ZORDERENABLE,Z-order Enable" "ZORDERENABLE_0,ZORDERENABLE_1" newline bitfld.long 0x08 24. "SELFREFRESH,Enables the self refresh of the video window from its own DMA buffer only" "SELFREFRESH_0,SELFREFRESH_1" newline bitfld.long 0x08 23. "ARBITRATION,Determines the priority of the video pipeline" "ARBITRATION_0,ARBITRATION_1" newline bitfld.long 0x08 22. "DOUBLESTRIDE,Determines if the stride for CbCr buffer is the 1x or 2x of the Y buffer stride" "DOUBLESTRIDE_0,DOUBLESTRIDE_1" newline bitfld.long 0x08 21. "VERTICALTAPS,Video Vertical Resize Tap Number" "VERTICALTAPS_0,VERTICALTAPS_1" newline bitfld.long 0x08 20. "FORCE1DTILEDMODE,Force TILED regions access to 1D or 2D" "FORCE1DTILEDMODE_0,FORCE1DTILEDMODE_1" newline bitfld.long 0x08 19. "BUFPRELOAD,Video Preload Value" "BUFPRELOAD_0,BUFPRELOAD_1" newline rbitfld.long 0x08 18. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 17. "SELFREFRESHAUTO,Automatic self-refresh mode" "SELFREFRESHAUTO_0,SELFREFRESHAUTO_1" newline bitfld.long 0x08 16. "CHANNELOUT,Video Channel Out configuration: LCD WB or TV" "CHANNELOUT_0,CHANNELOUT_1" newline bitfld.long 0x08 14.--15. "BURSTSIZE,Video DMA burst size" "BURSTSIZE_0,BURSTSIZE_1,BURSTSIZE_2,BURSTSIZE_3" newline bitfld.long 0x08 12.--13. "ROTATION,Video Rotation Flag" "ROTATION_0,ROTATION_1,ROTATION_2,ROTATION_3" newline bitfld.long 0x08 11. "FULLRANGE,Color space conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x08 10. "REPLICATIONENABLE,Replication Enable" "REPLICATIONENABLE_0,REPLICATIONENABLE_1" newline bitfld.long 0x08 9. "COLORCONVENABLE,Enable the color space conversion" "COLORCONVENABLE_0,COLORCONVENABLE_1" newline bitfld.long 0x08 8. "FRAMEPACKINGMODE,Frame packing mode control" "FRAMEPACKINGMODE_0,FRAMEPACKINGMODE_1" newline rbitfld.long 0x08 7. "HRESIZECONF,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 5.--6. "RESIZEENABLE,Video Resize Enable" "RESIZEENABLE_0,RESIZEENABLE_1,RESIZEENABLE_2,RESIZEENABLE_3" newline bitfld.long 0x08 1.--4. "FORMAT,Video Format" "FORMAT_0,FORMAT_1,FORMAT_2,FORMAT_3,FORMAT_4,FORMAT_5,FORMAT_6,FORMAT_7,FORMAT_8,FORMAT_9,FORMAT_10,FORMAT_11,FORMAT_12,FORMAT_13,FORMAT_14,FORMAT_15" newline bitfld.long 0x08 0. "ENABLE,VidEnable" "ENABLE_0,ENABLE_1" line.long 0x0C "DISPC_VID2_BUF_THRESHOLD,The register configures the DMA buffer associated with the video pipeline 2" hexmask.long.word 0x0C 16.--31. 1. "BUFHIGHTHRESHOLD,DMA buffer high threshold number of 128 bits defining the threshold value" newline hexmask.long.word 0x0C 0.--15. 1. "BUFLOWTHRESHOLD,DMA buffer low threshold number of 128 bits defining the threshold value" line.long 0x10 "DISPC_VID2_BUF_SIZE_STATUS,The register defines the DMA buffer size for the video pipeline 2" hexmask.long.word 0x10 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--15. 1. "BUFSIZE,DMA buffer size in number of 128 bits" line.long 0x14 "DISPC_VID2_ROW_INC,The register configures the number of bytes to increment at the end of the row for the buffer associated with the video window 2" line.long 0x18 "DISPC_VID2_PIXEL_INC,The register configures the number of bytes to increment between two pixels for the buffer associated with the video window 2" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x18 0.--7. 1. "PIXELINC,Number of bytes to increment between two pixels" line.long 0x1C "DISPC_VID2_FIR,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 2" rbitfld.long 0x1C 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter Encoded value (from 1 to 4096)" newline rbitfld.long 0x1C 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter Encoded value (from 1 to 4096)" line.long 0x20 "DISPC_VID2_PICTURE_SIZE,The register configures the size of the video picture associated with the video layer 2 before up/down-scaling" rbitfld.long 0x20 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 16.--27. 1. "MEMSIZEY,Number of lines of the video picture Encoded value (from 1 to 4096) to specify the number of lines of the video picture in memory (program to value minus 1)" newline rbitfld.long 0x20 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 0.--10. 1. "MEMSIZEX,Number of pixels of the video picture Encoded value (from 1 to 2048) to specify the number of pixels of the video picture in memory (program to value minus 1)" group.long 0x1C0++0x1F line.long 0x00 "DISPC_VID2_CONV_COEF0,The register configures the color space conversion matrix coefficients for the video pipeline 2" rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "RCR,RCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "RY,RY coefficient encoded signed value (from -1024 to 1023)" line.long 0x04 "DISPC_VID2_CONV_COEF1,The register configures the color space conversion matrix coefficients for the video pipeline 2" rbitfld.long 0x04 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "GY,GY coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "RCB,RCb coefficient encoded signed value (from -1024 to 1023)" line.long 0x08 "DISPC_VID2_CONV_COEF2,The register configures the color space conversion matrix coefficients for the video pipeline 2" rbitfld.long 0x08 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "GCB,GCb coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x08 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "GCR,GCr coefficient encoded signed value (from -1024 to 1023)" line.long 0x0C "DISPC_VID2_CONV_COEF3,The register configures the color space conversion matrix coefficients for the video pipeline 2" rbitfld.long 0x0C 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "BCR,BCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x0C 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "BY,BY coefficient encoded signed value (from -1024 to 1023)" line.long 0x10 "DISPC_VID2_CONV_COEF4,The register configures the color space conversion matrix coefficients for the video pipeline 2" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--10. 1. "BCB,BCb coefficient encoded signed value (from -1024 to 1023)" line.long 0x14 "DISPC_DATA1_CYCLE1,The control register configures the output data format for 1st cycle" rbitfld.long 0x14 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x14 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x14 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x14 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "DISPC_DATA1_CYCLE2,The control register configures the output data format for 2nd cycle" rbitfld.long 0x18 28.--31. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x18 21.--23. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x18 12.--15. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x18 5.--7. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x1C "DISPC_DATA1_CYCLE3,The control register configures the output data format for 3rd cycle" rbitfld.long 0x1C 28.--31. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 21.--23. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x1C 12.--15. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 5.--7. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x220++0x1B line.long 0x00 "DISPC_CPR1_COEF_R,The register configures the color phase rotation matrix coefficients for the Red component" hexmask.long.word 0x00 22.--31. 1. "RR,RR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x00 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x00 11.--20. 1. "RG,RG coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x00 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x00 0.--9. 1. "RB,RB coefficient encoded signed value (from -512 to 511)" line.long 0x04 "DISPC_CPR1_COEF_G,The register configures the color phase rotation matrix coefficients for the Green component" hexmask.long.word 0x04 22.--31. 1. "GR,GR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x04 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x04 11.--20. 1. "GG,GG coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x04 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x04 0.--9. 1. "GB,GB coefficient encoded signed value (from -512 to 511)" line.long 0x08 "DISPC_CPR1_COEF_B,The register configures the color phase rotation matrix coefficients for the Blue component" hexmask.long.word 0x08 22.--31. 1. "BR,BR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x08 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x08 11.--20. 1. "BG,BG coefficient encoded signed value (from -512 to 511" newline rbitfld.long 0x08 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x08 0.--9. 1. "BB,BB coefficient encoded signed value (from -512 to 511)" line.long 0x0C "DISPC_GFX_PRELOAD,The register configures the graphics DMA buffer Shadow register. updated on VFP start period of primary LCD or VFP start period of the secondary LCD or VFP start period of the third LCD or EVSYNC or when.GOWB is set to 1 by software.." hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x0C 0.--11. 1. "PRELOAD,DMA buffer preload value number of 128-bit words defining the preload value" line.long 0x10 "DISPC_VID1_PRELOAD,The register configures the DMA buffer of the video 1 pipeline" hexmask.long.tbyte 0x10 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x10 0.--11. 1. "PRELOAD,DMA buffer preload value number of 128-bit words defining the preload value" line.long 0x14 "DISPC_VID2_PRELOAD,The register configures the DMA buffer of the video 2 pipeline" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x14 0.--11. 1. "PRELOAD,DMA buffer preload value Number of 128-bit words defining the preload value" line.long 0x18 "DISPC_CONTROL2,The control register configures the Display Controller module for the secondary LCD output" bitfld.long 0x18 30.--31. "SPATIALTEMPORAL_DITHERINGFRAMES,Spatial/temporal dithering number of frames for the secondary LCD output wr: VFP start period of secondary LCD output" "SPATIALTEMPORAL_DITHERINGFRAMES_0,SPATIALTEMPORAL_DITHERINGFRAMES_1,SPATIALTEMPORAL_DITHERINGFRAMES_2,SPATIALTEMPORAL_DITHERINGFRAMES_3" newline rbitfld.long 0x18 27.--29. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 25.--26. "TDMUNUSED_BITS,State of unused bits (TDM mode only) for the secondary LCD output wr: VFP start period of secondary LCD output" "TDMUNUSED_BITS_0,TDMUNUSED_BITS_1,TDMUNUSED_BITS_2,TDMUNUSED_BITS_3" newline bitfld.long 0x18 23.--24. "TDMCYCLE_FORMAT,Cycle format (TDM mode only) for the secondary LCD output wr: VFP start period of secondary LCD output" "TDMCYCLE_FORMAT_0,TDMCYCLE_FORMAT_1,TDMCYCLE_FORMAT_2,TDMCYCLE_FORMAT_3" newline bitfld.long 0x18 21.--22. "TDMPARALLEL_MODE,Output Interface width (TDM mode only) for the secondary LCD output wr: VFP start period of secondary LCD output" "TDMPARALLEL_MODE_0,TDMPARALLEL_MODE_1,TDMPARALLEL_MODE_2,TDMPARALLEL_MODE_3" newline bitfld.long 0x18 20. "TDMENABLE,Enable the multiple cycle format for the secondary LCD output wr: VFP start period of secondary LCD output" "TDMENABLE_0,TDMENABLE_1" newline rbitfld.long 0x18 14.--19. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x18 13. "TVOVERLAY_OPTIMIZATION,Overlay optimization for the TV output wr: VFP or EVSYNC or whenDISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the write-back pipeline)" "TVOVERLAY_OPTIMIZATION_0,TVOVERLAY_OPTIMIZATION_1" newline bitfld.long 0x18 12. "OVERLAY_OPTIMIZATION,Overlay optimization for the secondary LCD output wr: VFP or EVSYNC or whenDISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the write-back pipeline)" "OVERLAY_OPTIMIZATION_0,OVERLAY_OPTIMIZATION_1" newline bitfld.long 0x18 11. "STALLMODE,STALL mode for the secondary LCD output wr: VFP start period of secondary LCD output" "STALLMODE_0,STALLMODE_1" newline rbitfld.long 0x18 10. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x18 8.--9. "TFTDATALINES,Number of lines of the secondary LCD interface wr: VFP start period of secondary LCD output" "TFTDATALINES_0,TFTDATALINES_1,TFTDATALINES_2,TFTDATALINES_3" newline bitfld.long 0x18 7. "STDITHER_ENABLE,Spatial temporal dithering enable for the secondary LCD output wr: VFP start period of secondary LCD output" "STDITHER_ENABLE_0,STDITHER_ENABLE_1" newline bitfld.long 0x18 6. "GOWB,GO command for the write-back output" "GOWB_0,GOWB_1" newline bitfld.long 0x18 5. "GOLCD,GO command for the secondary LCD output" "GOLCD_0,GOLCD_1" newline bitfld.long 0x18 4. "M8B,Mono 8-bit mode of the secondary LCD wr: VFP start period of secondary LCD output" "M8B_0,M8B_1" newline bitfld.long 0x18 3. "STNTFT,LCD Display type of the secondary LCD wr: VFP start period of secondary LCD output" "STNTFT_0,STNTFT_1" newline bitfld.long 0x18 2. "MONOCOLOR,Monochrome/Color selection for the secondary LCD wr: VFP start period of secondary LCD output" "MONOCOLOR_0,MONOCOLOR_1" newline rbitfld.long 0x18 1. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x18 0. "LCDENABLE,Enable the secondary LCD output wr:immediate" "LCDENABLE_0,LCDENABLE_1" group.long 0x240++0x0F line.long 0x00 "DISPC_GFX_POSITION2,The register configures the position of the 2nd graphics window in FramePacking mode" rbitfld.long 0x00 27.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "POSY,Y position of the 2nd graphics window" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "POSX,X position of the 2nd graphics window" line.long 0x04 "DISPC_VID1_POSITION2,The register configures the position of the 2nd video window #1 in FramePacking mode" rbitfld.long 0x04 27.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "POSY,Y position of the 2nd video window #1 Encoded value (from 0 to 2047) to specify the Y position of the video window #1 .The line at the top has the Y-position 0" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "POSX,X position of the 2nd video window #1 Encoded value (from 0 to 2047) to specify the X position of the video window #1" line.long 0x08 "DISPC_VID2_POSITION2,The register configures the position of the 2nd video window #2 in FramePacking mode" rbitfld.long 0x08 27.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "POSY,Y position of the 2nd video window #2 Encoded value (from 0 to 2047) to specify the Y position of the video window #2 .The line at the top has the Y-position 0" newline rbitfld.long 0x08 11.--15. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "POSX,X position of the 2nd video window #2 Encoded value (from 0 to 2047) to specify the X position of the video window #2" line.long 0x0C "DISPC_VID3_POSITION2,The register configures the position of the 2nd video window #3 in FramePacking mode" rbitfld.long 0x0C 27.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "POSY,Y position of the 2nd video window #2 Encoded value (from 0 to 2047) to specify the Y position of the video window #2 .The line at the top has the Y-position 0" newline rbitfld.long 0x0C 11.--15. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "POSX,X position of the 2nd video window #2 Encoded value (from 0 to 2047) to specify the X position of the video window #2" group.long 0x370++0x5F line.long 0x00 "DISPC_VID3_ATTRIBUTES,The register configures the attributes of the video window 3" bitfld.long 0x00 30.--31. "CHANNELOUT2,It is not used if CHANNELOUT is set to TV" "CHANNELOUT2_0,CHANNELOUT2_1,CHANNELOUT2_2,CHANNELOUT2_3" newline bitfld.long 0x00 29. "BURSTTYPE,The type of burst can be INCR (incremental) or BLCK (2D block)" "BURSTTYPE_0,BURSTTYPE_1" newline bitfld.long 0x00 28. "PREMULTIPLYALPHA,The field configures the DISPC VID3 to process incoming data as premultiplied alpha data or non premultiplied alpha data" "PREMULTIPLYALPHA_0,PREMULTIPLYALPHA_1" newline bitfld.long 0x00 26.--27. "ZORDER,Z-Order defining the priority of the layer compared to others when overlaying" "ZORDER_0,ZORDER_1,ZORDER_2,ZORDER_3" newline bitfld.long 0x00 25. "ZORDERENABLE,Z-order Enable" "ZORDERENABLE_0,ZORDERENABLE_1" newline bitfld.long 0x00 24. "SELFREFRESH,Enables the self refresh of the video window from its own DMA buffer only" "SELFREFRESH_0,SELFREFRESH_1" newline bitfld.long 0x00 23. "ARBITRATION,Determines the priority of the video pipeline" "ARBITRATION_0,ARBITRATION_1" newline bitfld.long 0x00 22. "DOUBLESTRIDE,Determines if the stride for CbCr buffer is the 1x or 2x of the Y buffer stride" "DOUBLESTRIDE_0,DOUBLESTRIDE_1" newline bitfld.long 0x00 21. "VERTICALTAPS,Video vertical resize tap number" "VERTICALTAPS_0,VERTICALTAPS_1" newline bitfld.long 0x00 20. "FORCE1DTILEDMODE,Force TILED regions access to 1D or 2D" "FORCE1DTILEDMODE_0,FORCE1DTILEDMODE_1" newline bitfld.long 0x00 19. "BUFPRELOAD,Video Preload Value" "BUFPRELOAD_0,BUFPRELOAD_1" newline rbitfld.long 0x00 18. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 17. "SELFREFRESHAUTO,Automatic self-refresh mode" "SELFREFRESHAUTO_0,SELFREFRESHAUTO_1" newline bitfld.long 0x00 16. "CHANNELOUT,Video channel out configuration: LCD WB or TV" "CHANNELOUT_0,CHANNELOUT_1" newline bitfld.long 0x00 14.--15. "BURSTSIZE,Video DMA burst size" "BURSTSIZE_0,BURSTSIZE_1,BURSTSIZE_2,BURSTSIZE_3" newline bitfld.long 0x00 12.--13. "ROTATION,Video rotation flag" "ROTATION_0,ROTATION_1,ROTATION_2,ROTATION_3" newline bitfld.long 0x00 11. "FULLRANGE,Color Space Conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x00 10. "REPLICATIONENABLE,Replication enable" "REPLICATIONENABLE_0,REPLICATIONENABLE_1" newline bitfld.long 0x00 9. "COLORCONVENABLE,Enable the color space conversion" "COLORCONVENABLE_0,COLORCONVENABLE_1" newline bitfld.long 0x00 8. "FRAMEPACKINGMODE,Frame packing mode control" "FRAMEPACKINGMODE_0,FRAMEPACKINGMODE_1" newline rbitfld.long 0x00 7. "HRESIZECONF,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 5.--6. "RESIZEENABLE,Video resize enable" "RESIZEENABLE_0,RESIZEENABLE_1,RESIZEENABLE_2,RESIZEENABLE_3" newline bitfld.long 0x00 1.--4. "FORMAT,Video format" "FORMAT_0,FORMAT_1,FORMAT_2,FORMAT_3,FORMAT_4,FORMAT_5,FORMAT_6,FORMAT_7,FORMAT_8,FORMAT_9,FORMAT_10,FORMAT_11,FORMAT_12,FORMAT_13,FORMAT_14,FORMAT_15" newline bitfld.long 0x00 0. "ENABLE,Video Enable" "ENABLE_0,ENABLE_1" line.long 0x04 "DISPC_VID3_CONV_COEF0,The register configures the color space conversion matrix coefficients for the video pipeline 3" rbitfld.long 0x04 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "RCR,RCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "RY,RY coefficient encoded signed value (from -1024 to 1023)" line.long 0x08 "DISPC_VID3_CONV_COEF1,The register configures the color space conversion matrix coefficients for the video pipeline 3" rbitfld.long 0x08 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "GY,GY coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x08 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "RCB,RCb coefficient encoded signed value (from -1024 to 1023)" line.long 0x0C "DISPC_VID3_CONV_COEF2,The register configures the color space conversion matrix coefficients for the video pipeline 3" rbitfld.long 0x0C 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "GCB,GCb coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x0C 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "GCR,GCr coefficient encoded signed value (from -1024 to 1023)" line.long 0x10 "DISPC_VID3_CONV_COEF3,The register configures the color space conversion matrix coefficients for the video pipeline 3" rbitfld.long 0x10 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 16.--26. 1. "BCR,BCr coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x10 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 0.--10. 1. "BY,BY coefficient encoded signed value (from -1024 to 1023)" line.long 0x14 "DISPC_VID3_CONV_COEF4,The register configures the color space conversion matrix coefficients for the video pipeline 3" hexmask.long.tbyte 0x14 11.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x14 0.--10. 1. "BCB,BCb coefficient encoded signed value (from -1024 to 1023)" line.long 0x18 "DISPC_VID3_BUF_SIZE_STATUS,The register defines the DMA buffer size for the video pipeline 3" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x18 0.--15. 1. "BUFSIZE,DMA buffer Size in number of 128 bits" line.long 0x1C "DISPC_VID3_BUF_THRESHOLD,The register configures the DMA buffer associated with the video pipeline 3" hexmask.long.word 0x1C 16.--31. 1. "BUFHIGHTHRESHOLD,DMA buffer high threshold number of 128 bits defining the threshold value" newline hexmask.long.word 0x1C 0.--15. 1. "BUFLOWTHRESHOLD,DMA buffer low threshold number of 128 bits defining the threshold value" line.long 0x20 "DISPC_VID3_FIR,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 3" rbitfld.long 0x20 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter Encoded value (from 1 to 4096)" newline rbitfld.long 0x20 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter Encoded value (from 1 to 4096)" line.long 0x24 "DISPC_VID3_PICTURE_SIZE,The register configures the size of the video picture associated with the video layer 3 before up/down-scaling" rbitfld.long 0x24 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x24 16.--27. 1. "MEMSIZEY,Number of lines of the video picture Encoded value (from 1 to 4096) to specify the number of lines of the video picture in memory (program to value minus 1)" newline rbitfld.long 0x24 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 0.--10. 1. "MEMSIZEX,Number of pixels of the video picture Encoded value (from 1 to 2048) to specify the number of pixels of the video picture in memory (program to value minus 1)" line.long 0x28 "DISPC_VID3_PIXEL_INC,The register configures the number of bytes to increment between two pixels for the buffer associated with the video window 3" hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x28 0.--7. 1. "PIXELINC,Number of bytes to increment between two pixels" line.long 0x2C "DISPC_VID3_POSITION,The register configures the position of the video window 3" rbitfld.long 0x2C 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 16.--26. 1. "POSY,Y position of the video window 2 Encoded value (from 0 to 2047) to specify the Y position of the video window 2 .The line at the top has the Y-position 0" newline rbitfld.long 0x2C 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 0.--10. 1. "POSX,X position of the video window 2 Encoded value (from 0 to 2047) to specify the X position of the video window 2" line.long 0x30 "DISPC_VID3_PRELOAD,The register configures the DMA buffer of the video 3 pipeline" hexmask.long.tbyte 0x30 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x30 0.--11. 1. "PRELOAD,DMA buffer preload value Number of 128-bit words defining the preload value" line.long 0x34 "DISPC_VID3_ROW_INC,The register configures the number of bytes to increment at the end of the row for the buffer associated with the video window 3" line.long 0x38 "DISPC_VID3_SIZE,The register configures the size of the video window 3" rbitfld.long 0x38 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x38 16.--27. 1. "SIZEY,Number of lines of the video 3 Encoded value (from 1 to 4096) to specify the number of lines of the video window 3" newline rbitfld.long 0x38 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "SIZEX,Number of pixels of the video window 3 Encoded value (from 1 to 2048) to specify the number of pixels of the video window 3" line.long 0x3C "DISPC_DEFAULT_COLOR2,The control register allows to configure the default solid background color for the secondary LCD Shadow register. updated on VFP start period of secondary LCD" hexmask.long.byte 0x3C 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x3C 0.--23. 1. "DEFAULTCOLOR,24-bit RGB color value to specify the default solid color to display when there is no data from the overlays" line.long 0x40 "DISPC_TRANS_COLOR2,The register sets the transparency color value for the video/graphics overlays for the secondary LCD output" hexmask.long.byte 0x40 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x40 0.--23. 1. "TRANSCOLORKEY,Transparency Color Key Value in RGB format [0] BITMAP 1 (CLUT) [23 1] set to 0s [1:0] BITMAP 2 (CLUT) [23 2] set to 0s [3:0] BITMAP 4 (CLUT) [23 4] set to 0s [7:0] BITMAP 8 (CLUT) [23 8] set to 0s [11:0] RGB 12 [23 12] set to 0s [15:0] RGB.." line.long 0x44 "DISPC_CPR2_COEF_B,The register configures the color phase rotation matrix coefficients for the Blue component" hexmask.long.word 0x44 22.--31. 1. "BR,BR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x44 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x44 11.--20. 1. "BG,BG coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x44 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x44 0.--9. 1. "BB,BB coefficient encoded signed value (from -512 to 511)" line.long 0x48 "DISPC_CPR2_COEF_G,The register configures the color phase rotation matrix coefficients for the Green component" hexmask.long.word 0x48 22.--31. 1. "GR,GR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x48 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x48 11.--20. 1. "GG,GG coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x48 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x48 0.--9. 1. "GB,GB coefficient encoded signed value (from -512 to 511)" line.long 0x4C "DISPC_CPR2_COEF_R,The register configures the color phase rotation matrix coefficients for the Red component" hexmask.long.word 0x4C 22.--31. 1. "RR,RR coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x4C 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x4C 11.--20. 1. "RG,RG coefficient encoded signed value (from -512 to 511)" newline rbitfld.long 0x4C 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x4C 0.--9. 1. "RB,RB coefficient encoded signed value (from -512 to 511)" line.long 0x50 "DISPC_DATA2_CYCLE1,The control register configures the output data format for 1st cycle" rbitfld.long 0x50 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x50 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x50 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x50 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x50 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x50 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x50 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x50 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x54 "DISPC_DATA2_CYCLE2,The control register configures the output data format for 2nd cycle" rbitfld.long 0x54 28.--31. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x54 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x54 21.--23. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x54 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x54 12.--15. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x54 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x54 5.--7. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x54 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x58 "DISPC_DATA2_CYCLE3,The control register configures the output data format for 3rd cycle" rbitfld.long 0x58 28.--31. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x58 24.--27. "BITALIGNMENTPIXEL2,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x58 21.--23. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x58 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x58 12.--15. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x58 8.--11. "BITALIGNMENTPIXEL1,Bit alignment" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x58 5.--7. "RESERVED,Write 0s for future compatibility Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x58 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x5C "DISPC_SIZE_LCD2,The register configures the panel size (horizontal and vertical)" rbitfld.long 0x5C 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x5C 16.--27. 1. "LPP,Lines per panel encoded value (from 1 to 4096) to specify the number of lines per panel (program to value minus 1)" newline bitfld.long 0x5C 14.--15. "DELTA_LPP,Indicates the delta size value of the odd field compared to the even field" "DELTA_LPP_0,DELTA_LPP_1,DELTA_LPP_2,?" newline rbitfld.long 0x5C 12.--13. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline hexmask.long.word 0x5C 0.--11. 1. "PPL,Pixels per line encoded value (from 1 to 4096) to specify the number of pixels contains within each line on the display (program to value minus 1)" group.long 0x400++0x0F line.long 0x00 "DISPC_TIMING_H2,The register configures the timing logic for the HSYNC signal" hexmask.long.word 0x00 20.--31. 1. "HBP,Horizontal back porch" newline hexmask.long.word 0x00 8.--19. 1. "HFP,Horizontal front porch" newline hexmask.long.byte 0x00 0.--7. 1. "HSW,Horizontal synchronization pulse width" line.long 0x04 "DISPC_TIMING_V2,The register configures the timing logic for the VSYNC signal" hexmask.long.word 0x04 20.--31. 1. "VBP,Vertical back porch" newline hexmask.long.word 0x04 8.--19. 1. "VFP,Vertical front porch" newline hexmask.long.byte 0x04 0.--7. 1. "VSW,Vertical synchronization pulse width" line.long 0x08 "DISPC_POL_FREQ2,The register configures the signal configuration" hexmask.long.word 0x08 19.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x08 18. "ALIGN,Defines the alignment between HSYNC and VSYNC assertion" "ALIGN_0,ALIGN_1" newline bitfld.long 0x08 17. "ONOFF,HSYNC/VSYNC Pixel clock Control On/OffNote: Control module register CTRL_CORE_SMA_SW_1[23]DSS_CH1_ON_OFF must be set to match enum=DBit16" "ONOFF_0,ONOFF_1" newline bitfld.long 0x08 16. "RF,Program HSYNC/VSYNC Rise or FallNote: Control module register CTRL_CORE_SMA_SW_1[17]DSS_CH1_RF must be set to match enum=DRiEdPCk" "RF_0,RF_1" newline bitfld.long 0x08 15. "IEO,Invert output enable" "IEO_0,IEO_1" newline bitfld.long 0x08 14. "IPC,Invert pixel clockNote: Control module register CTRL_CORE_SMA_SW_1[20]DSS_CH1_IPC must be set to match enum=DfPCk" "IPC_0,IPC_1" newline bitfld.long 0x08 13. "IHS,Invert HSYNC" "IHS_0,IHS_1" newline bitfld.long 0x08 12. "IVS,Invert VSYNC" "IVS_0,IVS_1" newline bitfld.long 0x08 8.--11. "ACBI,AC Bias Pin transitions per interrupt Value (from 0 to 15) used to specify the number of AC Bias pin transitions" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x08 0.--7. 1. "ACB,AC Bias Pin Frequency Value (from 0 to 255) used to specify the number of line clocks to count before transitioning the AC Bias pin" line.long 0x0C "DISPC_DIVISOR2,The register configures the divisors" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x0C 16.--23. 1. "LCD,Display controller logic clock divisor value (from 1 to 255) to specify the intermediate pixel clock frequency based on the LCD2_CLK" newline hexmask.long.byte 0x0C 8.--15. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x0C 0.--7. 1. "PCD,Pixel clock divisor value (from 1 to 255) to specify the frequency of the pixel clock based on the LCD2_CLK divided byDISPC_DIVISOR2.LCD value" group.long 0x570++0x2B line.long 0x00 "DISPC_WB_ATTRIBUTES,The register configures the attributes of the viwrite back pipeline" bitfld.long 0x00 28.--31. "IDLENUMBER,Determines the number of idles between requests on the L3_MAIN interconnect" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "IDLESIZE,Determines if the IDLENUMBER corresponds to a number of bursts or singles" "IDLESIZE_0,IDLESIZE_1" newline bitfld.long 0x00 24.--26. "CAPTUREMODE,Defines the frame rate capture" "CAPTUREMODE_0,CAPTUREMODE_1,CAPTUREMODE_2,CAPTUREMODE_3,CAPTUREMODE_4,CAPTUREMODE_5,CAPTUREMODE_6,CAPTUREMODE_7" newline bitfld.long 0x00 23. "ARBITRATION,Determines the priority of the write-back pipeline" "ARBITRATION_0,ARBITRATION_1" newline bitfld.long 0x00 22. "DOUBLESTRIDE,Determines if the stride for CbCr buffer is the 1x or 2x of the Y buffer stride" "DOUBLESTRIDE_0,DOUBLESTRIDE_1" newline bitfld.long 0x00 21. "VERTICALTAPS,Video Vertical Resize Tap Number" "VERTICALTAPS_0,VERTICALTAPS_1" newline bitfld.long 0x00 20. "FORCE1DTILEDMODE,Force TILED regions access to 1D or 2D" "FORCE1DTILEDMODE_0,FORCE1DTILEDMODE_1" newline bitfld.long 0x00 19. "WRITEBACKMODE,When connected to the overlay output of a channel the write back can operate as a simple transfer from memory to memory (composition engine) or as a capture channel" "Capture mode (default mode),Memory-to-memory mode" newline bitfld.long 0x00 16.--18. "CHANNELIN,Video Channel In configuration WR: immediate" "CHANNELIN_0,CHANNELIN_1,CHANNELIN_2,CHANNELIN_3,CHANNELIN_4,CHANNELIN_5,CHANNELIN_6,CHANNELIN_7" newline bitfld.long 0x00 14.--15. "BURSTSIZE,Write-back DMA Burst Size" "BURSTSIZE_0,BURSTSIZE_1,BURSTSIZE_2,BURSTSIZE_3" newline bitfld.long 0x00 12.--13. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 11. "FULLRANGE,Color Space Conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x00 10. "TRUNCATIONENABLE,It applies only when the input format to the write-back pipeline from the overlay or directly from one of the pipelines is ARGB32" "TRUNCATIONENABLE_0,TRUNCATIONENABLE_1" newline bitfld.long 0x00 9. "COLORCONVENABLE,Enable the color space conversion" "COLORCONVENABLE_0,COLORCONVENABLE_1" newline bitfld.long 0x00 8. "BURSTTYPE,The type of burst can be INCR (incremental) or BLCK (2D block)" "BURSTTYPE_0,BURSTTYPE_1" newline bitfld.long 0x00 7. "ALPHAENABLE,Premultiplied alpha enable" "The alpha value is not written back,The WB pipe copies back to memory the.." newline bitfld.long 0x00 5.--6. "RESIZEENABLE,Resize Enable" "RESIZEENABLE_0,RESIZEENABLE_1,RESIZEENABLE_2,RESIZEENABLE_3" newline bitfld.long 0x00 1.--4. "FORMAT,Write-back format" "FORMAT_0,FORMAT_1,FORMAT_2,FORMAT_3,FORMAT_4,FORMAT_5,FORMAT_6,FORMAT_7,FORMAT_8,FORMAT_9,FORMAT_10,FORMAT_11,FORMAT_12,FORMAT_13,FORMAT_14,FORMAT_15" newline bitfld.long 0x00 0. "ENABLE,Write-back enable" "ENABLE_0,ENABLE_1" line.long 0x04 "DISPC_WB_CONV_COEF0,The register configures the color space conversion matrix coefficients for the write back pipeline (YUV4:4:4 to RGB24)" rbitfld.long 0x04 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "YG,YG coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "YR,YR coefficient encoded signed value (from -1024 to 1023)" line.long 0x08 "DISPC_WB_CONV_COEF1,The register configures the color space conversion matrix coefficients for the write back pipeline" rbitfld.long 0x08 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "CRR,CrR coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x08 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "YB,YB coefficient encoded signed value (from -1024 to 1023)" line.long 0x0C "DISPC_WB_CONV_COEF2,The register configures the color space conversion matrix coefficients for the write back pipeline" rbitfld.long 0x0C 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "CRB,CrB coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x0C 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "CRG,CrG coefficient encoded signed value (from -1024 to 1023)" line.long 0x10 "DISPC_WB_CONV_COEF3,The register configures the color space conversion matrix coefficients for the write back pipeline" rbitfld.long 0x10 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 16.--26. 1. "CBG,CbG coefficient encoded signed value (from -1024 to 1023)" newline rbitfld.long 0x10 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 0.--10. 1. "CBR,CbR coefficient encoded signed value (from -1024 to 1023)" line.long 0x14 "DISPC_WB_CONV_COEF4,The register configures the color space conversion matrix coefficients for the write back pipeline" hexmask.long.tbyte 0x14 11.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x14 0.--10. 1. "CBB,CbB coefficient encoded signed value (from -1024 to 1023)" line.long 0x18 "DISPC_WB_BUF_SIZE_STATUS,The register defines the DMA buffer size for the write back pipeline" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x18 0.--15. 1. "BUFSIZE,DMA buffer Size in number of 128 bits" line.long 0x1C "DISPC_WB_BUF_THRESHOLD,The register configures the DMA buffer associated with the write-back pipeline" hexmask.long.word 0x1C 16.--31. 1. "BUFHIGHTHRESHOLD,DMA buffer high threshold number of 128 bits defining the threshold value" newline hexmask.long.word 0x1C 0.--15. 1. "BUFLOWTHRESHOLD,DMA buffer low threshold number of 128 bits defining the threshold value" line.long 0x20 "DISPC_WB_FIR,The register configures the resize factors for horizontal and vertical up/downsampling of the write back pipeline" rbitfld.long 0x20 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter Encoded value (from 1 to 4096)" newline rbitfld.long 0x20 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter Encoded value (from 1 to 4096)" line.long 0x24 "DISPC_WB_PICTURE_SIZE,The register configures the size of the write-back picture associated with the write back pipeline after up/down-scaling" rbitfld.long 0x24 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x24 16.--27. 1. "MEMSIZEY,Number of lines of the wb picture in memory" newline rbitfld.long 0x24 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 0.--10. 1. "MEMSIZEX,Number of pixels of the wb picture in memory" line.long 0x28 "DISPC_WB_PIXEL_INC,The register configures the number of bytes to increment between two pixels for the buffer associated with the write back pipeline" hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x28 0.--7. 1. "PIXELINC,Values other than 1 are invalid" group.long 0x5A4++0x07 line.long 0x00 "DISPC_WB_ROW_INC,The register configures the number of bytes to increment at the end of the row for the buffer associated with the vwrite back pipeline" line.long 0x04 "DISPC_WB_SIZE,The register configures the size of the output of overlay connected to the write-back pipeline when the overlay output is only used by the write-back pipeline" rbitfld.long 0x04 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 16.--27. 1. "SIZEY,Number of lines of the Write-back picture Encoded value (from 1 to 4096) to specify the number of lines of the write-back picture from overlay or pipeline" newline rbitfld.long 0x04 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "SIZEX,Number of pixels of the Write-back picture Encoded value (from 1 to 2048) to specify the number of pixels of the write-back picture from overlay or pipeline" group.long 0x620++0x1F line.long 0x00 "DISPC_CONFIG2,The control register configures the Display Controller module for the secondary LCD output" rbitfld.long 0x00 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 26.--27. "SLCDINTERLEAVE,sLCD Interleave Pattern" "0,1,2,3" newline bitfld.long 0x00 25. "FULLRANGE,Color space conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x00 24. "COLORCONV_ENABLE,Enable the color space conversion" "COLORCONV_ENABLE_0,COLORCONV_ENABLE_1" newline bitfld.long 0x00 23. "FIDFIRST,Selects the first field to output in case of interlace mode" "FIDFIRST_0,FIDFIRST_1" newline bitfld.long 0x00 22. "OUTPUTMODE_ENABLE,Selects between progressive and interlace mode for the secondary LCD output" "OUTPUTMODE_ENABLE_0,OUTPUTMODE_ENABLE_1" newline bitfld.long 0x00 21. "BT1120ENABLE,Selects BT.1120 format on the primary LCD output" "BT1120ENABLE_0,BT1120ENABLE_1" newline bitfld.long 0x00 20. "BT656ENABLE,Selects BT.656 format on the primary LCD output" "BT656ENABLE_0,BT656ENABLE_1" newline rbitfld.long 0x00 16.--19. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15. "CPR,Color Phase Rotation Control secondary LCD output). It shall be reset when ColorConvEnable bit field is set to 1. wr: VFP start period of secondary LCD output" "CPR_0,CPR_1" newline rbitfld.long 0x00 12.--14. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "TCKLCD_SELECTION,Transparency color key selection (secondary LCD output) wr: VFP start period of secondary LCD output" "TCKLCD_SELECTION_0,TCKLCD_SELECTION_1" newline bitfld.long 0x00 10. "TCKLCDENABLE,Transparency color key enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "TCKLCDENABLE_0,TCKLCDENABLE_1" newline rbitfld.long 0x00 9. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 8. "ACBIASGATED,ACBias gated enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "ACBIASGATED_0,ACBIASGATED_1" newline bitfld.long 0x00 7. "VSYNCGATED,VSYNC gated enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "VSYNCGATED_0,VSYNCGATED_1" newline bitfld.long 0x00 6. "HSYNCGATED,HSYNC gated enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "HSYNCGATED_0,HSYNCGATED_1" newline bitfld.long 0x00 5. "PIXELCLOCK_GATED,Pixel clock gated enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "PIXELCLOCK_GATED_0,PIXELCLOCK_GATED_1" newline bitfld.long 0x00 4. "PIXELDATA_GATED,Pixel data gated enabled (secondary LCD output) wr: VFP start period of secondary LCD output" "PIXELDATA_GATED_0,PIXELDATA_GATED_1" newline rbitfld.long 0x00 1.--3. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "PIXELGATED,Pixel gated enable (only for active matrix) (secondary LCD output) wr: VFP start period of secondary LCD output" "PIXELGATED_0,PIXELGATED_1" line.long 0x04 "DISPC_VID1_ATTRIBUTES2,The register configures the attributes of the video window 1" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x04 9.--11. "SUBSAMPLINGPATTERN,Subsampling pattern setting" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 8. "YUVCHROMARE_SAMPLING,The YUV chrominance can be resampled using averaging of the adjacent chrominance samples without using the polyphase filter for 4:2:2 input or can be calculated using the polyphase filter for 4:2:2/4:2:0" "YUVCHROMARE_SAMPLING_0,YUVCHROMARE_SAMPLING_1" newline rbitfld.long 0x04 7. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x04 4.--6. "VC1_RANGE_CBCR,Defines the VC-1 range value for the CbCr component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 1.--3. "VC1_RANGE_Y,Defines the VC-1 range value for the Y component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0. "VC1ENABLE,Enable/disable the VC-1 range mapping processing" "VC1ENABLE_0,VC1ENABLE_1" line.long 0x08 "DISPC_VID2_ATTRIBUTES2,The register configures the attributes of the video window 2" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x08 9.--11. "SUBSAMPLINGPATTERN,Subsampling pattern setting" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 8. "YUVCHROMARE_SAMPLING,The YUV chrominance can be resampled using averaging of the adjacent chrominance samples without using the polyphase filter for 4:2:2 input or can be calculated using the polyphase filter for 4:2:2/4:2:0" "YUVCHROMARE_SAMPLING_0,YUVCHROMARE_SAMPLING_1" newline rbitfld.long 0x08 7. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 4.--6. "VC1_RANGE_CBCR,Defines the VC-1 range value for the CbCr component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 1.--3. "VC1_RANGE_Y,Defines the VC-1 range value for the Y component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 0. "VC1ENABLE,Enable/disable the VC-1 range mapping processing" "VC1ENABLE_0,VC1ENABLE_1" line.long 0x0C "DISPC_VID3_ATTRIBUTES2,The register configures the attributes of the video window 3" hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x0C 9.--11. "SUBSAMPLINGPATTERN,Subsampling pattern setting" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 8. "YUVCHROMARE_SAMPLING,The YUV chrominance can be resampled using averaging of the adjacent chrominance samples without using the polyphase filter for 4:2:2 input or can be calculated using the polyphase filter for 4:2:2/4:2:0" "YUVCHROMARE_SAMPLING_0,YUVCHROMARE_SAMPLING_1" newline rbitfld.long 0x0C 7. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x0C 4.--6. "VC1_RANGE_CBCR,Defines the VC-1 range value for the CbCr component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 1.--3. "VC1_RANGE_Y,Defines the VC-1 range value for the Y component from 0 to 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 0. "VC1ENABLE,Enable/disable the VC-1 range mapping processing" "VC1ENABLE_0,VC1ENABLE_1" line.long 0x10 "DISPC_GAMMA_TABLE0,The register configures the look up table used as color look up table for BITMAP formats (1-. 2-. 4. and 8-bpp) on the graphics pipeline or as gamma table on the primary LCD output" hexmask.long.byte 0x10 24.--31. 1. "INDEX,Defines the location in the table where the bit field VALUE is stored" newline hexmask.long.byte 0x10 16.--23. 1. "VALUE_R,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" newline hexmask.long.byte 0x10 8.--15. 1. "VALUE_G,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" newline hexmask.long.byte 0x10 0.--7. 1. "VALUE_B,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" line.long 0x14 "DISPC_GAMMA_TABLE1,The register configures the gamma table on the secondary LCD output" hexmask.long.byte 0x14 24.--31. 1. "INDEX,Defines the location in the table where the bit field VALUE is stored" newline hexmask.long.byte 0x14 16.--23. 1. "VALUE_R,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" newline hexmask.long.byte 0x14 8.--15. 1. "VALUE_G,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" newline hexmask.long.byte 0x14 0.--7. 1. "VALUE_B,8-bit value used to defined the value to store at the location in the table defined by the bit field INDEX" line.long 0x18 "DISPC_GAMMA_TABLE2,The register configures the gamma table on the TV output" bitfld.long 0x18 31. "INDEX,Setting this bit to 1 resets the internal index counter to zero" "0,1" newline bitfld.long 0x18 30. "RESERVED," "0,1" newline hexmask.long.word 0x18 20.--29. 1. "VALUE_R,10-bit color component value to store in the table" newline hexmask.long.word 0x18 10.--19. 1. "VALUE_G,10-bit color component value to store in the table" newline hexmask.long.word 0x18 0.--9. 1. "VALUE_B,10-bit color component value to store in the table" line.long 0x1C "DISPC_VID1_FIR2,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 1" rbitfld.long 0x1C 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter for Cb and Cr" newline rbitfld.long 0x1C 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter for Cb and Cr" group.long 0x6A8++0x03 line.long 0x00 "DISPC_VID2_FIR2,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 2" rbitfld.long 0x00 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter for Cb and Cr" newline rbitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter for Cb and Cr" group.long 0x724++0x03 line.long 0x00 "DISPC_VID3_FIR2,The register configures the resize factors for horizontal and vertical up/downsampling of the video window 3" rbitfld.long 0x00 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter for Cb and Cr" newline rbitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter for Cb and Cr" group.long 0x790++0x03 line.long 0x00 "DISPC_WB_FIR2,The register configures the resize factors for horizontal and vertical up/downsampling of the write-back pipeline" rbitfld.long 0x00 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 16.--28. 1. "FIRVINC,Vertical increment of the up/downsampling filter for Cb and Cr" newline rbitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x00 0.--12. 1. "FIRHINC,Horizontal increment of the up/downsampling filter for Cb and Cr" group.long 0x800++0x07 line.long 0x00 "DISPC_GLOBAL_BUFFER,The register configures the DMA buffers allocations to the pipeline (graphics. video1. video2. video3 and write-back)" rbitfld.long 0x00 30.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3" newline bitfld.long 0x00 24.--29. "WB_BUFFER,Write-back DMA buffer allocation to one of the pipelines" "WB_BUFFER_0,?,?,?,?,?,?,?,?,WB_BUFFER_9,?,?,?,?,?,?,?,?,WB_BUFFER_18,?,?,?,?,?,?,?,?,WB_BUFFER_27,?,?,?,?,?,?,?,?,WB_BUFFER_36,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 18.--23. "VID3_BUFFER,Video3 DMA buffer allocation to one of the pipelines" "VID3_BUFFER_0,?,?,?,?,?,?,?,?,VID3_BUFFER_9,?,?,?,?,?,?,?,?,VID3_BUFFER_18,?,?,?,?,?,?,?,?,VID3_BUFFER_27,?,?,?,?,?,?,?,?,VID3_BUFFER_36,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 12.--17. "VID2_BUFFER,Video2 DMA buffer allocation to one of the pipelines" "VID2_BUFFER_0,?,?,?,?,?,?,?,?,VID2_BUFFER_9,?,?,?,?,?,?,?,?,VID2_BUFFER_18,?,?,?,?,?,?,?,?,VID2_BUFFER_27,?,?,?,?,?,?,?,?,VID2_BUFFER_36,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 6.--11. "VID1_BUFFER,Video1 DMA buffer allocation to one of the pipelines" "VID1_BUFFER_0,?,?,?,?,?,?,?,?,VID1_BUFFER_9,?,?,?,?,?,?,?,?,VID1_BUFFER_18,?,?,?,?,?,?,?,?,VID1_BUFFER_27,?,?,?,?,?,?,?,?,VID1_BUFFER_36,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 0.--5. "GFX_BUFFER,Graphics DMA buffer allocation to one of the pipelines" "GFX_BUFFER_0,?,?,?,?,?,?,?,?,GFX_BUFFER_9,?,?,?,?,?,?,?,?,GFX_BUFFER_18,?,?,?,?,?,?,?,?,GFX_BUFFER_27,?,?,?,?,?,?,?,?,GFX_BUFFER_36,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "DISPC_DIVISOR,The register configures the divisor value for generating the core functional clock" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x04 16.--23. 1. "LCD,Display Controller Logic Clock Divisor Value (from 1 to 255) to specify the frequency of the Display Controller logic clock based on the function clock" newline hexmask.long.word 0x04 1.--15. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x04 0. "ENABLE,When the bit field is set to 1 the bit field LCD is used to generated the core functional clock from the input clock" "ENABLE_0,ENABLE_1" group.long 0x810++0x63 line.long 0x00 "DISPC_WB_ATTRIBUTES2,The register set the counter to control the delay to flush the WB pipe after the end of the frame in capture mode" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline hexmask.long.byte 0x00 0.--7. 1. "WBDELAYCOUNT,Delays the WB pipe flush after the end of the frame" line.long 0x04 "DISPC_DEFAULT_COLOR3,The control register allows to configure the default solid background color for the third LCD" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x04 0.--23. 1. "DEFAULTCOLOR,24-bit RGB color value to specify the default solid color to display when there is no data from the overlays" line.long 0x08 "DISPC_TRANS_COLOR3,The register sets the transparency color value for the video/graphics overlays for the third LCD output" hexmask.long.byte 0x08 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.tbyte 0x08 0.--23. 1. "TRANSCOLORKEY,Transparency color key value in RGB format [0] BITMAP 1 (CLUT) [23 1] set to 0s [1:0] BITMAP 2 (CLUT) [23 2] set to 0s [3:0] BITMAP 4 (CLUT) [23 4] set to 0s [7:0] BITMAP 8 (CLUT) [23 8] set to 0s [11:0] RGB 12 [23 12] set to 0s [15:0] RGB.." line.long 0x0C "DISPC_CPR3_COEF_B,The register configures the color phase rotation matrix coefficients for the blue component" hexmask.long.word 0x0C 22.--31. 1. "BR,BR coefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x0C 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x0C 11.--20. 1. "BG,BG coefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x0C 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x0C 0.--9. 1. "BB,BB coefficient Encoded signed value (from -512 to 511)" line.long 0x10 "DISPC_CPR3_COEF_G,The register configures the color phase rotation matrix coefficients for the green component" hexmask.long.word 0x10 22.--31. 1. "GR,GRcoefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x10 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x10 11.--20. 1. "GG,GG coefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x10 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x10 0.--9. 1. "GB,GB coefficient Encoded signed value (from -512 to 511)" line.long 0x14 "DISPC_CPR3_COEF_R,The register configures the color phase rotation matrix coefficients for the red component" hexmask.long.word 0x14 22.--31. 1. "RR,RR coefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x14 21. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x14 11.--20. 1. "RG,RG coefficient Encoded signed value (from -512 to 511)" newline rbitfld.long 0x14 10. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long.word 0x14 0.--9. 1. "RB,RB coefficient Encoded signed value (from -512 to 511)" line.long 0x18 "DISPC_DATA3_CYCLE1,The control register configures the output data format for the first cycle" rbitfld.long 0x18 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 24.--27. "BITALIGNMENTPIXEL2,Bit alignment Alignment of the bits from pixel 2 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x18 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x18 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 8.--11. "BITALIGNMENTPIXEL1,Bit alignment Alignment of the bits from pixel 1 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x18 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x1C "DISPC_DATA3_CYCLE2,The control register configures the output data format for the second cycle" rbitfld.long 0x1C 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 24.--27. "BITALIGNMENTPIXEL2,Bit alignment Alignment of the bits from pixel 2 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x1C 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 8.--11. "BITALIGNMENTPIXEL1,Bit alignment Alignment of the bits from pixel 1 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x20 "DISPC_DATA3_CYCLE3,The control register configures the output data format for the third cycle" rbitfld.long 0x20 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 24.--27. "BITALIGNMENTPIXEL2,Bit alignment Alignment of the bits from pixel 2 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x20 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 16.--20. "NBBITSPIXEL2,Number of bits Number of bits from the pixel 2 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x20 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x20 8.--11. "BITALIGNMENTPIXEL1,Bit alignment Alignment of the bits from pixel 1 on the output interface" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x20 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 0.--4. "NBBITSPIXEL1,Number of bits Number of bits from the pixel 1 (value from 0 to 16 bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x24 "DISPC_SIZE_LCD3,The register configures the panel size (horizontal and vertical)" rbitfld.long 0x24 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x24 16.--27. 1. "LPP,Lines per panel Encoded value (from 1 to 4096) to specify the number of lines per panel (program to value minus 1)" newline bitfld.long 0x24 14.--15. "DELTA_LPP,Indicates the delta size value of the odd field compared to the even field" "DELTA_LPP_0,DELTA_LPP_1,DELTA_LPP_2,?" newline rbitfld.long 0x24 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x24 0.--11. 1. "PPL,Pixels per line Encoded value (from 1 to 4096) to specify the number of pixels contained within each line on the display (program to value minus 1)" line.long 0x28 "DISPC_DIVISOR3,The register configures the divisors" hexmask.long.byte 0x28 24.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x28 16.--23. 1. "LCD,Display controller logic clock divisor Value (from 1 to 255) to specify the intermediate pixel clock frequency based on LCD2_CLK" newline hexmask.long.byte 0x28 8.--15. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.byte 0x28 0.--7. 1. "PCD,Pixel clock divisor Value (from 1 to 255) to specify the frequency of the pixel clock based on LCD2_CLK divided by the value of DISPC_DIVISOR2.LCD" line.long 0x2C "DISPC_POL_FREQ3,The register configures the signal configuration" hexmask.long.word 0x2C 19.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x2C 18. "ALIGN,Defines the alignment betwwen HSYNC and VSYNC assertion" "ALIGN_0,ALIGN_1" newline bitfld.long 0x2C 17. "ONOFF,HSYNC/VSYNC pixel clock control on/offNote: Control module register CTRL_CORE_SMA_SW_1[24] DSS_CH2_ON_OFF must be set to match enum=DBit16" "ONOFF_0,ONOFF_1" newline bitfld.long 0x2C 16. "RF,Program HSYNC/VSYNC rise or fallNote: Control module register CTRL_CORE_SMA_SW_1[18] DSS_CH2_RF must be set to match enum=DRiEdPCk" "RF_0,RF_1" newline bitfld.long 0x2C 15. "IEO,Invert output enable" "IEO_0,IEO_1" newline bitfld.long 0x2C 14. "IPC,Invert pixel clockNote: Control module register CTRL_CORE_SMA_SW_1[21] DSS_CH2_IPC must be set to match enum=DfPCk" "IPC_0,IPC_1" newline bitfld.long 0x2C 13. "IHS,Invert HSYNC" "IHS_0,IHS_1" newline bitfld.long 0x2C 12. "IVS,Invert VSYNC" "IVS_0,IVS_1" newline bitfld.long 0x2C 8.--11. "ACBI,AC bias pin transitions per interrupt Value (from 0 to 15) used to specify the number of AC bias pin transitions" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x2C 0.--7. 1. "ACB,AC bias pin frequency Value (from 0 to 255) used to specify the number of line clocks to count before transitioning the AC bias pin" line.long 0x30 "DISPC_TIMING_H3,The register configures the timing logic for the HSYNC signal" hexmask.long.word 0x30 20.--31. 1. "HBP,Horizontal back porch" newline hexmask.long.word 0x30 8.--19. 1. "HFP,Horizontal front porch" newline hexmask.long.byte 0x30 0.--7. 1. "HSW,Horizontal synchronization pulse width" line.long 0x34 "DISPC_TIMING_V3,The register configures the timing logic for the VSYNC signal" hexmask.long.word 0x34 20.--31. 1. "VBP,Vertical back porch" newline hexmask.long.word 0x34 8.--19. 1. "VFP,Vertical front porch" newline hexmask.long.byte 0x34 0.--7. 1. "VSW,Vertical synchronization pulse width" line.long 0x38 "DISPC_CONTROL3,The control register configures the display controller module for the third LCD output" bitfld.long 0x38 30.--31. "SPATIALTEMPORALDITHERINGFRAMES,Spatial/temporal dithering number of frames for the third LCD output wr: VFP start period of the third LCD output" "SPATIALTEMPORALDITHERINGFRAMES_0,SPATIALTEMPORALDITHERINGFRAMES_1,SPATIALTEMPORALDITHERINGFRAMES_2,SPATIALTEMPORALDITHERINGFRAMES_3" newline rbitfld.long 0x38 27.--29. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x38 25.--26. "TDMUNUSEDBITS,State of unused bits (TDM mode only) for the third LCD output wr: VFP start period of the third LCD output" "TDMUNUSEDBITS_0,TDMUNUSEDBITS_1,TDMUNUSEDBITS_2,TDMUNUSEDBITS_3" newline bitfld.long 0x38 23.--24. "TDMCYCLEFORMAT,Cycle format (TDM mode only) for the third LCD output wr: VFP start period of third LCD output" "TDMCYCLEFORMAT_0,TDMCYCLEFORMAT_1,TDMCYCLEFORMAT_2,TDMCYCLEFORMAT_3" newline bitfld.long 0x38 21.--22. "TDMPARALLELMODE,Output interface width (TDM mode only) for the third LCD output wr: VFP start period of the third LCD output" "TDMPARALLELMODE_0,TDMPARALLELMODE_1,TDMPARALLELMODE_2,TDMPARALLELMODE_3" newline bitfld.long 0x38 20. "TDMENABLE,Enable the multiple cycle format for the third LCD output wr: VFP start period of third LCD output" "TDMENABLE_0,TDMENABLE_1" newline bitfld.long 0x38 14.--19. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x38 13. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 12. "OVERLAYOPTIMIZATION,Overlay optimization for the third LCD output wr: VFP or EVSYNC or when DISPC_CONTROL2.GOWB is set to 1 by software and current WB frame is finished (no more data in the write-back pipeline)" "OVERLAYOPTIMIZATION_0,OVERLAYOPTIMIZATION_1" newline bitfld.long 0x38 11. "STALLMODE,STALL mode for the third LCD output wr: VFP start period of the third LCD output" "STALLMODE_0,STALLMODE_1" newline rbitfld.long 0x38 10. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x38 8.--9. "TFTDATALINES,Number of lines of the third LCD interface wr: VFP start period of the third LCD output" "TFTDATALINES_0,TFTDATALINES_1,TFTDATALINES_2,TFTDATALINES_3" newline bitfld.long 0x38 7. "STDITHERENABLE,Spatial temporal dithering enable for the third LCD output wr: VFP start period of the third LCD output" "STDITHERENABLE_0,STDITHERENABLE_1" newline rbitfld.long 0x38 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 5. "GOLCD,GO command for the third LCD output" "GOLCD_0,GOLCD_1" newline bitfld.long 0x38 4. "M8B,Mono 8-bit mode of the third LCD wr: VFP start period of the third LCD output" "M8B_0,M8B_1" newline bitfld.long 0x38 3. "STNTFT,LCD Display type of the third LCD wr: VFP start period of the third LCD output" "STNTFT_0,STNTFT_1" newline bitfld.long 0x38 2. "MONOCOLOR,Monochrome/color selection for the third LCD wr: VFP start period of the third LCD output" "MONOCOLOR_0,MONOCOLOR_1" newline rbitfld.long 0x38 1. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x38 0. "LCDENABLE,Enable the third LCD output wr: Immediate" "LCDENABLE_0,LCDENABLE_1" line.long 0x3C "DISPC_CONFIG3,The control register configures the display controller module for the third LCD output" rbitfld.long 0x3C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 26.--27. "TLCDINTERLEAVE,tLCD interleave Pattern" "0,1,2,3" newline bitfld.long 0x3C 25. "FULLRANGE,Color space conversion full range setting" "FULLRANGE_0,FULLRANGE_1" newline bitfld.long 0x3C 24. "COLORCONVENABLE,Enable the color space conversion" "COLORCONVENABLE_0,COLORCONVENABLE_1" newline bitfld.long 0x3C 23. "FIDFIRST,Selects the first field to output in case of interlace mode" "FIDFIRST_0,FIDFIRST_1" newline bitfld.long 0x3C 22. "OUTPUTMODEENABLE,Selects between progressive and interlace mode for the third LCD output" "OUTPUTMODEENABLE_0,OUTPUTMODEENABLE_1" newline bitfld.long 0x3C 21. "BT1120ENABLE,Selects BT.1120 format on the third LCD output" "BT1120ENABLE_0,BT1120ENABLE_1" newline bitfld.long 0x3C 20. "BT656ENABLE,Selects BT.656 format on the third LCD output" "BT656ENABLE_0,BT656ENABLE_1" newline rbitfld.long 0x3C 16.--19. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 15. "CPR,Color phase rotation control ( third LCD output)" "CPR_0,CPR_1" newline rbitfld.long 0x3C 12.--14. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x3C 11. "TCKLCDSELECTION,Transparency color key selection (third LCD output) wr: VFP start period of the third LCD output" "TCKLCDSELECTION_0,TCKLCDSELECTION_1" newline bitfld.long 0x3C 10. "TCKLCDENABLE,Transparency color key enabled (third LCD output) wr: VFP start period of the third LCD output" "TCKLCDENABLE_0,TCKLCDENABLE_1" newline rbitfld.long 0x3C 9. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x3C 8. "ACBIASGATED,ACBias gated enabled (third LCD output) wr: VFP start period of the third LCD output" "ACBIASGATED_0,ACBIASGATED_1" newline bitfld.long 0x3C 7. "VSYNCGATED,VSYNC gated enabled (third LCD output) wr: VFP start period of the third LCD output" "VSYNCGATED_0,VSYNCGATED_1" newline bitfld.long 0x3C 6. "HSYNCGATED,HSYNC gated enabled (third LCD output) wr: VFP start period of the third LCD output" "HSYNCGATED_0,HSYNCGATED_1" newline bitfld.long 0x3C 5. "PIXELCLOCKGATED,Pixel clock gated enabled (third LCD output) wr: VFP start period of the third LCD output" "PIXELCLOCKGATED_0,PIXELCLOCKGATED_1" newline bitfld.long 0x3C 4. "PIXELDATAGATED,Pixel data gated enabled (third LCD output) wr: VFP start period of the third LCD output" "PIXELDATAGATED_0,PIXELDATAGATED_1" newline rbitfld.long 0x3C 1.--3. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x3C 0. "PIXELGATED,Pixel gated enable (only for TFT) (third LCD output) wr: VFP start period of the third LCD output" "PIXELGATED_0,PIXELGATED_1" line.long 0x40 "DISPC_GAMMA_TABLE3,The register configures the gamma table on the third LCD output" hexmask.long.byte 0x40 24.--31. 1. "INDEX,Defines the location in the table where the VALUE bit field is stored" newline hexmask.long.byte 0x40 16.--23. 1. "VALUE_R,8-bit value used to define the value to store at the location in the table defined by the INDEX bit field" newline hexmask.long.byte 0x40 8.--15. 1. "VALUE_G,8-bit value used to define the value to store at the location in the table defined by the INDEX bit field" newline hexmask.long.byte 0x40 0.--7. 1. "VALUE_B,8-bit value used to define the value to store at the location in the table defined by the INDEX bit field" line.long 0x44 "DISPC_BA0_FLIPIMMEDIATE_EN,This register enables the flip immediate" hexmask.long 0x44 4.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x44 3. "VID3,Enable flip immediate for video3 pipeline" "0,1" newline bitfld.long 0x44 2. "VID2,Enable flip immediate for video2 pipeline" "0,1" newline bitfld.long 0x44 1. "VID1,Enable flip immediate for video1 pipeline" "0,1" newline bitfld.long 0x44 0. "GFX,Enable flip immediate for gfx pipeline" "0,1" line.long 0x48 "DISABLE_MSTANDBY_ENHANCEMENT,This register disables the DISPC DMA Mstandby behavior enhancement" hexmask.long 0x48 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x48 0. "DISABLE_MSTANDBY_ENHANCEMENT," "0,1" line.long 0x4C "DISPC_GLOBAL_MFLAG_ATTRIBUTE,Global MFLAG atrribute control register" hexmask.long 0x4C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x4C 2. "MFLAG_START,MFLAG Start" "MFLAG_START_0,MFLAG_START_1" newline bitfld.long 0x4C 0.--1. "MFLAG_CTRL,MFLAG control" "MFLAG_CTRL_0,MFLAG_CTRL_1,MFLAG_CTRL_2,?" line.long 0x50 "DISPC_GFX_MFLAG_THRESHOLD,MFLAG thresholds for graphics pipeline" hexmask.long.word 0x50 16.--31. 1. "HT_MFLAG,High Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches HT_MFLAG level MFLAG is reset to 0" newline hexmask.long.word 0x50 0.--15. 1. "LT_MFLAG,Low Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches LT_MFLAG level MFLAG is set to 1" line.long 0x54 "DISPC_VID1_MFLAG_THRESHOLD,MFLAG thresholds for video1 pipeline" hexmask.long.word 0x54 16.--31. 1. "HT_MFLAG,High Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches HT_MFLAG level MFLAG is reset to 0" newline hexmask.long.word 0x54 0.--15. 1. "LT_MFLAG,Low Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches LT_MFLAG level MFLAG is set to 1" line.long 0x58 "DISPC_VID2_MFLAG_THRESHOLD,MFLAG thresholds for video2 pipeline" hexmask.long.word 0x58 16.--31. 1. "HT_MFLAG,High Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches HT_MFLAG level MFLAG is reset to 0" newline hexmask.long.word 0x58 0.--15. 1. "LT_MFLAG,Low Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches LT_MFLAG level MFLAG is set to 1" line.long 0x5C "DISPC_VID3_MFLAG_THRESHOLD,MFLAG thresholds for video3 pipeline" hexmask.long.word 0x5C 16.--31. 1. "HT_MFLAG,High Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches HT_MFLAG level MFLAG is reset to 0" newline hexmask.long.word 0x5C 0.--15. 1. "LT_MFLAG,Low Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches LT_MFLAG level MFLAG is set to 1" line.long 0x60 "DISPC_WB_MFLAG_THRESHOLD,MFLAG thresholds for write-back pipeline" hexmask.long.word 0x60 16.--31. 1. "HT_MFLAG,High Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches HT_MFLAG level MFLAG is reset to 0" newline hexmask.long.word 0x60 0.--15. 1. "LT_MFLAG,Low Thresholds (in 128bits) for MFLAG generation: when FIFO fullness reaches LT_MFLAG level MFLAG is set to 1" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x7E0)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_V2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7A4)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_HV2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7A0)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_H2_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x794)++0x03 line.long 0x00 "DISPC_WB_ACCU2_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the write back pipeline (DISPC_WB_ACCU2_0 and DISPC_WB_ACCU2_1 for ping-pong mechanism with external trigger. based on the field.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accu value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accu value Encoded value (from -1024 to 1023)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x770)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_V2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x734)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_HV2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x730)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_H2_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x728)++0x03 line.long 0x00 "DISPC_VID3_ACCU2_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 3 (DISPC_VID3_ACCU2_0 and DISPC_VID3_ACCU2_1 for ping-pong mechanism with external trigger. based on the.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accu value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accu value Encoded value (from -1024 to 1023)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x6F4)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_V2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x6B8)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_HV2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x6B4)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_H2_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x6AC)++0x03 line.long 0x00 "DISPC_VID2_ACCU2_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 2 (DISPC_VID2_ACCU2_0 and DISPC_VID2_ACCU2_1 for ping-pong mechanism with external trigger. based on the.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accu value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accu value Encoded value (from -1024 to 1023)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x688)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_V2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x64C)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_HV2_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x648)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_H2_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x640)++0x03 line.long 0x00 "DISPC_VID1_ACCU2_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 1 (DISPC_VID1_ACCU2_0 and DISPC_VID1_ACCU2_1 for ping-pong mechanism with external trigger. based on the.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accu value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accu value Encoded value (from -1024 to 1023)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x618)++0x03 line.long 0x00 "DISPC_WB_BA_UV_j_$1,The register configures the base address of the UV buffer for the write-back pipeline" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x610)++0x03 line.long 0x00 "DISPC_VID3_BA_UV_j_$1,The register configures the base address of the UV buffer for the video window 3" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x608)++0x03 line.long 0x00 "DISPC_VID2_BA_UV_j_$1,The register configures the base address of the UV buffer for the video window 2" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "DISPC_VID1_BA_UV_j_$1,The register configures the base address of the UV buffer for the video window 1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x550)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_V_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x514)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_HV_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x510)++0x03 line.long 0x00 "DISPC_WB_FIR_COEF_H_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the write back pipeline for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x508)++0x03 line.long 0x00 "DISPC_WB_BA_j_$1,The register configures the base address of the WB buffer (DISPC_WB_BA_0 and DISPC_WB_BA_1 for ping-pong mechanism with external trigger. based on the field polarity otherwise only DISPC_WB_BA_0 is used)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x500)++0x03 line.long 0x00 "DISPC_WB_ACCU_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the write back pipeline (DISPC_WB_ACCU_0 and DISPC_WB_ACCU_1 for ping-pong mechanism with external trigger. based on the field.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accumulator value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accumulator value encoded value (from -1024 to 1023)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x350)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_V_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x314)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_HV_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x310)++0x03 line.long 0x00 "DISPC_VID3_FIR_COEF_H_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 3 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x308)++0x03 line.long 0x00 "DISPC_VID3_BA_j_$1,The register configures the base address of the video buffer for the video window 3 (DISPC_VID3_BA_0 and DISPC_VID3_BA_1 for ping-pong mechanism with external trigger. based on the field polarity otherwise only DISPC_VID3_BA_0 is.." repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x300)++0x03 line.long 0x00 "DISPC_VID3_ACCU_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 3 (DISPC_VID3_ACCU_0 and DISPC_VID3_ACCU_1 for ping-pong mechanism with external trigger. based on the field.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accu value Encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accu value Encoded value (from -1024 to 1023)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_V_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x1E0)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_V_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.byte 0x00 8.--15. 1. "FIRVC22,Signed coefficient C22 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 0.--7. 1. "FIRVC00,Signed coefficient C00 for the vertical up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x184)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_HV_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x180)++0x03 line.long 0x00 "DISPC_VID2_FIR_COEF_H_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 2 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x178)++0x03 line.long 0x00 "DISPC_VID2_ACCU_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 2 (DISPC_VID2_ACCU_0 and DISPC_VID2_ACCU_1 for ping-pong mechanism with external trigger. based on the field.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accumulator value encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accumulator value encoded value (from -1024 to 1023)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x14C)++0x03 line.long 0x00 "DISPC_VID2_BA_j_$1,The register configures the base address of the video buffer for the video window 2 (DISPC_VID2_BA_0 and DISPC_VID2_BA_1 for ping-pong mechanism with external trigger. based on the field polarity otherwise only DISPC_VID2_BA_0 is.." repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0xF4)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_HV_i_$1,The bank of registers configure the down/up/down-scaling coefficients for the vertical and horizontal resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRVC2,Signed coefficient C2 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRVC1,Unsigned coefficient C1 for the vertical up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRVC0,Signed coefficient C0 for the vertical up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC4,Signed coefficient C4 for the horizontal up/down-scaling with the phase n" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0xF0)++0x03 line.long 0x00 "DISPC_VID1_FIR_COEF_H_i_$1,The bank of registers configure the up/down-scaling coefficients for the horizontal resize of the video picture associated with the video window 1 for the phases from 0 to 7" hexmask.long.byte 0x00 24.--31. 1. "FIRHC3,Signed coefficient C3 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 16.--23. 1. "FIRHC2,Unsigned coefficient C2 for the horizontal up/down-scaling with the phase n" newline hexmask.long.byte 0x00 8.--15. 1. "FIRHC1,Signed coefficient C1 for the horizontal up/down-scaling with the phase n" hexmask.long.byte 0x00 0.--7. 1. "FIRHC0,Signed coefficient C0 for the horizontal up/down-scaling with the phase n" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xE8)++0x03 line.long 0x00 "DISPC_VID1_ACCU_j_$1,The register configures the resize accumulator init values for horizontal and vertical up/downsampling of the video window 1 (DISPC_VID1_ACCU_0 and DISPC_VID1_ACCU_1 for ping-pong mechanism with external trigger. based on the field.." rbitfld.long 0x00 27.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "VERTICALACCU,Vertical initialization accumulator value encoded value (from -1024 to 1023)" newline rbitfld.long 0x00 11.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "HORIZONTALACCU,Horizontal initialization accumulator value encoded value (from -1024 to 1023)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xBC)++0x03 line.long 0x00 "DISPC_VID1_BA_j_$1,The register configures the base address of the video buffer for the video window 1 (DISPC_VID1_BA_0 and DISPC_VID1_BA_1 for ping-pong mechanism with external trigger. based on the field polarity otherwise only DISPC_VID1_BA_0 is used)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x80)++0x03 line.long 0x00 "DISPC_GFX_BA_j_$1,The register configures the base address of the graphics buffer displayed in the graphics window (0 and 1 :for ping-pong mechanism with external trigger. based on the field polarity. 0 only used when graphics pipeline on the LCD output.." repeat.end tree.end tree "DMA_SYSTEM" base ad:0x4A056000 rgroup.long 0x00++0x03 line.long 0x00 "DMA4_REVISION,This register contains the DMA revision code" rgroup.long 0x28++0x07 line.long 0x00 "DMA4_SYSSTATUS,The register provides status information about the module excluding the interrupt status information (see interrupt status register)" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved for module-specific status information" newline bitfld.long 0x00 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x04 "DMA4_OCP_SYSCONFIG,DMA system configuration register" hexmask.long.tbyte 0x04 14.--31. 1. "RESERVED,Write 0's for future compatibility Reads return 0" newline bitfld.long 0x04 12.--13. "MIDLEMODE,Read write power management standby/wait control" "MIDLEMODE_0,MIDLEMODE_1,MIDLEMODE_2,MIDLEMODE_3" newline bitfld.long 0x04 10.--11. "RESERVED,Reserved for clocks activities extension" "0,1,2,3" newline rbitfld.long 0x04 8.--9. "CLOCKACTIVITY,Clocks activities during wake-up Bit" "0,1,2,3" newline bitfld.long 0x04 6.--7. "RESERVED,Write 0's for future compatibility" "0,1,2,3" newline bitfld.long 0x04 5. "EMUFREE,Enable sensitivity to MSuspend" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x04 3.--4. "SIDLEMODE,Configuration port power management Idle req/ack control" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" newline bitfld.long 0x04 2. "RESERVED,Write 0's for future compatibility Reads return 0" "0,1" newline bitfld.long 0x04 1. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x04 0. "AUTOIDLE,Internal interface clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" group.long 0x64++0x03 line.long 0x00 "DMA4_CAPS_0,DMA Capabilities Register 0 LSW" hexmask.long.word 0x00 22.--31. 1. "RESERVED,Write 0's for future compatibility" newline rbitfld.long 0x00 21. "LINK_LIST_CPBLTY_TYPE4,Link List capability for type4 descriptor capability" "0,1" newline rbitfld.long 0x00 20. "LINK_LIST_CPBLTY_TYPE123,Link List capability for type123 descriptor capability" "0,1" newline rbitfld.long 0x00 19. "CONST_FILL_CPBLTY,Constant_Fill_Capability" "CONST_FILL_CPBLTY_0_r,CONST_FILL_CPBLTY_1_r" newline rbitfld.long 0x00 18. "TRANSPARENT_BLT_CPBLTY,Transparent_BLT_Capability" "TRANSPARENT_BLT_CPBLTY_0_r,TRANSPARENT_BLT_CPBLTY_1_r" newline hexmask.long.tbyte 0x00 0.--17. 1. "RESERVED,Write 0's for future compatibility" rgroup.long 0x6C++0x0F line.long 0x00 "DMA4_CAPS_2,DMA Capabilities Register 2" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 8. "SEPARATE_SRC_AND_DST_INDEX_CPBLTY,Separate_source/destination_index_capability" "SEPARATE_SRC_AND_DST_INDEX_CPBLTY_0_r,SEPARATE_SRC_AND_DST_INDEX_CPBLTY_1_r" newline bitfld.long 0x00 7. "DST_DOUBLE_INDEX_ADRS_CPBLTY,Destination_double_index_address_capability" "DST_DOUBLE_INDEX_ADRS_CPBLTY_0_r,DST_DOUBLE_INDEX_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 6. "DST_SINGLE_INDEX_ADRS_CPBLTY,Destination_single_index_address_capability" "DST_SINGLE_INDEX_ADRS_CPBLTY_0_r,DST_SINGLE_INDEX_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 5. "DST_POST_INCRMNT_ADRS_CPBLTY,Destination_post_increment_address_capability" "DST_POST_INCRMNT_ADRS_CPBLTY_0_r,DST_POST_INCRMNT_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 4. "DST_CONST_ADRS_CPBLTY,Destination_constant_address_capability" "DST_CONST_ADRS_CPBLTY_0_r,DST_CONST_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 3. "SRC_DOUBLE_INDEX_ADRS_CPBLTY,Source_double_index_address_capability" "SRC_DOUBLE_INDEX_ADRS_CPBLTY_0_r,SRC_DOUBLE_INDEX_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 2. "SRC_SINGLE_INDEX_ADRS_CPBLTY,Source_single_index_address_capability" "SRC_SINGLE_INDEX_ADRS_CPBLTY_0_r,SRC_SINGLE_INDEX_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 1. "SRC_POST_INCREMENT_ADRS_CPBLTY,Source_post_increment_address_capability" "SRC_POST_INCREMENT_ADRS_CPBLTY_0_r,SRC_POST_INCREMENT_ADRS_CPBLTY_1_r" newline bitfld.long 0x00 0. "SRC_CONST_ADRS_CPBLTY,Source_constant_address_capability" "SRC_CONST_ADRS_CPBLTY_0_r,SRC_CONST_ADRS_CPBLTY_1_r" line.long 0x04 "DMA4_CAPS_3,DMA Capabilities Register 3" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x04 7. "BLOCK_SYNCHR_CPBLTY,Block_synchronization_capability" "BLOCK_SYNCHR_CPBLTY_0_r,BLOCK_SYNCHR_CPBLTY_1_r" newline bitfld.long 0x04 6. "PKT_SYNCHR_CPBLTY,Packet_synchronization_capability" "PKT_SYNCHR_CPBLTY_0_r,PKT_SYNCHR_CPBLTY_1_r" newline bitfld.long 0x04 5. "CHANNEL_CHANINIG_CPBLTY,Channel_Chaninig_capability" "CHANNEL_CHANINIG_CPBLTY_0_r,CHANNEL_CHANINIG_CPBLTY_1_r" newline bitfld.long 0x04 4. "CHANNEL_INTERLEAVE_CPBLTY,Channel_interleave_capability" "CHANNEL_INTERLEAVE_CPBLTY_0_r,CHANNEL_INTERLEAVE_CPBLTY_1_r" newline bitfld.long 0x04 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 1. "FRAME_SYNCHR_CPBLTY,Frame_synchronization_capability" "FRAME_SYNCHR_CPBLTY_0_r,FRAME_SYNCHR_CPBLTY_1_r" newline bitfld.long 0x04 0. "ELMNT_SYNCHR_CPBLTY,Element_synchronization_capability" "ELMNT_SYNCHR_CPBLTY_0_r,ELMNT_SYNCHR_CPBLTY_1_r" line.long 0x08 "DMA4_CAPS_4,DMA Capabilities Register 4" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED,Write 0's for future compatibility" newline rbitfld.long 0x08 14. "EOSB_INTERRUPT_CPBLTY,End of Super Block detection capability" "0,1" newline rbitfld.long 0x08 13. "RESERVED,Reserved for non-GP devices" "0,1" newline rbitfld.long 0x08 12. "DRAIN_END_INTERRUPT_CPBLTY,Drain End detection capability" "0,1" newline rbitfld.long 0x08 11. "MISALIGNED_ADRS_ERR_INTERRUPT_CPBLTY,Misaligned error detection capability" "0,1" newline rbitfld.long 0x08 10. "SUPERVISOR_ERR_INTERRUPT_CPBLTY,Supervisor error detection capability" "0,1" newline rbitfld.long 0x08 9. "RESERVED,Reserved for non-GP devices" "0,1" newline rbitfld.long 0x08 8. "TRANS_ERR_INTERRUPT_CPBLTY,Transaction error detection capability" "0,1" newline rbitfld.long 0x08 7. "PKT_INTERRUPT_CPBLTY,End of Packet detection capability" "PKT_INTERRUPT_CPBLTY_0_r,PKT_INTERRUPT_CPBLTY_1_r" newline rbitfld.long 0x08 6. "SYNC_STATUS_CPBLTY,Sync_status_capability" "SYNC_STATUS_CPBLTY_0_r,SYNC_STATUS_CPBLTY_1_r" newline rbitfld.long 0x08 5. "BLOCK_INTERRUPT_CPBLTY,End of block detection capability" "BLOCK_INTERRUPT_CPBLTY_0_r,BLOCK_INTERRUPT_CPBLTY_1_r" newline rbitfld.long 0x08 4. "LAST_FRAME_INTERRUPT_CPBLTY,Start of last frame detection capability" "LAST_FRAME_INTERRUPT_CPBLTY_0_r,LAST_FRAME_INTERRUPT_CPBLTY_1_r" newline rbitfld.long 0x08 3. "FRAME_INTERRUPT_CPBLTY,End of frame detection capability" "FRAME_INTERRUPT_CPBLTY_0_r,FRAME_INTERRUPT_CPBLTY_1_r" newline rbitfld.long 0x08 2. "HALF_FRAME_INTERRUPT_CPBLTY,Detection capability of the half of frame end" "HALF_FRAME_INTERRUPT_CPBLTY_0_r,HALF_FRAME_INTERRUPT_CPBLTY_1_r" newline rbitfld.long 0x08 1. "EVENT_DROP_INTERRUPT_CPBLTY,Request collision detection capability" "EVENT_DROP_INTERRUPT_CPBLTY_0_r,EVENT_DROP_INTERRUPT_CPBLTY_1_r" newline bitfld.long 0x08 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x0C "DMA4_GCR,FIFO sharing between high and low priority channel" hexmask.long.byte 0x0C 25.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x0C 24. "CHANNEL_ID_GATE,Gates the Channel ID bus monitoring on both Read and Write ports" "Gates the Channel ID qualifiers on both Read and..,Does not gate the Channel ID qualifiers on both.." newline hexmask.long.byte 0x0C 16.--23. 1. "ARBITRATION_RATE,Arbitration switching rate between prioritized and regular channel queues" newline bitfld.long 0x0C 14.--15. "HI_LO_FIFO_BUDGET,Allow to have a separate Global FIFO budget for high and low priority channels" "HI_LO_FIFO_BUDGET_0,HI_LO_FIFO_BUDGET_1,HI_LO_FIFO_BUDGET_2,HI_LO_FIFO_BUDGET_3" newline bitfld.long 0x0C 12.--13. "HI_THREAD_RESERVED,Allow thread reservation for high priority channel on both read and write ports" "HI_THREAD_RESERVED_0,HI_THREAD_RESERVED_1,HI_THREAD_RESERVED_2,HI_THREAD_RESERVED_3" newline bitfld.long 0x0C 8.--11. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x0C 0.--7. 1. "MAX_CHANNEL_FIFO_DEPTH,Maximum FIFO depth allocated to one logical channel" repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6D8)++0x03 line.long 0x00 "DMA4_CCDNi_$1," hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility Reads return 0" newline hexmask.long.word 0x00 0.--15. 1. "CURRENT_DESCRIPTOR_NBR,This register when read contains the current active descriptor number in the link list" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xD8)++0x03 line.long 0x00 "DMA4_CCDNi_$1," hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility Reads return 0" newline hexmask.long.word 0x00 0.--15. 1. "CURRENT_DESCRIPTOR_NBR,This register when read contains the current active descriptor number in the link list" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6D4)++0x03 line.long 0x00 "DMA4_CNDPi_$1,This register contains the Next descriptor Address Pointer for the link list Mechanism" hexmask.long 0x00 2.--31. 1. "NEXT_DESCRIPTOR_POINTER,This register contains the Next descriptor Address Pointer for the link list Mechanism" newline bitfld.long 0x00 0.--1. "RESERVED,Write 0's for future compatibility Reads return 0" "0,1,2,3" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xD4)++0x03 line.long 0x00 "DMA4_CNDPi_$1,This register contains the Next descriptor Address Pointer for the link list Mechanism" hexmask.long 0x00 2.--31. 1. "NEXT_DESCRIPTOR_POINTER,This register contains the Next descriptor Address Pointer for the link list Mechanism" newline bitfld.long 0x00 0.--1. "RESERVED,Write 0's for future compatibility Reads return 0" "0,1,2,3" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6D0)++0x03 line.long 0x00 "DMA4_CDPi_$1,This register controls the various parameters of the link list mechanism" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED,Write 0's for future compatibility Reads return 0" newline bitfld.long 0x00 10. "FAST,Sets the fast-start mode for linked list descriptor types 1 2 and 3" "FAST_0,FAST_1" newline bitfld.long 0x00 8.--9. "TRANSFER_MODE,Enable linked-list transfer mode" "TRANSFER_MODE_0,TRANSFER_MODE_1,TRANSFER_MODE_2,TRANSFER_MODE_3" newline bitfld.long 0x00 7. "PAUSE_LINK_LIST,Suspend the linked-list transfer at completion of the current block transfer" "PAUSE_LINK_LIST_0,PAUSE_LINK_LIST_1" newline bitfld.long 0x00 4.--6. "NEXT_DESCRIPTOR_TYPE,Next Descriptor Type" "NEXT_DESCRIPTOR_TYPE_0,NEXT_DESCRIPTOR_TYPE_1,NEXT_DESCRIPTOR_TYPE_2,NEXT_DESCRIPTOR_TYPE_3,NEXT_DESCRIPTOR_TYPE_4,NEXT_DESCRIPTOR_TYPE_5,NEXT_DESCRIPTOR_TYPE_6,NEXT_DESCRIPTOR_TYPE_7" newline bitfld.long 0x00 2.--3. "SRC_VALID,Source address valid" "SRC_VALID_0,SRC_VALID_1,SRC_VALID_2,SRC_VALID_3" newline bitfld.long 0x00 0.--1. "DEST_VALID,Destination address valid" "DEST_VALID_0,DEST_VALID_1,DEST_VALID_2,DEST_VALID_3" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xD0)++0x03 line.long 0x00 "DMA4_CDPi_$1,This register controls the various parameters of the link list mechanism" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED,Write 0's for future compatibility Reads return 0" newline bitfld.long 0x00 10. "FAST,Sets the fast-start mode for linked list descriptor types 1 2 and 3" "FAST_0,FAST_1" newline bitfld.long 0x00 8.--9. "TRANSFER_MODE,Enable linked-list transfer mode" "TRANSFER_MODE_0,TRANSFER_MODE_1,TRANSFER_MODE_2,TRANSFER_MODE_3" newline bitfld.long 0x00 7. "PAUSE_LINK_LIST,Suspend the linked-list transfer at completion of the current block transfer" "PAUSE_LINK_LIST_0,PAUSE_LINK_LIST_1" newline bitfld.long 0x00 4.--6. "NEXT_DESCRIPTOR_TYPE,Next Descriptor Type" "NEXT_DESCRIPTOR_TYPE_0,NEXT_DESCRIPTOR_TYPE_1,NEXT_DESCRIPTOR_TYPE_2,NEXT_DESCRIPTOR_TYPE_3,NEXT_DESCRIPTOR_TYPE_4,NEXT_DESCRIPTOR_TYPE_5,NEXT_DESCRIPTOR_TYPE_6,NEXT_DESCRIPTOR_TYPE_7" newline bitfld.long 0x00 2.--3. "SRC_VALID,Source address valid" "SRC_VALID_0,SRC_VALID_1,SRC_VALID_2,SRC_VALID_3" newline bitfld.long 0x00 0.--1. "DEST_VALID,Destination address valid" "DEST_VALID_0,DEST_VALID_1,DEST_VALID_2,DEST_VALID_3" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6C4)++0x03 line.long 0x00 "DMA4_COLORi_$1,Channel DMA COLOR KEY /SOLID COLOR" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CH_BLT_FRGRND_COLOR_OR_SOLID_COLOR_PTRN,Color key or solid color pattern: The pattern is replicated according to the data type" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xC4)++0x03 line.long 0x00 "DMA4_COLORi_$1,Channel DMA COLOR KEY /SOLID COLOR" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CH_BLT_FRGRND_COLOR_OR_SOLID_COLOR_PTRN,Color key or solid color pattern: The pattern is replicated according to the data type" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6C0)++0x03 line.long 0x00 "DMA4_CCFNi_$1,Channel Current Transferred Frame Number in the current transfer" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CURRENT_FRAME_NBR,Channel current transferred frame number in the current transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xC0)++0x03 line.long 0x00 "DMA4_CCFNi_$1,Channel Current Transferred Frame Number in the current transfer" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CURRENT_FRAME_NBR,Channel current transferred frame number in the current transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6BC)++0x03 line.long 0x00 "DMA4_CCENi_$1,Channel Current Transferred Element Number in the current frame" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CURRENT_ELMNT_NBR,Channel current transferred element number in the current frame" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xBC)++0x03 line.long 0x00 "DMA4_CCENi_$1,Channel Current Transferred Element Number in the current frame" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CURRENT_ELMNT_NBR,Channel current transferred element number in the current frame" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6B8)++0x03 line.long 0x00 "DMA4_CDACi_$1,Channel Destination Address Value" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xB8)++0x03 line.long 0x00 "DMA4_CDACi_$1,Channel Destination Address Value" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) rgroup.long ($2+0x6B4)++0x03 line.long 0x00 "DMA4_CSACi_$1,Channel Source Address Value" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) rgroup.long ($2+0xB4)++0x03 line.long 0x00 "DMA4_CSACi_$1,Channel Source Address Value" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6B0)++0x03 line.long 0x00 "DMA4_CDFIi_$1,Channel Destination Frame Index (Signed) or 16-bit Packet size" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xB0)++0x03 line.long 0x00 "DMA4_CDFIi_$1,Channel Destination Frame Index (Signed) or 16-bit Packet size" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6AC)++0x03 line.long 0x00 "DMA4_CDEIi_$1,Channel Destination Element Index (Signed)" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_DST_ELMNT_INDEX,Channel destination element index" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xAC)++0x03 line.long 0x00 "DMA4_CDEIi_$1,Channel Destination Element Index (Signed)" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_DST_ELMNT_INDEX,Channel destination element index" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6A8)++0x03 line.long 0x00 "DMA4_CSFIi_$1,Channel Source Frame Index (Signed) or 16-bit Packet size" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xA8)++0x03 line.long 0x00 "DMA4_CSFIi_$1,Channel Source Frame Index (Signed) or 16-bit Packet size" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6A4)++0x03 line.long 0x00 "DMA4_CSEIi_$1,Channel Source Element Index (Signed)" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_SRC_ELMNT_INDEX,Channel source element index" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xA4)++0x03 line.long 0x00 "DMA4_CSEIi_$1,Channel Source Element Index (Signed)" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_SRC_ELMNT_INDEX,Channel source element index" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x6A0)++0x03 line.long 0x00 "DMA4_CDSAi_$1,Channel Destination Start Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0xA0)++0x03 line.long 0x00 "DMA4_CDSAi_$1,Channel Destination Start Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x69C)++0x03 line.long 0x00 "DMA4_CSSAi_$1,Channel Source Start Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x9C)++0x03 line.long 0x00 "DMA4_CSSAi_$1,Channel Source Start Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x698)++0x03 line.long 0x00 "DMA4_CFNi_$1,Channel Frame Number" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_FRAME_NBR,Number of frames within the block to be transferred (unsigned)" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x98)++0x03 line.long 0x00 "DMA4_CFNi_$1,Channel Frame Number" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "CHANNEL_FRAME_NBR,Number of frames within the block to be transferred (unsigned)" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x694)++0x03 line.long 0x00 "DMA4_CENi_$1,Channel Element Number" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CHANNEL_ELMNT_NBR,Number of elements within a frame (unsigned) to transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x94)++0x03 line.long 0x00 "DMA4_CENi_$1,Channel Element Number" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Write 0's for future compatibility" newline hexmask.long.tbyte 0x00 0.--23. 1. "CHANNEL_ELMNT_NBR,Number of elements within a frame (unsigned) to transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x690)++0x03 line.long 0x00 "DMA4_CSDPi_$1,Channel Source Destination Parameters" hexmask.long.word 0x00 22.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 21. "SRC_ENDIAN,Channel source endianness control" "SRC_ENDIAN_0,SRC_ENDIAN_1" newline bitfld.long 0x00 20. "SRC_ENDIAN_LOCK,Endianness Lock" "SRC_ENDIAN_LOCK_0,SRC_ENDIAN_LOCK_1" newline bitfld.long 0x00 19. "DST_ENDIAN,Channel Destination endianness control" "DST_ENDIAN_0,DST_ENDIAN_1" newline bitfld.long 0x00 18. "DST_ENDIAN_LOCK,Endianness Lock" "DST_ENDIAN_LOCK_0,DST_ENDIAN_LOCK_1" newline bitfld.long 0x00 16.--17. "WRITE_MODE,Used to enable writing mode without posting or with posting" "WRITE_MODE_0,WRITE_MODE_1,WRITE_MODE_2,WRITE_MODE_3" newline bitfld.long 0x00 14.--15. "DST_BURST_EN,Used to enable bursting on the Write Port" "DST_BURST_EN_0,DST_BURST_EN_1,DST_BURST_EN_2,DST_BURST_EN_3" newline bitfld.long 0x00 13. "DST_PACKED,Destination receives packed data" "DST_PACKED_0,DST_PACKED_1" newline bitfld.long 0x00 9.--12. "RESERVED,Write the reset value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7.--8. "SRC_BURST_EN,Used to enable bursting on the Read Port" "SRC_BURST_EN_0,SRC_BURST_EN_1,SRC_BURST_EN_2,SRC_BURST_EN_3" newline bitfld.long 0x00 6. "SRC_PACKED,Source provides packed data" "SRC_PACKED_0,SRC_PACKED_1" newline bitfld.long 0x00 2.--5. "RESERVED,Write the reset value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--1. "DATA_TYPE,Defines the type of the data moved in the channel" "DATA_TYPE_0,DATA_TYPE_1,DATA_TYPE_2,DATA_TYPE_3" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x90)++0x03 line.long 0x00 "DMA4_CSDPi_$1,Channel Source Destination Parameters" hexmask.long.word 0x00 22.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 21. "SRC_ENDIAN,Channel source endianness control" "SRC_ENDIAN_0,SRC_ENDIAN_1" newline bitfld.long 0x00 20. "SRC_ENDIAN_LOCK,Endianness Lock" "SRC_ENDIAN_LOCK_0,SRC_ENDIAN_LOCK_1" newline bitfld.long 0x00 19. "DST_ENDIAN,Channel Destination endianness control" "DST_ENDIAN_0,DST_ENDIAN_1" newline bitfld.long 0x00 18. "DST_ENDIAN_LOCK,Endianness Lock" "DST_ENDIAN_LOCK_0,DST_ENDIAN_LOCK_1" newline bitfld.long 0x00 16.--17. "WRITE_MODE,Used to enable writing mode without posting or with posting" "WRITE_MODE_0,WRITE_MODE_1,WRITE_MODE_2,WRITE_MODE_3" newline bitfld.long 0x00 14.--15. "DST_BURST_EN,Used to enable bursting on the Write Port" "DST_BURST_EN_0,DST_BURST_EN_1,DST_BURST_EN_2,DST_BURST_EN_3" newline bitfld.long 0x00 13. "DST_PACKED,Destination receives packed data" "DST_PACKED_0,DST_PACKED_1" newline bitfld.long 0x00 9.--12. "RESERVED,Write the reset value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7.--8. "SRC_BURST_EN,Used to enable bursting on the Read Port" "SRC_BURST_EN_0,SRC_BURST_EN_1,SRC_BURST_EN_2,SRC_BURST_EN_3" newline bitfld.long 0x00 6. "SRC_PACKED,Source provides packed data" "SRC_PACKED_0,SRC_PACKED_1" newline bitfld.long 0x00 2.--5. "RESERVED,Write the reset value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--1. "DATA_TYPE,Defines the type of the data moved in the channel" "DATA_TYPE_0,DATA_TYPE_1,DATA_TYPE_2,DATA_TYPE_3" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x68C)++0x03 line.long 0x00 "DMA4_CSRi_$1,Channel Status Register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 15.--16. "RESERVED,Reserved for debug (Monitor descriptor/data load phase) Write 0's for future compatibility Read returns 0" "0,1,2,3" newline bitfld.long 0x00 14. "SUPER_BLOCK,End of Super block event" "Status bit unchanged,Status bit is reset" newline bitfld.long 0x00 13. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 12. "DRAIN_END,End of channel draining" "Status bit unchanged,Status bit is reset" newline bitfld.long 0x00 11. "MISALIGNED_ADRS_ERR,Misaligned address error event" "MISALIGNED_ADRS_ERR_0_w,MISALIGNED_ADRS_ERR_1_r" newline bitfld.long 0x00 10. "SUPERVISOR_ERR,Supervisor transaction error event" "SUPERVISOR_ERR_0_w,SUPERVISOR_ERR_1_r" newline bitfld.long 0x00 9. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 8. "TRANS_ERR,Transaction error event" "TRANS_ERR_0_w,TRANS_ERR_1_r" newline bitfld.long 0x00 7. "PKT,End of Packet transfer" "PKT_0_w,PKT_1_r" newline bitfld.long 0x00 6. "SYNC,Synchronization status of a channel" "SYNC_0_w,SYNC_1_r" newline bitfld.long 0x00 5. "BLOCK,End of block event" "BLOCK_0_w,BLOCK_1_r" newline bitfld.long 0x00 4. "LAST,Last frame (start of last frame)" "LAST_0_w,LAST_1_r" newline bitfld.long 0x00 3. "FRAME,End of frame event" "FRAME_0_w,FRAME_1_r" newline bitfld.long 0x00 2. "HALF,Half of frame event" "HALF_0_w,HALF_1_r" newline bitfld.long 0x00 1. "DROP,Synchronization event drop occured during the transfer" "DROP_0_w,DROP_1_r" newline bitfld.long 0x00 0. "RESERVED,Write 0's for future compatibility" "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x8C)++0x03 line.long 0x00 "DMA4_CSRi_$1,Channel Status Register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 15.--16. "RESERVED,Reserved for debug (Monitor descriptor/data load phase) Write 0's for future compatibility Read returns 0" "0,1,2,3" newline bitfld.long 0x00 14. "SUPER_BLOCK,End of Super block event" "Status bit unchanged,Status bit is reset" newline bitfld.long 0x00 13. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 12. "DRAIN_END,End of channel draining" "Status bit unchanged,Status bit is reset" newline bitfld.long 0x00 11. "MISALIGNED_ADRS_ERR,Misaligned address error event" "MISALIGNED_ADRS_ERR_0_w,MISALIGNED_ADRS_ERR_1_r" newline bitfld.long 0x00 10. "SUPERVISOR_ERR,Supervisor transaction error event" "SUPERVISOR_ERR_0_w,SUPERVISOR_ERR_1_r" newline bitfld.long 0x00 9. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 8. "TRANS_ERR,Transaction error event" "TRANS_ERR_0_w,TRANS_ERR_1_r" newline bitfld.long 0x00 7. "PKT,End of Packet transfer" "PKT_0_w,PKT_1_r" newline bitfld.long 0x00 6. "SYNC,Synchronization status of a channel" "SYNC_0_w,SYNC_1_r" newline bitfld.long 0x00 5. "BLOCK,End of block event" "BLOCK_0_w,BLOCK_1_r" newline bitfld.long 0x00 4. "LAST,Last frame (start of last frame)" "LAST_0_w,LAST_1_r" newline bitfld.long 0x00 3. "FRAME,End of frame event" "FRAME_0_w,FRAME_1_r" newline bitfld.long 0x00 2. "HALF,Half of frame event" "HALF_0_w,HALF_1_r" newline bitfld.long 0x00 1. "DROP,Synchronization event drop occured during the transfer" "DROP_0_w,DROP_1_r" newline bitfld.long 0x00 0. "RESERVED,Write 0's for future compatibility" "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x688)++0x03 line.long 0x00 "DMA4_CICRi_$1,Channel Interrupt Control Register" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 14. "SUPER_BLOCK_IE,Enables the end of super block interrupt" "0,1" newline bitfld.long 0x00 13. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 12. "DRAIN_IE,Enables the end of draining interrupt" "0,1" newline bitfld.long 0x00 11. "MISALIGNED_ERR_IE,Enables the address misaligned error event interrupt" "MISALIGNED_ERR_IE_0,MISALIGNED_ERR_IE_1" newline bitfld.long 0x00 10. "SUPERVISOR_ERR_IE,Enables the supervisor transaction error event interrupt" "SUPERVISOR_ERR_IE_0,SUPERVISOR_ERR_IE_1" newline bitfld.long 0x00 9. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 8. "TRANS_ERR_IE,Enables the transaction error event interrupt" "TRANS_ERR_IE_0,TRANS_ERR_IE_1" newline bitfld.long 0x00 7. "PKT_IE,Enables the end of Packet interrupt" "PKT_IE_0,PKT_IE_1" newline bitfld.long 0x00 6. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x00 5. "BLOCK_IE,Enables the end of block interrupt" "BLOCK_IE_0,BLOCK_IE_1" newline bitfld.long 0x00 4. "LAST_IE,Last frame interrupt enable (start of last frame)" "LAST_IE_0,LAST_IE_1" newline bitfld.long 0x00 3. "FRAME_IE,Frame interrupt enable (end of frame)" "FRAME_IE_0,FRAME_IE_1" newline bitfld.long 0x00 2. "HALF_IE,Enables or disables the half frame interrupt" "HALF_IE_0,HALF_IE_1" newline bitfld.long 0x00 1. "DROP_IE,Synchronization event drop interrupt enable (request collision)" "DROP_IE_0,DROP_IE_1" newline bitfld.long 0x00 0. "RESERVED,Write 0's for future compatibility" "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x88)++0x03 line.long 0x00 "DMA4_CICRi_$1,Channel Interrupt Control Register" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 14. "SUPER_BLOCK_IE,Enables the end of super block interrupt" "0,1" newline bitfld.long 0x00 13. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 12. "DRAIN_IE,Enables the end of draining interrupt" "0,1" newline bitfld.long 0x00 11. "MISALIGNED_ERR_IE,Enables the address misaligned error event interrupt" "MISALIGNED_ERR_IE_0,MISALIGNED_ERR_IE_1" newline bitfld.long 0x00 10. "SUPERVISOR_ERR_IE,Enables the supervisor transaction error event interrupt" "SUPERVISOR_ERR_IE_0,SUPERVISOR_ERR_IE_1" newline bitfld.long 0x00 9. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 8. "TRANS_ERR_IE,Enables the transaction error event interrupt" "TRANS_ERR_IE_0,TRANS_ERR_IE_1" newline bitfld.long 0x00 7. "PKT_IE,Enables the end of Packet interrupt" "PKT_IE_0,PKT_IE_1" newline bitfld.long 0x00 6. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x00 5. "BLOCK_IE,Enables the end of block interrupt" "BLOCK_IE_0,BLOCK_IE_1" newline bitfld.long 0x00 4. "LAST_IE,Last frame interrupt enable (start of last frame)" "LAST_IE_0,LAST_IE_1" newline bitfld.long 0x00 3. "FRAME_IE,Frame interrupt enable (end of frame)" "FRAME_IE_0,FRAME_IE_1" newline bitfld.long 0x00 2. "HALF_IE,Enables or disables the half frame interrupt" "HALF_IE_0,HALF_IE_1" newline bitfld.long 0x00 1. "DROP_IE,Synchronization event drop interrupt enable (request collision)" "DROP_IE_0,DROP_IE_1" newline bitfld.long 0x00 0. "RESERVED,Write 0's for future compatibility" "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x684)++0x03 line.long 0x00 "DMA4_CLNK_CTRLi_$1,Channel Link Control Register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 15. "ENABLE_LNK,Enables or disable the channel linking" "ENABLE_LNK_0,ENABLE_LNK_1" newline hexmask.long.word 0x00 5.--14. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 0.--4. "NEXTLCH_ID,Defines the NextLCh_ID which is used to build logical channel chaining queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x84)++0x03 line.long 0x00 "DMA4_CLNK_CTRLi_$1,Channel Link Control Register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 15. "ENABLE_LNK,Enables or disable the channel linking" "ENABLE_LNK_0,ENABLE_LNK_1" newline hexmask.long.word 0x00 5.--14. 1. "RESERVED,Write 0's for future compatibility" newline bitfld.long 0x00 0.--4. "NEXTLCH_ID,Defines the NextLCh_ID which is used to build logical channel chaining queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x680)++0x03 line.long 0x00 "DMA4_CCRi_$1,Channel Control Register" bitfld.long 0x00 30.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3" newline bitfld.long 0x00 27.--29. "RESERVED,Reserved for non-GP devices" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 26. "WRITE_PRIORITY,Channel priority on the Write side" "WRITE_PRIORITY_0,WRITE_PRIORITY_1" newline bitfld.long 0x00 25. "BUFFERING_DISABLE,This bit allows to disable the default buffering functionality when transfer is source synchronized" "BUFFERING_DISABLE_0,BUFFERING_DISABLE_1" newline bitfld.long 0x00 24. "SEL_SRC_DST_SYNC,Specifies that element packet frame or block transfer (depending on CCR.bs and CCR.fs) is triggered by the source or the destination on the DMA request" "SEL_SRC_DST_SYNC_0,SEL_SRC_DST_SYNC_1" newline bitfld.long 0x00 23. "PREFETCH,Enables the prefetch mode" "PREFETCH_0,PREFETCH_1" newline bitfld.long 0x00 22. "SUPERVISOR,Enables the supervisor mode" "SUPERVISOR_0,SUPERVISOR_1" newline bitfld.long 0x00 21. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 19.--20. "SYNCHRO_CONTROL_UPPER,Channel Synchronization control upper (used in conjunction with the 5 bits of synchro channelDMA4_CCRi[4:0]) Used in conjunction as 2 MSB with the 5 bits of the synchro channel bit field" "0,1,2,3" newline bitfld.long 0x00 18. "BS,Block synchronization This bit used in conjunction with the fs to see how the DMA request is serviced in a synchronized transfer" "0,1" newline bitfld.long 0x00 17. "TRANSPARENT_COPY_ENABLE,Transparent copy enable" "TRANSPARENT_COPY_ENABLE_0,TRANSPARENT_COPY_ENABLE_1" newline bitfld.long 0x00 16. "CONST_FILL_ENABLE,Constant fill enable" "CONST_FILL_ENABLE_0,CONST_FILL_ENABLE_1" newline bitfld.long 0x00 14.--15. "DST_AMODE,Selects the addressing mode on the Write Port of a channel" "DST_AMODE_0,DST_AMODE_1,DST_AMODE_2,DST_AMODE_3" newline bitfld.long 0x00 12.--13. "SRC_AMODE,Selects the addressing mode on the Read Port of a channel" "SRC_AMODE_0,SRC_AMODE_1,SRC_AMODE_2,SRC_AMODE_3" newline bitfld.long 0x00 11. "RESERVED,Write 0s for future compatibility" "0,1" newline rbitfld.long 0x00 10. "WR_ACTIVE,Indicates if the channel write context is active or not" "WR_ACTIVE_0_r,WR_ACTIVE_1_r" newline rbitfld.long 0x00 9. "RD_ACTIVE,Indicates if the channel read context is active or not" "RD_ACTIVE_0_r,RD_ACTIVE_1_r" newline bitfld.long 0x00 8. "SUSPEND_SENSITIVE,Logical channel suspend enable bit" "SUSPEND_SENSITIVE_0,SUSPEND_SENSITIVE_1" newline bitfld.long 0x00 7. "ENABLE,Logical channel enable" "ENABLE_0,ENABLE_1" newline bitfld.long 0x00 6. "READ_PRIORITY,Channel priority on the read side" "READ_PRIORITY_0,READ_PRIORITY_1" newline bitfld.long 0x00 5. "FS,Frame synchronization This bit used in conjunction with the BS to see how the DMA request is serviced in a synchronized transfer FS = 0 and BS =" "An entire frame is transferred once a DMA..,A packet is transferred once a DMA request is made" newline bitfld.long 0x00 0.--4. "SYNCHRO_CONTROL,Channel synchronization control This bit field used in conjunction with the second_level_ synchro_control_upper (as 2 MSB)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x60 0xC0 0x120 0x180 0x1E0 0x240 0x2A0 0x300 0x360 0x3C0 0x420 0x480 0x4E0 0x540 0x5A0 ) group.long ($2+0x80)++0x03 line.long 0x00 "DMA4_CCRi_$1,Channel Control Register" bitfld.long 0x00 30.--31. "RESERVED,Write 0's for future compatibility" "0,1,2,3" newline bitfld.long 0x00 27.--29. "RESERVED,Reserved for non-GP devices" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 26. "WRITE_PRIORITY,Channel priority on the Write side" "WRITE_PRIORITY_0,WRITE_PRIORITY_1" newline bitfld.long 0x00 25. "BUFFERING_DISABLE,This bit allows to disable the default buffering functionality when transfer is source synchronized" "BUFFERING_DISABLE_0,BUFFERING_DISABLE_1" newline bitfld.long 0x00 24. "SEL_SRC_DST_SYNC,Specifies that element packet frame or block transfer (depending on CCR.bs and CCR.fs) is triggered by the source or the destination on the DMA request" "SEL_SRC_DST_SYNC_0,SEL_SRC_DST_SYNC_1" newline bitfld.long 0x00 23. "PREFETCH,Enables the prefetch mode" "PREFETCH_0,PREFETCH_1" newline bitfld.long 0x00 22. "SUPERVISOR,Enables the supervisor mode" "SUPERVISOR_0,SUPERVISOR_1" newline bitfld.long 0x00 21. "RESERVED,Reserved for non-GP devices" "0,1" newline bitfld.long 0x00 19.--20. "SYNCHRO_CONTROL_UPPER,Channel Synchronization control upper (used in conjunction with the 5 bits of synchro channelDMA4_CCRi[4:0]) Used in conjunction as 2 MSB with the 5 bits of the synchro channel bit field" "0,1,2,3" newline bitfld.long 0x00 18. "BS,Block synchronization This bit used in conjunction with the fs to see how the DMA request is serviced in a synchronized transfer" "0,1" newline bitfld.long 0x00 17. "TRANSPARENT_COPY_ENABLE,Transparent copy enable" "TRANSPARENT_COPY_ENABLE_0,TRANSPARENT_COPY_ENABLE_1" newline bitfld.long 0x00 16. "CONST_FILL_ENABLE,Constant fill enable" "CONST_FILL_ENABLE_0,CONST_FILL_ENABLE_1" newline bitfld.long 0x00 14.--15. "DST_AMODE,Selects the addressing mode on the Write Port of a channel" "DST_AMODE_0,DST_AMODE_1,DST_AMODE_2,DST_AMODE_3" newline bitfld.long 0x00 12.--13. "SRC_AMODE,Selects the addressing mode on the Read Port of a channel" "SRC_AMODE_0,SRC_AMODE_1,SRC_AMODE_2,SRC_AMODE_3" newline bitfld.long 0x00 11. "RESERVED,Write 0s for future compatibility" "0,1" newline rbitfld.long 0x00 10. "WR_ACTIVE,Indicates if the channel write context is active or not" "WR_ACTIVE_0_r,WR_ACTIVE_1_r" newline rbitfld.long 0x00 9. "RD_ACTIVE,Indicates if the channel read context is active or not" "RD_ACTIVE_0_r,RD_ACTIVE_1_r" newline bitfld.long 0x00 8. "SUSPEND_SENSITIVE,Logical channel suspend enable bit" "SUSPEND_SENSITIVE_0,SUSPEND_SENSITIVE_1" newline bitfld.long 0x00 7. "ENABLE,Logical channel enable" "ENABLE_0,ENABLE_1" newline bitfld.long 0x00 6. "READ_PRIORITY,Channel priority on the read side" "READ_PRIORITY_0,READ_PRIORITY_1" newline bitfld.long 0x00 5. "FS,Frame synchronization This bit used in conjunction with the BS to see how the DMA request is serviced in a synchronized transfer FS = 0 and BS =" "An entire frame is transferred once a DMA..,A packet is transferred once a DMA request is made" newline bitfld.long 0x00 0.--4. "SYNCHRO_CONTROL,Channel synchronization control This bit field used in conjunction with the second_level_ synchro_control_upper (as 2 MSB)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x18)++0x03 line.long 0x00 "DMA4_IRQENABLE_Lj_$1,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on line Lj" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x08)++0x03 line.long 0x00 "DMA4_IRQSTATUS_Lj_$1,The interrupt status register regroups all the status of the DMA_SYSTEM channels that can generate an interrupt over line Lj" repeat.end tree.end tree "DMA_SYSTEM_TARG" base ad:0x4A057000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DMM" base ad:0x4E000000 rgroup.long 0x00++0x0B line.long 0x00 "DMM_REVISION,DMM revision number" line.long 0x04 "DMM_HWINFO,DMM hardware configuration" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 8.--11. "SDRC_CNT,Number of attached SDRAM controllers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "SECTION_CNT,Number of DMM sections" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "DMM_LISA_HWINFO,DMM hardware configuration for LISA" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8.--11. "SDRC_CNT,Number of attached SDRAM controllers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--4. "SECTION_CNT,Number of DMM sections" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x10++0x03 line.long 0x00 "DMM_SYSCONFIG,DMM clock management configuration" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLE_MODE,Configuration of the local target state management mode" "IDLE_MODE_0,IDLE_MODE_1,IDLE_MODE_2,IDLE_MODE_3" newline bitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" group.long 0x1C++0x07 line.long 0x00 "DMM_LISA_LOCK,DMM memory mapping lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Should be written as 0" bitfld.long 0x00 0. "LOCK,DMM lock map" "LOCK_0_r,LOCK_1_w" line.long 0x04 "DMM_EMERGENCY,DMM memory mapping register" hexmask.long.word 0x04 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 16.--20. "WEIGHT,Weight for the LISA arbitration when any bit of the vector Mflag[63:0] is set.The recommendation is to set this field to 0x8 with ENABLE =1 after reset" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 1.--15. 1. "Reserved,Reserved" bitfld.long 0x04 0. "ENABLE," "?,ENABLE_1" rgroup.long 0x208++0x03 line.long 0x00 "DMM_TILER_HWINFO,DMM hardware configuration for TILER" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--6. 1. "OR_CNT,Number of TILER orientation entries" group.long 0x220++0x07 line.long 0x00 "DMM_TILER_OR0,DMM TILER orientation (initiators 0 to 7)" bitfld.long 0x00 31. "W7,Write-enable for OR7 bit field" "W7_0_w,W7_1_w" bitfld.long 0x00 28.--30. "OR7,Orientation for initiator 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 27. "W6,Write-enable for OR6 bit field" "W6_0_w,W6_1_w" bitfld.long 0x00 24.--26. "OR6,Orientation for initiator 6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 23. "W5,Write-enable for OR5 bit field" "W5_0_w,W5_1_w" bitfld.long 0x00 20.--22. "OR5,Orientation for initiator 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. "W4,Write-enable for OR4 bit field" "W4_0_w,W4_1_w" bitfld.long 0x00 16.--18. "OR4,Orientation for initiator 4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "W3,Write-enable for OR3 bit field" "W3_0_w,W3_1_w" bitfld.long 0x00 12.--14. "OR3,Orientation for initiator 3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "W2,Write-enable for OR2 bit field" "W2_0_w,W2_1_w" bitfld.long 0x00 8.--10. "OR2,Orientation for initiator 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "W1,Write-enable for OR1 bit field" "W1_0_w,W1_1_w" bitfld.long 0x00 4.--6. "OR1,Orientation for initiator 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3. "W0,Write-enable for OR0 bit field" "W0_0_w,W0_1_w" bitfld.long 0x00 0.--2. "OR0,Orientation for initiator 0" "0,1,2,3,4,5,6,7" line.long 0x04 "DMM_TILER_OR1,DMM TILER orientation (initiators 8 to 15)" bitfld.long 0x04 31. "W15,Write-enable for OR15 bit field" "W15_0_w,W15_1_w" bitfld.long 0x04 28.--30. "OR15,Orientation for initiator 15" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 27. "W14,Write-enable for OR14 bit field" "W14_0_w,W14_1_w" bitfld.long 0x04 24.--26. "OR14,Orientation for initiator 14" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 23. "W13,Write-enable for OR13 bit field" "W13_0_w,W13_1_w" bitfld.long 0x04 20.--22. "OR13,Orientation for initiator 13" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 19. "W12,Write-enable for OR12 bit field" "W12_0_w,W12_1_w" bitfld.long 0x04 16.--18. "OR12,Orientation for initiator 12" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 15. "W11,Write-enable for OR11 bit field" "W11_0_w,W11_1_w" bitfld.long 0x04 12.--14. "OR11,Orientation for initiator 11" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 11. "W10,Write-enable for OR10 bit field" "W10_0_w,W10_1_w" bitfld.long 0x04 8.--10. "OR10,Orientation for initiator 10" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 7. "W9,Write-enable for OR9 bit field" "W9_0_w,W9_1_w" bitfld.long 0x04 4.--6. "OR9,Orientation for initiator 9" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 3. "W8,Write-enable for OR8 bit field" "W8_0_w,W8_1_w" bitfld.long 0x04 0.--2. "OR8,Orientation for initiator 8" "0,1,2,3,4,5,6,7" rgroup.long 0x408++0x0B line.long 0x00 "DMM_PAT_HWINFO,DMM hardware configuration for PAT" bitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "ENGINE_CNT,Number of PAT refill engines" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--20. "LUT_CNT,Number of PAT LUT for page-grained physical address translation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "VIEW_MAP_CNT,Number of internal PAT view mappings" "?,VIEW_MAP_CNT_1_r,VIEW_MAP_CNT_2_r,?,VIEW_MAP_CNT_4_r,?,?,?,VIEW_MAP_CNT_8_r,?,?,?,?,?,?,?" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" hexmask.long.byte 0x00 0.--6. 1. "VIEW_CNT,Number of PAT view entries" line.long 0x04 "DMM_PAT_GEOMETRY,PAT geometry-related settings" bitfld.long 0x04 27.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 24.--26. "CONT_HGHT,Container height in pages" "?,CONT_HGHT_1_r,CONT_HGHT_2_r,?,CONT_HGHT_4_r,?,?,?" newline bitfld.long 0x04 20.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. "CONT_WDTH,Container width in pages" "?,?,CONT_WDTH_2_r,?,CONT_WDTH_4_r,?,?,?,CONT_WDTH_8_r,?,?,?,?,?,?,?" newline bitfld.long 0x04 14.--15. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 8.--13. "ADDR_RANGE,PAT output physical address range" "?,ADDR_RANGE_1_r,ADDR_RANGE_2_r,?,ADDR_RANGE_4_r,?,?,?,ADDR_RANGE_8_r,?,?,?,?,?,?,?,ADDR_RANGE_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,ADDR_RANGE_32_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "PAGE_SZ,Page size in 4-kiB granularity" "?,PAGE_SZ_1_r,?,?,PAGE_SZ_4_r,?,?,?,?,?,?,?,?,?,?,?,PAGE_SZ_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x08 "DMM_PAT_CONFIG,This is the PAT configuration register aimed at defining the major PAT configuration of each refill engine" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MODE3,Mode of refill engine 3" "MODE3_0,MODE3_1" newline bitfld.long 0x08 2. "MODE2,Mode of refill engine 2" "MODE2_0,MODE2_1" bitfld.long 0x08 1. "MODE1,Mode of refill engine 1" "MODE1_0,MODE1_1" newline bitfld.long 0x08 0. "MODE0,Mode of refill engine 0" "MODE0_0,MODE0_1" group.long 0x420++0x07 line.long 0x00 "DMM_PAT_VIEW0,DMM PAT View register (initiators 0 to 7)" bitfld.long 0x00 31. "W7,Write-enable for V7 bit field" "W7_0_w,W7_1_w" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 28.--29. "V7,PAT view for initiator 7" "0,1,2,3" bitfld.long 0x00 27. "W6,Write-enable for V6 bit field" "W6_0_w,W6_1_w" newline bitfld.long 0x00 26. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--25. "V6,PAT view for initiator 6" "0,1,2,3" newline bitfld.long 0x00 23. "W5,Write-enable for V5 bit field" "W5_0_w,W5_1_w" bitfld.long 0x00 22. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 20.--21. "V5,PAT view for initiator 5" "0,1,2,3" bitfld.long 0x00 19. "W4,Write-enable for V4 bit field" "W4_0_w,W4_1_w" newline bitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--17. "V4,PAT view for initiator 4" "0,1,2,3" newline bitfld.long 0x00 15. "W3,Write-enable for V3 bit field" "W3_0_w,W3_1_w" bitfld.long 0x00 14. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 12.--13. "V3,PAT view for initiator 3" "0,1,2,3" bitfld.long 0x00 11. "W2,Write-enable for V2 bit field" "W2_0_w,W2_1_w" newline bitfld.long 0x00 10. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--9. "V2,PAT view for initiator 2" "0,1,2,3" newline bitfld.long 0x00 7. "W1,Write-enable for V1 bit field" "W1_0_w,W1_1_w" bitfld.long 0x00 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 4.--5. "V1,PAT view for initiator 1" "0,1,2,3" bitfld.long 0x00 3. "W0,Write-enable for V0 bit field" "W0_0_w,W0_1_w" newline bitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--1. "V0,PAT view for initiator 0" "0,1,2,3" line.long 0x04 "DMM_PAT_VIEW1,DMM PAT view register (initiators 8 to 15)" bitfld.long 0x04 31. "W15,Write-enable for V15 bit field" "W15_0_w,W15_1_w" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 28.--29. "V15,PAT view for initiator 15" "0,1,2,3" bitfld.long 0x04 27. "W14,Write-enable for V14 bit field" "W14_0_w,W14_1_w" newline bitfld.long 0x04 26. "RESERVED,Reserved" "0,1" bitfld.long 0x04 24.--25. "V14,PAT view for initiator 14" "0,1,2,3" newline bitfld.long 0x04 23. "W13,Write-enable for V13 bit field" "W13_0_w,W13_1_w" bitfld.long 0x04 22. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 20.--21. "V13,PAT view for initiator 13" "0,1,2,3" bitfld.long 0x04 19. "W12,Write-enable for V12 bit field" "W12_0_w,W12_1_w" newline bitfld.long 0x04 18. "RESERVED,Reserved" "0,1" bitfld.long 0x04 16.--17. "V12,PAT view for initiator 12" "0,1,2,3" newline bitfld.long 0x04 15. "W11,Write-enable for V11 bit field" "W11_0_w,W11_1_w" bitfld.long 0x04 14. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 12.--13. "V11,PAT view for initiator 11" "0,1,2,3" bitfld.long 0x04 11. "W10,Write-enable for V10 bit field" "W10_0_w,W10_1_w" newline bitfld.long 0x04 10. "RESERVED,Reserved" "0,1" bitfld.long 0x04 8.--9. "V10,PAT view for initiator 10" "0,1,2,3" newline bitfld.long 0x04 7. "W9,Write-enable for V9 bit field" "W9_0_w,W9_1_w" bitfld.long 0x04 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 4.--5. "V9,PAT view for initiator 9" "0,1,2,3" bitfld.long 0x04 3. "W8,Write-enable for V8 bit field" "W8_0_w,W8_1_w" newline bitfld.long 0x04 2. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0.--1. "V8,PAT view for initiator 8" "0,1,2,3" group.long 0x460++0x03 line.long 0x00 "DMM_PAT_VIEW_MAP_BASE,Base address of all view mappings" bitfld.long 0x00 31. "BASE_ADDR,MSB of the PAT view mapping base address" "0,1" hexmask.long 0x00 0.--30. 1. "RESERVED,Reserved" group.long 0x478++0x03 line.long 0x00 "DMM_PAT_IRQ_EOI,PAT end of interrupt" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "EOI,End of PAT interrupt" "EOI_0,?" group.long 0x480++0x03 line.long 0x00 "DMM_PAT_IRQSTATUS_RAW,Per-event raw interrupt status vector" bitfld.long 0x00 31. "ERR_LUT_MISS3,Access to a yet-to-be-refilled area event in area 4.n+3" "ERR_LUT_MISS3_0_r,ERR_LUT_MISS3_1_r" bitfld.long 0x00 30. "ERR_UPD_DATA3,Data register update whilst refilling error event in area 4.n+3" "ERR_UPD_DATA3_0_r,ERR_UPD_DATA3_1_r" newline bitfld.long 0x00 29. "ERR_UPD_CTRL3,Control register update whilst refilling error event in area 4.n+3" "ERR_UPD_CTRL3_0_r,ERR_UPD_CTRL3_1_r" bitfld.long 0x00 28. "ERR_UPD_AREA3,Area register update whilst refilling error event in area 4.n+3" "ERR_UPD_AREA3_0_r,ERR_UPD_AREA3_1_r" newline bitfld.long 0x00 27. "ERR_INV_DATA3,Invalid entry-table pointer error event in area 4.n+3" "ERR_INV_DATA3_0_r,ERR_INV_DATA3_1_r" bitfld.long 0x00 26. "ERR_INV_DSC3,Invalid descriptor pointer error event in area 4.n+3" "ERR_INV_DSC3_0_r,ERR_INV_DSC3_1_r" newline bitfld.long 0x00 25. "FILL_LST3,End of refill event for the last descriptor in area 4.n+3" "FILL_LST3_0_r,FILL_LST3_1_r" bitfld.long 0x00 24. "FILL_DSC3,End of refill event for any descriptor in area 4.n+3" "FILL_DSC3_0_r,FILL_DSC3_1_r" newline bitfld.long 0x00 23. "ERR_LUT_MISS2,Access to a yet-to-be-refilled area event in area 4.n+2" "ERR_LUT_MISS2_0_r,ERR_LUT_MISS2_1_r" bitfld.long 0x00 22. "ERR_UPD_DATA2,Data register update whilst refilling error event in area 4.n+2" "ERR_UPD_DATA2_0_r,ERR_UPD_DATA2_1_r" newline bitfld.long 0x00 21. "ERR_UPD_CTRL2,Control register update whilst refilling error event in area 4.n+2" "ERR_UPD_CTRL2_0_r,ERR_UPD_CTRL2_1_r" bitfld.long 0x00 20. "ERR_UPD_AREA2,Area register update whilst refilling error event in area 4.n+2" "ERR_UPD_AREA2_0_r,ERR_UPD_AREA2_1_r" newline bitfld.long 0x00 19. "ERR_INV_DATA2,Invalid entry-table pointer error event in area 4.n+2" "ERR_INV_DATA2_0_r,ERR_INV_DATA2_1_r" bitfld.long 0x00 18. "ERR_INV_DSC2,Invalid descriptor pointer error event in area 4.n+2" "ERR_INV_DSC2_0_r,ERR_INV_DSC2_1_r" newline bitfld.long 0x00 17. "FILL_LST2,End of refill event for the last descriptor in area 4.n+2" "FILL_LST2_0_r,FILL_LST2_1_r" bitfld.long 0x00 16. "FILL_DSC2,End of refill event for any descriptor in area 4.n+2" "FILL_DSC2_0_r,FILL_DSC2_1_r" newline bitfld.long 0x00 15. "ERR_LUT_MISS1,Access to a yet-to-be-refilled area event in area 4.n+1" "ERR_LUT_MISS1_0_r,ERR_LUT_MISS1_1_r" bitfld.long 0x00 14. "ERR_UPD_DATA1,Data register update whilst refilling error event in area 4.n+1" "ERR_UPD_DATA1_0_r,ERR_UPD_DATA1_1_r" newline bitfld.long 0x00 13. "ERR_UPD_CTRL1,Control register update whilst refilling error event in area 4.n+1" "ERR_UPD_CTRL1_0_r,ERR_UPD_CTRL1_1_r" bitfld.long 0x00 12. "ERR_UPD_AREA1,Area register update whilst refilling error event in area 4.n+1" "ERR_UPD_AREA1_0_r,ERR_UPD_AREA1_1_r" newline bitfld.long 0x00 11. "ERR_INV_DATA1,Invalid entry-table pointer error event in area 4.n+1" "ERR_INV_DATA1_0_r,ERR_INV_DATA1_1_r" bitfld.long 0x00 10. "ERR_INV_DSC1,Invalid descriptor pointer error event in area 4.n+1" "ERR_INV_DSC1_0_r,ERR_INV_DSC1_1_r" newline bitfld.long 0x00 9. "FILL_LST1,End of refill event for the last descriptor in area 4.n+1" "FILL_LST1_0_r,FILL_LST1_1_r" bitfld.long 0x00 8. "FILL_DSC1,End of refill event for any descriptor in area 4.n+1" "FILL_DSC1_0_r,FILL_DSC1_1_r" newline bitfld.long 0x00 7. "ERR_LUT_MISS0,Access to a yet-to-be-refilled area event in area 4.n" "ERR_LUT_MISS0_0_r,ERR_LUT_MISS0_1_r" bitfld.long 0x00 6. "ERR_UPD_DATA0,Data register update whilst refilling error event in area 4.n" "ERR_UPD_DATA0_0_r,ERR_UPD_DATA0_1_r" newline bitfld.long 0x00 5. "ERR_UPD_CTRL0,Control register update whilst refilling error event in area 4.n" "ERR_UPD_CTRL0_0_r,ERR_UPD_CTRL0_1_r" bitfld.long 0x00 4. "ERR_UPD_AREA0,Area register update whilst refilling error event in area 4.n" "ERR_UPD_AREA0_0_r,ERR_UPD_AREA0_1_r" newline bitfld.long 0x00 3. "ERR_INV_DATA0,Invalid entry-table pointer error event in area 4.n" "ERR_INV_DATA0_0_r,ERR_INV_DATA0_1_r" bitfld.long 0x00 2. "ERR_INV_DSC0,Invalid descriptor pointer error event in area 4.n" "ERR_INV_DSC0_0_r,ERR_INV_DSC0_1_r" newline bitfld.long 0x00 1. "FILL_LST0,End of refill event for the last descriptor in area 4.n" "FILL_LST0_0_r,FILL_LST0_1_r" bitfld.long 0x00 0. "FILL_DSC0,End of refill event for any descriptor in area 4.n" "FILL_DSC0_0_r,FILL_DSC0_1_r" group.long 0x490++0x03 line.long 0x00 "DMM_PAT_IRQSTATUS,Per-event 'enabled' interrupt status vector" bitfld.long 0x00 31. "ERR_LUT_MISS3,Access to a yet-to-be-refilled area event in area 4.n+3" "ERR_LUT_MISS3_0_r,ERR_LUT_MISS3_1_r" bitfld.long 0x00 30. "ERR_UPD_DATA3,Data register update whilst refilling error event in area 4.n+3" "ERR_UPD_DATA3_0_r,ERR_UPD_DATA3_1_r" newline bitfld.long 0x00 29. "ERR_UPD_CTRL3,Control register update whilst refilling error event in area 4.n+3" "ERR_UPD_CTRL3_0_r,ERR_UPD_CTRL3_1_r" bitfld.long 0x00 28. "ERR_UPD_AREA3,Area register update whilst refilling error event in area 4.n+3" "ERR_UPD_AREA3_0_r,ERR_UPD_AREA3_1_r" newline bitfld.long 0x00 27. "ERR_INV_DATA3,Invalid entry-table pointer error event in area 4.n+3" "ERR_INV_DATA3_0_r,ERR_INV_DATA3_1_r" bitfld.long 0x00 26. "ERR_INV_DSC3,Invalid descriptor pointer error event in area 4.n+3" "ERR_INV_DSC3_0_r,ERR_INV_DSC3_1_r" newline bitfld.long 0x00 25. "FILL_LST3,End of refill event for the last descriptor in area 4.n+3" "FILL_LST3_0_r,FILL_LST3_1_r" bitfld.long 0x00 24. "FILL_DSC3,End of refill event for any descriptor in area 4.n+3" "FILL_DSC3_0_r,FILL_DSC3_1_r" newline bitfld.long 0x00 23. "ERR_LUT_MISS2,Access to a yet-to-be-refilled area event in area 4.n+2" "ERR_LUT_MISS2_0_r,ERR_LUT_MISS2_1_r" bitfld.long 0x00 22. "ERR_UPD_DATA2,Data register update whilst refilling error event in area 2" "ERR_UPD_DATA2_0_r,ERR_UPD_DATA2_1_r" newline bitfld.long 0x00 21. "ERR_UPD_CTRL2,Control register update whilst refilling error event in area 4.n+2" "ERR_UPD_CTRL2_0_r,ERR_UPD_CTRL2_1_r" bitfld.long 0x00 20. "ERR_UPD_AREA2,Area register update whilst refilling error event in area 4.n+2" "ERR_UPD_AREA2_0_r,ERR_UPD_AREA2_1_r" newline bitfld.long 0x00 19. "ERR_INV_DATA2,Invalid entry-table pointer error event in area 4.n+2" "ERR_INV_DATA2_0_r,ERR_INV_DATA2_1_r" bitfld.long 0x00 18. "ERR_INV_DSC2,Invalid descriptor pointer error event in area 4.n+2" "ERR_INV_DSC2_0_r,ERR_INV_DSC2_1_r" newline bitfld.long 0x00 17. "FILL_LST2,End of refill event for the last descriptor in area 4.n+2" "FILL_LST2_0_r,FILL_LST2_1_r" bitfld.long 0x00 16. "FILL_DSC2,End of refill event for any descriptor in area 4.n+2" "FILL_DSC2_0_r,FILL_DSC2_1_r" newline bitfld.long 0x00 15. "ERR_LUT_MISS1,Access to a yet-to-be-refilled area event in area 4.n+1" "ERR_LUT_MISS1_0_r,ERR_LUT_MISS1_1_r" bitfld.long 0x00 14. "ERR_UPD_DATA1,Data register update whilst refilling error event in area 4.n+1" "ERR_UPD_DATA1_0_r,ERR_UPD_DATA1_1_r" newline bitfld.long 0x00 13. "ERR_UPD_CTRL1,Control register update whilst refilling error event in area 4.n+1" "ERR_UPD_CTRL1_0_r,ERR_UPD_CTRL1_1_r" bitfld.long 0x00 12. "ERR_UPD_AREA1,Area register update whilst refilling error event in area 4.n+1" "ERR_UPD_AREA1_0_r,ERR_UPD_AREA1_1_r" newline bitfld.long 0x00 11. "ERR_INV_DATA1,Invalid entry-table pointer error event in area 4.n+1" "ERR_INV_DATA1_0_r,ERR_INV_DATA1_1_r" bitfld.long 0x00 10. "ERR_INV_DSC1,Invalid descriptor pointer error event in area 4.n+1" "ERR_INV_DSC1_0_r,ERR_INV_DSC1_1_r" newline bitfld.long 0x00 9. "FILL_LST1,End of refill event for the last descriptor in area 4.n+1" "FILL_LST1_0_r,FILL_LST1_1_r" bitfld.long 0x00 8. "FILL_DSC1,End of refill event for any descriptor in area 4.n+1" "FILL_DSC1_0_r,FILL_DSC1_1_r" newline bitfld.long 0x00 7. "ERR_LUT_MISS0,Access to a yet-to-be-refilled area event in area 4.n" "ERR_LUT_MISS0_0_r,ERR_LUT_MISS0_1_r" bitfld.long 0x00 6. "ERR_UPD_DATA0,Data register update whilst refilling error event in area 4.n" "ERR_UPD_DATA0_0_r,ERR_UPD_DATA0_1_r" newline bitfld.long 0x00 5. "ERR_UPD_CTRL0,Control register update whilst refilling error event in area 4.n" "ERR_UPD_CTRL0_0_r,ERR_UPD_CTRL0_1_r" bitfld.long 0x00 4. "ERR_UPD_AREA0,Area register update whilst refilling error event in area 4.n" "ERR_UPD_AREA0_0_r,ERR_UPD_AREA0_1_r" newline bitfld.long 0x00 3. "ERR_INV_DATA0,Invalid entry-table pointer error event in area 4.n" "ERR_INV_DATA0_0_r,ERR_INV_DATA0_1_r" bitfld.long 0x00 2. "ERR_INV_DSC0,Invalid descriptor pointer error event in area 4.n" "ERR_INV_DSC0_0_r,ERR_INV_DSC0_1_r" newline bitfld.long 0x00 1. "FILL_LST0,End of refill event for the last descriptor in area 4.n" "FILL_LST0_0_r,FILL_LST0_1_r" bitfld.long 0x00 0. "FILL_DSC0,End of refill event for any descriptor in area 4.n" "FILL_DSC0_0_r,FILL_DSC0_1_r" group.long 0x4A0++0x03 line.long 0x00 "DMM_PAT_IRQENABLE_SET,Per-event interrupt enable bit vector" bitfld.long 0x00 31. "ERR_LUT_MISS3,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+3" "ERR_LUT_MISS3_0_r,ERR_LUT_MISS3_1_r" bitfld.long 0x00 30. "ERR_UPD_DATA3,Unexpected data register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_DATA3_0_r,ERR_UPD_DATA3_1_r" newline bitfld.long 0x00 29. "ERR_UPD_CTRL3,Unexpected control register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_CTRL3_0_r,ERR_UPD_CTRL3_1_r" bitfld.long 0x00 28. "ERR_UPD_AREA3,Unexpected area register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_AREA3_0_r,ERR_UPD_AREA3_1_r" newline bitfld.long 0x00 27. "ERR_INV_DATA3,Invalid entry-table pointer interrupt source mask for area 4.n+3" "ERR_INV_DATA3_0_r,ERR_INV_DATA3_1_r" bitfld.long 0x00 26. "ERR_INV_DSC3,Invalid descriptor pointer interrupt source mask for area 4.n+3" "ERR_INV_DSC3_0_r,ERR_INV_DSC3_1_r" newline bitfld.long 0x00 25. "FILL_LST3,End of refill interrupt source mask for the last descriptior in area 4.n+3" "FILL_LST3_0_r,FILL_LST3_1_r" bitfld.long 0x00 24. "FILL_DSC3,End of refill interrupt source mask for any descriptior in area 4.n+3" "FILL_DSC3_0_r,FILL_DSC3_1_r" newline bitfld.long 0x00 23. "ERR_LUT_MISS2,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+2" "ERR_LUT_MISS2_0_r,ERR_LUT_MISS2_1_r" bitfld.long 0x00 22. "ERR_UPD_DATA2,Unexpected data register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_DATA2_0_r,ERR_UPD_DATA2_1_r" newline bitfld.long 0x00 21. "ERR_UPD_CTRL2,Unexpected control register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_CTRL2_0_r,ERR_UPD_CTRL2_1_r" bitfld.long 0x00 20. "ERR_UPD_AREA2,Unexpected area register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_AREA2_0_r,ERR_UPD_AREA2_1_r" newline bitfld.long 0x00 19. "ERR_INV_DATA2,Invalid entry-table pointer interrupt source mask for area 4.n+2" "ERR_INV_DATA2_0_r,ERR_INV_DATA2_1_r" bitfld.long 0x00 18. "ERR_INV_DSC2,Invalid descriptor pointer interrupt source mask for area 4.n+2" "ERR_INV_DSC2_0_r,ERR_INV_DSC2_1_r" newline bitfld.long 0x00 17. "FILL_LST2,End of refill interrupt source mask for the last descriptior in area 4.n+2" "FILL_LST2_0_r,FILL_LST2_1_r" bitfld.long 0x00 16. "FILL_DSC2,End of refill interrupt source mask for any descriptior in area 4.n+2" "FILL_DSC2_0_r,FILL_DSC2_1_r" newline bitfld.long 0x00 15. "ERR_LUT_MISS1,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+1" "ERR_LUT_MISS1_0_r,ERR_LUT_MISS1_1_r" bitfld.long 0x00 14. "ERR_UPD_DATA1,Unexpected data register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_DATA1_0_r,ERR_UPD_DATA1_1_r" newline bitfld.long 0x00 13. "ERR_UPD_CTRL1,Unexpected control register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_CTRL1_0_r,ERR_UPD_CTRL1_1_r" bitfld.long 0x00 12. "ERR_UPD_AREA1,Unexpected area register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_AREA1_0_r,ERR_UPD_AREA1_1_r" newline bitfld.long 0x00 11. "ERR_INV_DATA1,Invalid entry-table pointer interrupt source mask for area 4.n+1" "ERR_INV_DATA1_0_r,ERR_INV_DATA1_1_r" bitfld.long 0x00 10. "ERR_INV_DSC1,Invalid descriptor pointer interrupt source mask for area 4.n+1" "ERR_INV_DSC1_0_r,ERR_INV_DSC1_1_r" newline bitfld.long 0x00 9. "FILL_LST1,End of refill interrupt source mask for the last descriptior in area 4.n+1" "FILL_LST1_0_r,FILL_LST1_1_r" bitfld.long 0x00 8. "FILL_DSC1,End of refill interrupt source mask for any descriptior in area 4.n+1" "FILL_DSC1_0_r,FILL_DSC1_1_r" newline bitfld.long 0x00 7. "ERR_LUT_MISS0,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n" "ERR_LUT_MISS0_0_r,ERR_LUT_MISS0_1_r" bitfld.long 0x00 6. "ERR_UPD_DATA0,Unexpected data register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_DATA0_0_r,ERR_UPD_DATA0_1_r" newline bitfld.long 0x00 5. "ERR_UPD_CTRL0,Unexpected control register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_CTRL0_0_r,ERR_UPD_CTRL0_1_r" bitfld.long 0x00 4. "ERR_UPD_AREA0,Unexpected area register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_AREA0_0_r,ERR_UPD_AREA0_1_r" newline bitfld.long 0x00 3. "ERR_INV_DATA0,Invalid entry-table pointer interrupt source mask for area 4.n" "ERR_INV_DATA0_0_r,ERR_INV_DATA0_1_r" bitfld.long 0x00 2. "ERR_INV_DSC0,Invalid descriptor pointer interrupt source mask for area 4.n" "ERR_INV_DSC0_0_r,ERR_INV_DSC0_1_r" newline bitfld.long 0x00 1. "FILL_LST0,End of refill interrupt source mask for the last descriptior in area 4.n" "FILL_LST0_0_r,FILL_LST0_1_r" bitfld.long 0x00 0. "FILL_DSC0,End of refill interrupt source mask for any descriptior in area 4.n" "FILL_DSC0_0_r,FILL_DSC0_1_r" group.long 0x4B0++0x03 line.long 0x00 "DMM_PAT_IRQENABLE_CLR,Per-event interrupt enable bit vector" bitfld.long 0x00 31. "ERR_LUT_MISS3,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+3" "ERR_LUT_MISS3_0_r,ERR_LUT_MISS3_1_r" bitfld.long 0x00 30. "ERR_UPD_DATA3,Unexpected data register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_DATA3_0_r,ERR_UPD_DATA3_1_r" newline bitfld.long 0x00 29. "ERR_UPD_CTRL3,Unexpected control register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_CTRL3_0_r,ERR_UPD_CTRL3_1_r" bitfld.long 0x00 28. "ERR_UPD_AREA3,Unexpected area register update whilst refilling interrupt source mask for area 4.n+3" "ERR_UPD_AREA3_0_r,ERR_UPD_AREA3_1_r" newline bitfld.long 0x00 27. "ERR_INV_DATA3,Invalid entry-table pointer interrupt source mask for area 4.n+3" "ERR_INV_DATA3_0_r,ERR_INV_DATA3_1_r" bitfld.long 0x00 26. "ERR_INV_DSC3,Invalid descriptor pointer interrupt source mask for area 4.n+3" "ERR_INV_DSC3_0_r,ERR_INV_DSC3_1_r" newline bitfld.long 0x00 25. "FILL_LST3,End of refill interrupt source mask for the last descriptior in area 4.n+3" "FILL_LST3_0_r,FILL_LST3_1_r" bitfld.long 0x00 24. "FILL_DSC3,End of refill interrupt source mask for any descriptior in area 4.n+3" "FILL_DSC3_0_r,FILL_DSC3_1_r" newline bitfld.long 0x00 23. "ERR_LUT_MISS2,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+2" "ERR_LUT_MISS2_0_r,ERR_LUT_MISS2_1_r" bitfld.long 0x00 22. "ERR_UPD_DATA2,Unexpected data register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_DATA2_0_r,ERR_UPD_DATA2_1_r" newline bitfld.long 0x00 21. "ERR_UPD_CTRL2,Unexpected control register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_CTRL2_0_r,ERR_UPD_CTRL2_1_r" bitfld.long 0x00 20. "ERR_UPD_AREA2,Unexpected area register update whilst refilling interrupt source mask for area 4.n+2" "ERR_UPD_AREA2_0_r,ERR_UPD_AREA2_1_r" newline bitfld.long 0x00 19. "ERR_INV_DATA2,Invalid entry-table pointer interrupt source mask for area 4.n+2" "ERR_INV_DATA2_0_r,ERR_INV_DATA2_1_r" bitfld.long 0x00 18. "ERR_INV_DSC2,Invalid descriptor pointer interrupt source mask for area 4.n+2" "ERR_INV_DSC2_0_r,ERR_INV_DSC2_1_r" newline bitfld.long 0x00 17. "FILL_LST2,End of refill interrupt source mask for the last descriptior in area 4.n+2" "FILL_LST2_0_r,FILL_LST2_1_r" bitfld.long 0x00 16. "FILL_DSC2,End of refill interrupt source mask for any descriptior in area 4.n+2" "FILL_DSC2_0_r,FILL_DSC2_1_r" newline bitfld.long 0x00 15. "ERR_LUT_MISS1,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n+1" "ERR_LUT_MISS1_0_r,ERR_LUT_MISS1_1_r" bitfld.long 0x00 14. "ERR_UPD_DATA1,Unexpected data register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_DATA1_0_r,ERR_UPD_DATA1_1_r" newline bitfld.long 0x00 13. "ERR_UPD_CTRL1,Unexpected control register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_CTRL1_0_r,ERR_UPD_CTRL1_1_r" bitfld.long 0x00 12. "ERR_UPD_AREA1,Unexpected area register update whilst refilling interrupt source mask for area 4.n+1" "ERR_UPD_AREA1_0_r,ERR_UPD_AREA1_1_r" newline bitfld.long 0x00 11. "ERR_INV_DATA1,Invalid entry-table pointer interrupt source mask for area 4.n+1" "ERR_INV_DATA1_0_r,ERR_INV_DATA1_1_r" bitfld.long 0x00 10. "ERR_INV_DSC1,Invalid descriptor pointer interrupt source mask for area 4.n+1" "ERR_INV_DSC1_0_r,ERR_INV_DSC1_1_r" newline bitfld.long 0x00 9. "FILL_LST1,End of refill interrupt source mask for the last descriptior in area 4.n+1" "FILL_LST1_0_r,FILL_LST1_1_r" bitfld.long 0x00 8. "FILL_DSC1,End of refill interrupt source mask for any descriptior in area 4.n+1" "FILL_DSC1_0_r,FILL_DSC1_1_r" newline bitfld.long 0x00 7. "ERR_LUT_MISS0,Unexpected access to a yet-to-be-refilled area interrupt source mask for area 4.n" "ERR_LUT_MISS0_0_r,ERR_LUT_MISS0_1_r" bitfld.long 0x00 6. "ERR_UPD_DATA0,Unexpected data register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_DATA0_0_r,ERR_UPD_DATA0_1_r" newline bitfld.long 0x00 5. "ERR_UPD_CTRL0,Unexpected control register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_CTRL0_0_r,ERR_UPD_CTRL0_1_r" bitfld.long 0x00 4. "ERR_UPD_AREA0,Unexpected area register update whilst refilling interrupt source mask for area 4.n" "ERR_UPD_AREA0_0_r,ERR_UPD_AREA0_1_r" newline bitfld.long 0x00 3. "ERR_INV_DATA0,Invalid entry-table pointer interrupt source mask for area 4.n" "ERR_INV_DATA0_0_r,ERR_INV_DATA0_1_r" bitfld.long 0x00 2. "ERR_INV_DSC0,Invalid descriptor pointer interrupt source mask for area 4.n" "ERR_INV_DSC0_0_r,ERR_INV_DSC0_1_r" newline bitfld.long 0x00 1. "FILL_LST0,End of refill interrupt source mask for the last descriptior in area 4.n" "FILL_LST0_0_r,FILL_LST0_1_r" bitfld.long 0x00 0. "FILL_DSC0,End of refill interrupt source mask for any descriptior in area 4.n" "FILL_DSC0_0_r,FILL_DSC0_1_r" rgroup.long 0x608++0x03 line.long 0x00 "DMM_PEG_HWINFO,DMM hardware configuration for PEG" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--6. 1. "PRIO_CNT,Number of PEG priority entries" group.long 0x640++0x03 line.long 0x00 "DMM_PEG_PRIO_PAT,DMM PEG priority register for the internal PAT engine" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 3. "W_PAT,Write-enable for P_PAT bit field" "W_PAT_0_w,W_PAT_1_w" newline bitfld.long 0x00 0.--2. "P_PAT,Priority for PAT engine" "0,1,2,3,4,5,6,7" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x620)++0x03 line.long 0x00 "DMM_PEG_PRIO_k_$1,DMM PEG Priority register" bitfld.long 0x00 31. "W7,Write-enable for P7 bit field" "W7_0_w,W7_1_w" bitfld.long 0x00 28.--30. "P7,Priority for initiator ConnID = 8 x k + 7" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 27. "W6,Write-enable for P6 bit field" "W6_0_w,W6_1_w" bitfld.long 0x00 24.--26. "P6,Priority for initiator ConnID = 8 x k + 6" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 23. "W5,Write-enable for P5 bit field" "W5_0_w,W5_1_w" bitfld.long 0x00 20.--22. "P5,Priority for initiator ConnID = 8 x k + 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 19. "W4,Write-enable for P4 bit field" "W4_0_w,W4_1_w" bitfld.long 0x00 16.--18. "P4,Priority for initiator ConnID = 8 x k + 4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "W3,Write-enable for P3 bit field" "W3_0_w,W3_1_w" bitfld.long 0x00 12.--14. "P3,Priority for initiator ConnID = 8 x k + 3" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 11. "W2,Write-enable for P2 bit field" "W2_0_w,W2_1_w" bitfld.long 0x00 8.--10. "P2,Priority for initiator ConnID = 8 x k + 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "W1,Write-enable for P1 bit field" "W1_0_w,W1_1_w" bitfld.long 0x00 4.--6. "P1,Priority for initiator ConnID = 8 x k + 1" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3. "W0,Write-enable for P0 bit field" "W0_0_w,W0_1_w" bitfld.long 0x00 0.--2. "P0,Priority for initiator ConnID = 8 x k" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x50C)++0x03 line.long 0x00 "DMM_PAT_DATA_i_$1,Physical address of the current table refill entry data n = 0 for the data register of the first engine. n = 1 for the data register of the second engine" hexmask.long 0x00 4.--31. 1. "ADDR,Physical address of the current table refill entry data or single actual entry data when in manual mode for engine n" bitfld.long 0x00 0.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x508)++0x03 line.long 0x00 "DMM_PAT_CTRL_i_$1,DMM physical address translator control register n = 0 for the control register of the first engine. n = 1 for the control register of the second engine" bitfld.long 0x00 28.--31. "INITIATOR,DMM PAT initiator for synchronization in engine n" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 17.--27. 1. "RESERVED,Reserved" newline bitfld.long 0x00 16. "SYNC,DMM PAT table reload synchronization for engine n" "SYNC_0,SYNC_1" hexmask.long.word 0x00 7.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 4.--6. "DIRECTION,Direction of this PAT table refill for engine n" "0,1,2,3,4,5,6,7" bitfld.long 0x00 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "START,Starting a PAT table refill with engine n" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x504)++0x03 line.long 0x00 "DMM_PAT_AREA_i_$1,Area definition for DMM physical address translator n = 0 for the area register of the first engine. n = 1 for the area register of the second engine" hexmask.long.byte 0x00 24.--31. 1. "Y1,Y-coordinate of the bottom-right corner of the PAT area for engine n" hexmask.long.byte 0x00 16.--23. 1. "X1,X-coordinate of the bottom-right corner of the PAT area for engine n" newline hexmask.long.byte 0x00 8.--15. 1. "Y0,Y-coordinate of the top-left corner of the PAT area for engine n" hexmask.long.byte 0x00 0.--7. 1. "X0,X-coordinate of the top-left corner of the PAT area for engine n" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x500)++0x03 line.long 0x00 "DMM_PAT_DESCR_i_$1,Physical address of the next table refill descriptor n = 0 for the descriptor register of the first engine. n = 1 for the descriptor register of the second engine" hexmask.long 0x00 4.--31. 1. "ADDR,Physical address of the next table refill descriptor of engine n" bitfld.long 0x00 0.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x4C0)++0x03 line.long 0x00 "DMM_PAT_STATUS_i_$1,Status register for each refill engine n = 0 for the first engine status register. n = 1 for the second engine status register" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 16.--24. 1. "CNT,Counter of remaining lines to reload for engine n" newline bitfld.long 0x00 10.--15. "ERROR,Error happened in engine n" "ERROR_0_r,ERROR_1_r,ERROR_2_r,?,ERROR_4_r,?,?,?,ERROR_8_r,?,?,?,?,?,?,?,ERROR_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,ERROR_32_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x00 8.--9. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 7. "BYPASSED,Engine n is bypassed" "0,1" bitfld.long 0x00 5.--6. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 4. "LINKED,Area reconfiguration link asserted for engine n" "0,1" bitfld.long 0x00 3. "DONE,Area reloading finished for engine n" "0,1" newline bitfld.long 0x00 2. "RUN,Area currently reloading for engine n" "0,1" bitfld.long 0x00 1. "VALID,Valid area description for engine n" "0,1" newline bitfld.long 0x00 0. "READY,Area registers ready for engine n" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x440)++0x03 line.long 0x00 "DMM_PAT_VIEW_MAP_i_$1,PAT view mapping register" bitfld.long 0x00 31. "ACCESS_PAGE,Kind of access for this page mode container in view mapping i" "ACCESS_PAGE_0,ACCESS_PAGE_1" bitfld.long 0x00 27.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--26. "CONT_PAGE,Container for page mode in view mapping i" "0,1,2,3,4,5,6,7" bitfld.long 0x00 23. "ACCESS_32,Kind of access for this 32-bit mode container in view mapping i" "ACCESS_32_0,ACCESS_32_1" newline bitfld.long 0x00 19.--22. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--18. "CONT_32,Container for 32-bit mode in view mapping i" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 15. "ACCESS_16,Kind of access for this 16-bit mode container in view mapping i" "ACCESS_16_0,ACCESS_16_1" bitfld.long 0x00 11.--14. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--10. "CONT_16,Container for 16-bit mode in view mapping i" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "ACCESS_8,Kind of access for this 8-bit mode container in view mapping i" "ACCESS_8_0,ACCESS_8_1" newline bitfld.long 0x00 3.--6. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--2. "CONT_8,Container for 8-bit mode in view mapping i" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x40)++0x03 line.long 0x00 "DMM_LISA_MAP_i_$1,DMM memory mapping register" hexmask.long.byte 0x00 24.--31. 1. "SYS_ADDR,DMM system section address MSB for view mapping i" bitfld.long 0x00 23. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 20.--22. "SYS_SIZE,DMM system section size for view mapping i" "SYS_SIZE_0,SYS_SIZE_1,SYS_SIZE_2,SYS_SIZE_3,SYS_SIZE_4,SYS_SIZE_5,SYS_SIZE_6,SYS_SIZE_7" bitfld.long 0x00 18.--19. "SDRC_INTL,SDRAM controller interleaving mode" "No interleaving,128-byte interleaving,256-byte interleaving,512-byte interleaving The 128-/256-/512-byte.." newline bitfld.long 0x00 16.--17. "SDRC_ADDRSPC,SDRAM controller address space for view mapping i" "0,1,2,3" bitfld.long 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 8.--9. "SDRC_MAP,SDRAM controller mapping for view mapping i" "Unmapped,Mapped on EMIF1 only (not interleaved),Mapped on EMIF2 only (not interleaved),Mapped on EMIF1 and EMIF2 (interleaved) To.." hexmask.long.byte 0x00 0.--7. 1. "SDRC_ADDR,SDRAM controller address MSB for view mapping i" repeat.end tree.end tree "DMM_P1_TARG" base ad:0x44000200 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DMM_P2_TARG" base ad:0x44001300 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DPLL_HDMI_L3_MAIN" base ad:0x58040200 group.long 0x00++0x23 line.long 0x00 "PLLCTRL_HDMI_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESETN,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESETN_0,HSDIV_SYSRESETN_1" bitfld.long 0x00 3. "PLL_SYSRESETN,Force SYSRESETN" "PLL_SYSRESETN_0,PLL_SYSRESETN_1" newline rbitfld.long 0x00 0.--2. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" line.long 0x04 "PLLCTRL_HDMI_STATUS,This register contains the status information" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: SSC feature is not supported enum=SSC_ACT" "SSC_EN_ACK_0,SSC_EN_ACK_1" bitfld.long 0x04 10.--11. "RESERVED,Read returns zero" "0,1,2,3" newline bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on HDMI_PHY" "BYPASSACKZ_MERGED_0,BYPASSACKZ_MERGED_1" bitfld.long 0x04 7.--8. "RESERVED,Read returns zero" "0,1,2,3" bitfld.long 0x04 6. "PLL_BYPASS,DPLL_HDMI Bypass status" "PLL_BYPASS_0,PLL_BYPASS_1" newline bitfld.long 0x04 5. "PLL_HIGHJITTER,DPLL_HDMI High Jitter status" "PLL_HIGHJITTER_0,PLL_HIGHJITTER_1" bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,DPLL_HDMI Reference Loss status" "PLL_LOSSREF_0,PLL_LOSSREF_1" newline bitfld.long 0x04 2. "PLL_RECAL,DPLL_HDMI re-calibration status If this bit is active the DPLL_HDMI needs to be re-calibrated" "PLL_RECAL_0,PLL_RECAL_1" bitfld.long 0x04 1. "PLL_LOCK,DPLL_HDMI Lock status See the programming guide for the use of this bit" "PLL_LOCK_0,PLL_LOCK_1" bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,DPLL_HDMI reset done status" "PLLCTRL_RESET_DONE_0,PLLCTRL_RESET_DONE_1" line.long 0x08 "PLLCTRL_HDMI_GO,This register contains the GO bit" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the DPLL_HDMI" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLLCTRL_HDMI_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" hexmask.long.word 0x0C 21.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for DPLL_HDMI" hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for DPLL_HDMI (Reference)" newline rbitfld.long 0x0C 0. "RESERVED,Reserved" "0,1" line.long 0x10 "PLLCTRL_HDMI_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" hexmask.long.word 0x10 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 16.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,DPLL_HDMI reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the DPLL_HDMI Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" newline bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the DPLL_HDMI" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,DPLL_HDMI DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED,Reserved" "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,DPLL_HDMI LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the DPLL_HDMI" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" newline bitfld.long 0x10 1.--3. "PLL_SELFREQDCO,DCO frequency range selector for" "?,?,PLL_SELFREQDCO_2,?,PLL_SELFREQDCO_4,?,?,?" bitfld.long 0x10 0. "PLL_IDLE,DPLL_HDMI IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLLCTRL_HDMI_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.word 0x14 18.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x14 10.--17. 1. "PLL_SD,Sigma delta divider setting for DPLL_HDMI based on the DPLL_HDMI lock configuration" hexmask.long.word 0x14 0.--9. 1. "RESERVED,Reserved" line.long 0x18 "PLLCTRL_HDMI_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "EN_SSC_0,EN_SSC_1" line.long 0x1C "PLLCTRL_HDMI_SSC_CONFIGURATION2,Note: SSC feature is not supported" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for dithering.The ModFreqDivider is split into Mantissa and 2(ModFreqDivider = ModFreqDividerMantissa * 2).Bits [29:23] define the Mantissa" newline hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for dithering" line.long 0x20 "PLLCTRL_HDMI_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure DPLL_HDMI M2 divider factor" hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLL_HDMI_L4_CFG" base ad:0x4A0A6000 group.long 0x00++0x23 line.long 0x00 "PLLCTRL_HDMI_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESETN,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESETN_0,HSDIV_SYSRESETN_1" bitfld.long 0x00 3. "PLL_SYSRESETN,Force SYSRESETN" "PLL_SYSRESETN_0,PLL_SYSRESETN_1" newline rbitfld.long 0x00 0.--2. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" line.long 0x04 "PLLCTRL_HDMI_STATUS,This register contains the status information" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: SSC feature is not supported enum=SSC_ACT" "SSC_EN_ACK_0,SSC_EN_ACK_1" bitfld.long 0x04 10.--11. "RESERVED,Read returns zero" "0,1,2,3" newline bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on HDMI_PHY" "BYPASSACKZ_MERGED_0,BYPASSACKZ_MERGED_1" bitfld.long 0x04 7.--8. "RESERVED,Read returns zero" "0,1,2,3" bitfld.long 0x04 6. "PLL_BYPASS,DPLL_HDMI Bypass status" "PLL_BYPASS_0,PLL_BYPASS_1" newline bitfld.long 0x04 5. "PLL_HIGHJITTER,DPLL_HDMI High Jitter status" "PLL_HIGHJITTER_0,PLL_HIGHJITTER_1" bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,DPLL_HDMI Reference Loss status" "PLL_LOSSREF_0,PLL_LOSSREF_1" newline bitfld.long 0x04 2. "PLL_RECAL,DPLL_HDMI re-calibration status If this bit is active the DPLL_HDMI needs to be re-calibrated" "PLL_RECAL_0,PLL_RECAL_1" bitfld.long 0x04 1. "PLL_LOCK,DPLL_HDMI Lock status See the programming guide for the use of this bit" "PLL_LOCK_0,PLL_LOCK_1" bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,DPLL_HDMI reset done status" "PLLCTRL_RESET_DONE_0,PLLCTRL_RESET_DONE_1" line.long 0x08 "PLLCTRL_HDMI_GO,This register contains the GO bit" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the DPLL_HDMI" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLLCTRL_HDMI_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" hexmask.long.word 0x0C 21.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for DPLL_HDMI" hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for DPLL_HDMI (Reference)" newline rbitfld.long 0x0C 0. "RESERVED,Reserved" "0,1" line.long 0x10 "PLLCTRL_HDMI_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" hexmask.long.word 0x10 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 16.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,DPLL_HDMI reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the DPLL_HDMI Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" newline bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the DPLL_HDMI" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,DPLL_HDMI DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED,Reserved" "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,DPLL_HDMI LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the DPLL_HDMI" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" newline bitfld.long 0x10 1.--3. "PLL_SELFREQDCO,DCO frequency range selector for" "?,?,PLL_SELFREQDCO_2,?,PLL_SELFREQDCO_4,?,?,?" bitfld.long 0x10 0. "PLL_IDLE,DPLL_HDMI IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLLCTRL_HDMI_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.word 0x14 18.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x14 10.--17. 1. "PLL_SD,Sigma delta divider setting for DPLL_HDMI based on the DPLL_HDMI lock configuration" hexmask.long.word 0x14 0.--9. 1. "RESERVED,Reserved" line.long 0x18 "PLLCTRL_HDMI_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "EN_SSC_0,EN_SSC_1" line.long 0x1C "PLLCTRL_HDMI_SSC_CONFIGURATION2,Note: SSC feature is not supported" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for dithering.The ModFreqDivider is split into Mantissa and 2(ModFreqDivider = ModFreqDividerMantissa * 2).Bits [29:23] define the Mantissa" newline hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for dithering" line.long 0x20 "PLLCTRL_HDMI_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure DPLL_HDMI M2 divider factor" hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLL_VIDEO1_L3_MAIN" base ad:0x58004300 group.long 0x00++0x23 line.long 0x00 "PLL_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESET,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESET_0,HSDIV_SYSRESET_1" newline bitfld.long 0x00 3. "PLL_SYSRESET,Force DPLL SYSRESETN" "PLL_SYSRESET_0,PLL_SYSRESET_1" bitfld.long 0x00 2. "PLL_HALTMODE,Allow PLL to be halted if no activity" "PLL_HALTMODE_0,PLL_HALTMODE_1" newline bitfld.long 0x00 1. "PLL_GATEMODE,Allow PLL clock gating for power saving Reserved when PLLCTRL_AUTO is 0" "PLL_GATEMODE_0,PLL_GATEMODE_1" bitfld.long 0x00 0. "PLL_AUTOMODE,Automatic update mode" "PLL_AUTOMODE_0,PLL_AUTOMODE_1" line.long 0x04 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x04 17.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" newline bitfld.long 0x04 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" bitfld.long 0x04 13.--14. "BYPASSACKZ,State of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_0_r,BYPASSACKZ_1_r,?,?" newline bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: enum=SSC_inact" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" bitfld.long 0x04 11. "M7_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M7_CLOCK_ACK_0_r,M7_CLOCK_ACK_1_r" newline bitfld.long 0x04 10. "M6_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M6_CLOCK_ACK_0_r,M6_CLOCK_ACK_1_r" bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_MERGED_0_r,BYPASSACKZ_MERGED_1_r" newline bitfld.long 0x04 8. "RESERVED,Reads as zero" "0,1" bitfld.long 0x04 7. "M4_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M4_CLOCK_ACK_0_r,M4_CLOCK_ACK_1_r" newline bitfld.long 0x04 6. "PLL_BYPASS,PLL Bypass status" "PLL_BYPASS_0_r,PLL_BYPASS_1_r" bitfld.long 0x04 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" newline bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline bitfld.long 0x04 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" bitfld.long 0x04 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" newline bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x08 "PLL_GO,This register contains the GO bit" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "HSDIVLOAD,In manual mode start HSDIVIDER update sequence" "0,1" newline bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" rbitfld.long 0x0C 31. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x0C 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 21.--25. "M4_CLOCK_DIV,Divider value for clock source M4REG Divider value = M4_CLOCK_DIV + 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for PLL" newline hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" rbitfld.long 0x0C 0. "RESERVED,Read returns zero" "0,1" line.long 0x10 "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" rbitfld.long 0x10 26.--31. "RESERVED,Read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 25. "M7_CLOCK_EN,Enable for M7 clock source" "M7_CLOCK_EN_0,M7_CLOCK_EN_1" newline rbitfld.long 0x10 24. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 23. "M6_CLOCK_EN,Enable for M6 clock source" "M6_CLOCK_EN_0,M6_CLOCK_EN_1" newline bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 19. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x10 18. "RESERVED,Read returns zero" "0,1" newline rbitfld.long 0x10 17. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 16. "M4_CLOCK_EN,Enable for M4 clock source" "M4_CLOCK_EN_0,M4_CLOCK_EN_1" newline bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,PLL reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the PLL Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" newline bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLL" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" newline bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,PLL DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,PLL LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the PLL" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" newline rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" rbitfld.long 0x10 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 5.--9. "M7_CLOCK_DIV,Divider value for M7 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "M6_CLOCK_DIV,Divider value for M6 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,RESERVED" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" newline rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "Spread Spectrum Clocking disabled,Spread Spectrum Clocking enabled" line.long 0x1C "PLL_SSC_CONFIGURATION2,Configuration for PLL Spread Spectrum Clocking modulation" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" newline hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for SSC" hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x20 "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure PLL REGM2" newline hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLL_VIDEO1_L4_CFG" base ad:0x4A0A4000 group.long 0x00++0x23 line.long 0x00 "PLL_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESET,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESET_0,HSDIV_SYSRESET_1" newline bitfld.long 0x00 3. "PLL_SYSRESET,Force DPLL SYSRESETN" "PLL_SYSRESET_0,PLL_SYSRESET_1" bitfld.long 0x00 2. "PLL_HALTMODE,Allow PLL to be halted if no activity" "PLL_HALTMODE_0,PLL_HALTMODE_1" newline bitfld.long 0x00 1. "PLL_GATEMODE,Allow PLL clock gating for power saving Reserved when PLLCTRL_AUTO is 0" "PLL_GATEMODE_0,PLL_GATEMODE_1" bitfld.long 0x00 0. "PLL_AUTOMODE,Automatic update mode" "PLL_AUTOMODE_0,PLL_AUTOMODE_1" line.long 0x04 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x04 17.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" newline bitfld.long 0x04 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" bitfld.long 0x04 13.--14. "BYPASSACKZ,State of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_0_r,BYPASSACKZ_1_r,?,?" newline bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: enum=SSC_inact" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" bitfld.long 0x04 11. "M7_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M7_CLOCK_ACK_0_r,M7_CLOCK_ACK_1_r" newline bitfld.long 0x04 10. "M6_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M6_CLOCK_ACK_0_r,M6_CLOCK_ACK_1_r" bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_MERGED_0_r,BYPASSACKZ_MERGED_1_r" newline bitfld.long 0x04 8. "RESERVED,Reads as zero" "0,1" bitfld.long 0x04 7. "M4_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M4_CLOCK_ACK_0_r,M4_CLOCK_ACK_1_r" newline bitfld.long 0x04 6. "PLL_BYPASS,PLL Bypass status" "PLL_BYPASS_0_r,PLL_BYPASS_1_r" bitfld.long 0x04 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" newline bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline bitfld.long 0x04 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" bitfld.long 0x04 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" newline bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x08 "PLL_GO,This register contains the GO bit" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "HSDIVLOAD,In manual mode start HSDIVIDER update sequence" "0,1" newline bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" rbitfld.long 0x0C 31. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x0C 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 21.--25. "M4_CLOCK_DIV,Divider value for clock source M4REG Divider value = M4_CLOCK_DIV + 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for PLL" newline hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" rbitfld.long 0x0C 0. "RESERVED,Read returns zero" "0,1" line.long 0x10 "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" rbitfld.long 0x10 26.--31. "RESERVED,Read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 25. "M7_CLOCK_EN,Enable for M7 clock source" "M7_CLOCK_EN_0,M7_CLOCK_EN_1" newline rbitfld.long 0x10 24. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 23. "M6_CLOCK_EN,Enable for M6 clock source" "M6_CLOCK_EN_0,M6_CLOCK_EN_1" newline bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 19. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x10 18. "RESERVED,Read returns zero" "0,1" newline rbitfld.long 0x10 17. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 16. "M4_CLOCK_EN,Enable for M4 clock source" "M4_CLOCK_EN_0,M4_CLOCK_EN_1" newline bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,PLL reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the PLL Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" newline bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLL" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" newline bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,PLL DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,PLL LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the PLL" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" newline rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" rbitfld.long 0x10 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 5.--9. "M7_CLOCK_DIV,Divider value for M7 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "M6_CLOCK_DIV,Divider value for M6 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,RESERVED" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" newline rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "Spread Spectrum Clocking disabled,Spread Spectrum Clocking enabled" line.long 0x1C "PLL_SSC_CONFIGURATION2,Configuration for PLL Spread Spectrum Clocking modulation" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" newline hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for SSC" hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x20 "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure PLL REGM2" newline hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLL_VIDEO2_L3_MAIN" base ad:0x58009300 group.long 0x00++0x23 line.long 0x00 "PLL_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESET,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESET_0,HSDIV_SYSRESET_1" newline bitfld.long 0x00 3. "PLL_SYSRESET,Force DPLL SYSRESETN" "PLL_SYSRESET_0,PLL_SYSRESET_1" bitfld.long 0x00 2. "PLL_HALTMODE,Allow PLL to be halted if no activity" "PLL_HALTMODE_0,PLL_HALTMODE_1" newline bitfld.long 0x00 1. "PLL_GATEMODE,Allow PLL clock gating for power saving Reserved when PLLCTRL_AUTO is 0" "PLL_GATEMODE_0,PLL_GATEMODE_1" bitfld.long 0x00 0. "PLL_AUTOMODE,Automatic update mode" "PLL_AUTOMODE_0,PLL_AUTOMODE_1" line.long 0x04 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x04 17.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" newline bitfld.long 0x04 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" bitfld.long 0x04 13.--14. "BYPASSACKZ,State of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_0_r,BYPASSACKZ_1_r,?,?" newline bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: enum=SSC_inact" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" bitfld.long 0x04 11. "M7_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M7_CLOCK_ACK_0_r,M7_CLOCK_ACK_1_r" newline bitfld.long 0x04 10. "M6_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M6_CLOCK_ACK_0_r,M6_CLOCK_ACK_1_r" bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_MERGED_0_r,BYPASSACKZ_MERGED_1_r" newline bitfld.long 0x04 8. "RESERVED,Reads as zero" "0,1" bitfld.long 0x04 7. "M4_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M4_CLOCK_ACK_0_r,M4_CLOCK_ACK_1_r" newline bitfld.long 0x04 6. "PLL_BYPASS,PLL Bypass status" "PLL_BYPASS_0_r,PLL_BYPASS_1_r" bitfld.long 0x04 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" newline bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline bitfld.long 0x04 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" bitfld.long 0x04 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" newline bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x08 "PLL_GO,This register contains the GO bit" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "HSDIVLOAD,In manual mode start HSDIVIDER update sequence" "0,1" newline bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" rbitfld.long 0x0C 31. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x0C 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 21.--25. "M4_CLOCK_DIV,Divider value for clock source M4REG Divider value = M4_CLOCK_DIV + 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for PLL" newline hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" rbitfld.long 0x0C 0. "RESERVED,Read returns zero" "0,1" line.long 0x10 "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" rbitfld.long 0x10 26.--31. "RESERVED,Read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 25. "M7_CLOCK_EN,Enable for M7 clock source" "M7_CLOCK_EN_0,M7_CLOCK_EN_1" newline rbitfld.long 0x10 24. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 23. "M6_CLOCK_EN,Enable for M6 clock source" "M6_CLOCK_EN_0,M6_CLOCK_EN_1" newline bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 19. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x10 18. "RESERVED,Read returns zero" "0,1" newline rbitfld.long 0x10 17. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 16. "M4_CLOCK_EN,Enable for M4 clock source" "M4_CLOCK_EN_0,M4_CLOCK_EN_1" newline bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,PLL reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the PLL Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" newline bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLL" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" newline bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,PLL DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,PLL LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the PLL" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" newline rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" rbitfld.long 0x10 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 5.--9. "M7_CLOCK_DIV,Divider value for M7 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "M6_CLOCK_DIV,Divider value for M6 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,RESERVED" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" newline rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "Spread Spectrum Clocking disabled,Spread Spectrum Clocking enabled" line.long 0x1C "PLL_SSC_CONFIGURATION2,Configuration for PLL Spread Spectrum Clocking modulation" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" newline hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for SSC" hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x20 "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure PLL REGM2" newline hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLL_VIDEO2_L4_CFG" base ad:0x4A0A5000 group.long 0x00++0x23 line.long 0x00 "PLL_CONTROL,This register controls the PLL reset/power and modes" hexmask.long 0x00 5.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x00 4. "HSDIV_SYSRESET,Force HSDIVIDER SYSRESETN" "HSDIV_SYSRESET_0,HSDIV_SYSRESET_1" newline bitfld.long 0x00 3. "PLL_SYSRESET,Force DPLL SYSRESETN" "PLL_SYSRESET_0,PLL_SYSRESET_1" bitfld.long 0x00 2. "PLL_HALTMODE,Allow PLL to be halted if no activity" "PLL_HALTMODE_0,PLL_HALTMODE_1" newline bitfld.long 0x00 1. "PLL_GATEMODE,Allow PLL clock gating for power saving Reserved when PLLCTRL_AUTO is 0" "PLL_GATEMODE_0,PLL_GATEMODE_1" bitfld.long 0x00 0. "PLL_AUTOMODE,Automatic update mode" "PLL_AUTOMODE_0,PLL_AUTOMODE_1" line.long 0x04 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x04 17.--31. 1. "RESERVED,Reads as zero" bitfld.long 0x04 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" newline bitfld.long 0x04 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" bitfld.long 0x04 13.--14. "BYPASSACKZ,State of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_0_r,BYPASSACKZ_1_r,?,?" newline bitfld.long 0x04 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledgeNote: enum=SSC_inact" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" bitfld.long 0x04 11. "M7_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M7_CLOCK_ACK_0_r,M7_CLOCK_ACK_1_r" newline bitfld.long 0x04 10. "M6_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M6_CLOCK_ACK_0_r,M6_CLOCK_ACK_1_r" bitfld.long 0x04 9. "BYPASSACKZ_MERGED,Merged state of bypass mode on PHY and HSDIVIDER" "BYPASSACKZ_MERGED_0_r,BYPASSACKZ_MERGED_1_r" newline bitfld.long 0x04 8. "RESERVED,Reads as zero" "0,1" bitfld.long 0x04 7. "M4_CLOCK_ACK,Acknowledge for enable of sub-system clock Verify the status before selecting this source in the sub-system clock mux" "M4_CLOCK_ACK_0_r,M4_CLOCK_ACK_1_r" newline bitfld.long 0x04 6. "PLL_BYPASS,PLL Bypass status" "PLL_BYPASS_0_r,PLL_BYPASS_1_r" bitfld.long 0x04 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" newline bitfld.long 0x04 4. "RESERVED,Read returns zero" "0,1" bitfld.long 0x04 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline bitfld.long 0x04 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" bitfld.long 0x04 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" newline bitfld.long 0x04 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x08 "PLL_GO,This register contains the GO bit" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "HSDIVLOAD,In manual mode start HSDIVIDER update sequence" "0,1" newline bitfld.long 0x08 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x0C "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" rbitfld.long 0x0C 31. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x0C 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 21.--25. "M4_CLOCK_DIV,Divider value for clock source M4REG Divider value = M4_CLOCK_DIV + 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x0C 9.--20. 1. "PLL_REGM,M Divider for PLL" newline hexmask.long.byte 0x0C 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" rbitfld.long 0x0C 0. "RESERVED,Read returns zero" "0,1" line.long 0x10 "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" rbitfld.long 0x10 26.--31. "RESERVED,Read as zero" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 25. "M7_CLOCK_EN,Enable for M7 clock source" "M7_CLOCK_EN_0,M7_CLOCK_EN_1" newline rbitfld.long 0x10 24. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 23. "M6_CLOCK_EN,Enable for M6 clock source" "M6_CLOCK_EN_0,M6_CLOCK_EN_1" newline bitfld.long 0x10 21.--22. "REFSEL,Selects the reference clock with optional divide by 2" "REFSEL_0,REFSEL_1,REFSEL_2,REFSEL_3" bitfld.long 0x10 20. "HSDIVBYPASS,Forces HSDIVIDER to bypass mode" "HSDIVBYPASS_0,HSDIVBYPASS_1" newline rbitfld.long 0x10 19. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x10 18. "RESERVED,Read returns zero" "0,1" newline rbitfld.long 0x10 17. "RESERVED,Read returns zero" "0,1" bitfld.long 0x10 16. "M4_CLOCK_EN,Enable for M4 clock source" "M4_CLOCK_EN_0,M4_CLOCK_EN_1" newline bitfld.long 0x10 15. "BYPASSEN,Selects sub-system functional clock as PHY clock source" "BYPASSEN_0,BYPASSEN_1" bitfld.long 0x10 14. "PHY_CLKINEN,PHY clock control" "PHY_CLKINEN_0,PHY_CLKINEN_1" newline bitfld.long 0x10 13. "PLL_REFEN,PLL reference clock control" "PLL_REFEN_0,PLL_REFEN_1" bitfld.long 0x10 12. "PLL_HIGHFREQ,Enables a division of pixel clock by 2 before input to the PLL Required for pixel clock frequencies above 32 MHz (21 MHZ if N = 0)" "PLL_HIGHFREQ_0,PLL_HIGHFREQ_1" newline bitfld.long 0x10 11. "PLL_CLKSEL,Reference clock selection" "PLL_CLKSEL_0,PLL_CLKSEL_1" bitfld.long 0x10 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLL" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,PLL_LOCKSEL_2,?" newline bitfld.long 0x10 8. "PLL_DRIFTGUARDEN,PLL DRIFTGUARDEN" "PLL_DRIFTGUARDEN_0,PLL_DRIFTGUARDEN_1" rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 6. "PLL_LOWCURRSTBY,PLL LOW CURRENT STANDBY" "PLL_LOWCURRSTBY_0,PLL_LOWCURRSTBY_1" bitfld.long 0x10 5. "PLL_PLLLPMODE,Select the power / performance of the PLL" "PLL_PLLLPMODE_0,PLL_PLLLPMODE_1" newline rbitfld.long 0x10 4. "RESERVED,Reads as zero" "0,1" rbitfld.long 0x10 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x14 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 5.--9. "M7_CLOCK_DIV,Divider value for M7 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 0.--4. "M6_CLOCK_DIV,Divider value for M6 divider" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x18 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x18 3.--31. 1. "RESERVED,RESERVED" bitfld.long 0x18 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" newline rbitfld.long 0x18 1. "RESERVED,Reserved" "0,1" bitfld.long 0x18 0. "EN_SSC,Spread Spectrum Clocking enable" "Spread Spectrum Clocking disabled,Spread Spectrum Clocking enabled" line.long 0x1C "PLL_SSC_CONFIGURATION2,Configuration for PLL Spread Spectrum Clocking modulation" rbitfld.long 0x1C 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x1C 30. "DELTAM2,MSB of DeltaM control bus" "0,1" newline hexmask.long.word 0x1C 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider (ModFreqDivider) control for SSC" hexmask.long.tbyte 0x1C 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x20 "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.byte 0x20 25.--31. 1. "RESERVED,Reads as zero" hexmask.long.byte 0x20 18.--24. 1. "PLL_REGM2,M2 divider to configure PLL REGM2" newline hexmask.long.tbyte 0x20 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLLCTRL_SATA" base ad:0x4A096800 group.long 0x04++0x1F line.long 0x00 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x00 17.--31. 1. "RESERVED," rbitfld.long 0x00 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" rbitfld.long 0x00 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" newline bitfld.long 0x00 13.--14. "RESERVED," "0,1,2,3" rbitfld.long 0x00 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledge" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" rbitfld.long 0x00 6.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" rbitfld.long 0x00 4. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x00 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline rbitfld.long 0x00 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" rbitfld.long 0x00 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" rbitfld.long 0x00 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x04 "PLL_GO,This register contains the GO bit" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads return zero" bitfld.long 0x04 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x08 "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" hexmask.long.word 0x08 21.--31. 1. "RESERVED," hexmask.long.word 0x08 9.--20. 1. "PLL_REGM,M Divider for PLL" hexmask.long.byte 0x08 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" newline rbitfld.long 0x08 0. "RESERVED,Read returns zero" "0,1" line.long 0x0C "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" hexmask.long.tbyte 0x0C 11.--31. 1. "RESERVED," bitfld.long 0x0C 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLLOther values: Reserved enum=SPARE" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,?,?" bitfld.long 0x0C 4.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 1.--3. "PLL_SELFREQDCO,DCO frequency range selector for DPLL_USB_OTG_SS / DPLLCTRL_SATA 0x2 Set if DCO frequency is between 750MHz and 1500MHz 0x4 Set if DCO frequency is between 1250MHz and 2500MHz Other values: Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x10 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.word 0x10 18.--31. 1. "RESERVED," hexmask.long.byte 0x10 10.--17. 1. "PLL_SD,Sigma delta divider setting for DPLL_USB_OTG_SS based on the PLL lock configuration" hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x14 3.--31. 1. "RESERVED," bitfld.long 0x14 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" bitfld.long 0x14 1. "RESERVED," "0,1" newline bitfld.long 0x14 0. "EN_SSC,Spread Spectrum Clocking enable" "EN_SSC_0,EN_SSC_1" line.long 0x18 "PLL_SSC_CONFIGURATION2," rbitfld.long 0x18 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x18 30. "DELTAM2,MSB of DeltaM control bus" "0,1" hexmask.long.word 0x18 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider control for SSC" newline hexmask.long.tbyte 0x18 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x1C "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.word 0x1C 18.--31. 1. "RESERVED,Reads as 0x1" hexmask.long.tbyte 0x1C 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DPLLCTRL_USB_OTG_SS" base ad:0x4A084C00 group.long 0x04++0x1F line.long 0x00 "PLL_STATUS,This register contains the status information" hexmask.long.word 0x00 17.--31. 1. "RESERVED," rbitfld.long 0x00 16. "PLL_TICOPWDN,PLL TICOPWDN status" "PLL_TICOPWDN_0_r,PLL_TICOPWDN_1_r" rbitfld.long 0x00 15. "PLL_LDOPWDN,PLL LDOPWDN status" "PLL_LDOPWDN_0_r,PLL_LDOPWDN_1_r" newline bitfld.long 0x00 13.--14. "RESERVED," "0,1,2,3" rbitfld.long 0x00 12. "SSC_EN_ACK,Spread Spectrum Clocking acknowledge" "SSC_EN_ACK_0_r,SSC_EN_ACK_1_r" rbitfld.long 0x00 6.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 5. "PLL_HIGHJITTER,PLL High Jitter status" "PLL_HIGHJITTER_0_r,PLL_HIGHJITTER_1_r" rbitfld.long 0x00 4. "RESERVED,Read returns zero" "0,1" rbitfld.long 0x00 3. "PLL_LOSSREF,PLL Reference Loss status" "PLL_LOSSREF_0_r,PLL_LOSSREF_1_r" newline rbitfld.long 0x00 2. "PLL_RECAL,PLL re-calibration status If this bit is active the PLL needs to be re-calibrated" "PLL_RECAL_0_r,PLL_RECAL_1_r" rbitfld.long 0x00 1. "PLL_LOCK,PLL Lock status See the programming guide for the use of this bit" "PLL_LOCK_0_r,PLL_LOCK_1_r" rbitfld.long 0x00 0. "PLLCTRL_RESET_DONE,PLLCTRL reset done status" "PLLCTRL_RESET_DONE_0_r,PLLCTRL_RESET_DONE_1_r" line.long 0x04 "PLL_GO,This register contains the GO bit" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads return zero" bitfld.long 0x04 0. "PLL_GO,Request (re-)locking sequence of the PLL" "PLL_GO_0,PLL_GO_1" line.long 0x08 "PLL_CONFIGURATION1,This register contains the latched PLL and HSDIVDER configuration bits" hexmask.long.word 0x08 21.--31. 1. "RESERVED," hexmask.long.word 0x08 9.--20. 1. "PLL_REGM,M Divider for PLL" hexmask.long.byte 0x08 1.--8. 1. "PLL_REGN,N Divider for PLL (Reference)" newline rbitfld.long 0x08 0. "RESERVED,Read returns zero" "0,1" line.long 0x0C "PLL_CONFIGURATION2,This register contains the unlatched PLL and HSDIVDER configuration bits These bits are 'shadowed' when automatic mode is selected" hexmask.long.tbyte 0x0C 11.--31. 1. "RESERVED," bitfld.long 0x0C 9.--10. "PLL_LOCKSEL,Selects the lock criteria for the PLLOther values: Reserved enum=SPARE" "PLL_LOCKSEL_0,PLL_LOCKSEL_1,?,?" bitfld.long 0x0C 4.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 1.--3. "PLL_SELFREQDCO,DCO frequency range selector for DPLL_USB_OTG_SS / DPLLCTRL_SATA 0x2 Set if DCO frequency is between 750MHz and 1500MHz 0x4 Set if DCO frequency is between 1250MHz and 2500MHz Other values: Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 0. "PLL_IDLE,PLL IDLE" "PLL_IDLE_0,PLL_IDLE_1" line.long 0x10 "PLL_CONFIGURATION3,HSDIVIDER configuration bits for the M5 and M6 dividers" hexmask.long.word 0x10 18.--31. 1. "RESERVED," hexmask.long.byte 0x10 10.--17. 1. "PLL_SD,Sigma delta divider setting for DPLL_USB_OTG_SS based on the PLL lock configuration" hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "PLL_SSC_CONFIGURATION1,Configuration for PLL Spread Spectrum Clocking modulation" hexmask.long 0x14 3.--31. 1. "RESERVED," bitfld.long 0x14 2. "DOWNSPREAD,Forces the clock spreading only in the down spectrum" "DOWNSPREAD_0,DOWNSPREAD_1" bitfld.long 0x14 1. "RESERVED," "0,1" newline bitfld.long 0x14 0. "EN_SSC,Spread Spectrum Clocking enable" "EN_SSC_0,EN_SSC_1" line.long 0x18 "PLL_SSC_CONFIGURATION2," rbitfld.long 0x18 31. "RESERVED,Reads as zero" "0,1" bitfld.long 0x18 30. "DELTAM2,MSB of DeltaM control bus" "0,1" hexmask.long.word 0x18 20.--29. 1. "MODFREQDIVIDER,Modulation Frequency Divider control for SSC" newline hexmask.long.tbyte 0x18 0.--19. 1. "DELTAM,DeltaM control for SSC" line.long 0x1C "PLL_CONFIGURATION4,Allows setting the fractional M divider and M2 divider for PLL" hexmask.long.word 0x1C 18.--31. 1. "RESERVED,Reads as 0x1" hexmask.long.tbyte 0x1C 0.--17. 1. "PLL_REGM_F,Fractional part of M divider" tree.end tree "DRM" base ad:0x54160000 group.long 0x294++0x03 line.long 0x00 "DRM_SUSPEND_CTRL37,susp" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 4.--8. "SUSPEND_SEL,Suspend signal selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 3. "SUSPEND_DEFAULT_OVERRIDE,Enable or disable the override value in SUSPEND_SEL" "SUSPEND_SEL field will select which suspend..,SUSPEND_SEL field ignored" newline rbitfld.long 0x00 1.--2. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 0. "SENSCTRL,Sensitivity Control for suspend signals" "Suspend signal will not reach the peripheral,Suspend signal will reach the peripheral" repeat 5. (list 32. 33. 34. 35. 36. )(list 0x00 0x04 0x08 0x0C 0x10 ) group.long ($2+0x280)++0x03 line.long 0x00 "DRM_SUSPEND_CTRL$1," hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--8. "SUSPEND_SEL,Suspend signal selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 3. "SUSPEND_DEFAULT_OVERRIDE,Enable or disable the override value in SUSPEND_SEL" "SUSPEND_SEL field will select which suspend..,SUSPEND_SEL field ignored" rbitfld.long 0x00 1.--2. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 0. "SENSCTRL,Sensitivity Control for suspend signals" "Suspend signal will not reach the peripheral,Suspend signal will reach the peripheral" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x240)++0x03 line.long 0x00 "DRM_SUSPEND_CTRL$1," hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--8. "SUSPEND_SEL,Suspend signal selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 3. "SUSPEND_DEFAULT_OVERRIDE,Enable or disable the override value in SUSPEND_SEL" "SUSPEND_SEL field will select which suspend..,SUSPEND_SEL field ignored" rbitfld.long 0x00 1.--2. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 0. "SENSCTRL,Sensitivity Control for suspend signals" "Suspend signal will not reach the peripheral,Suspend signal will reach the peripheral" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x200)++0x03 line.long 0x00 "DRM_SUSPEND_CTRL$1," hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--8. "SUSPEND_SEL,Suspend signal selection" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 3. "SUSPEND_DEFAULT_OVERRIDE,Enable or disable the override value in SUSPEND_SEL" "SUSPEND_SEL field will select which suspend..,SUSPEND_SEL field ignored" rbitfld.long 0x00 1.--2. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 0. "SENSCTRL,Sensitivity Control for suspend signals" "Suspend signal will not reach the peripheral,Suspend signal will reach the peripheral" repeat.end tree.end tree "DSI1_A_L3_MAIN" base ad:0x58004000 group.long 0x54++0x03 line.long 0x00 "DSI_CLK_CTRL,CLOCK CONTROL This register controls the CLOCK GENERATION" bitfld.long 0x00 30.--31. "PLL_PWR_CMD,Command for power control of the DSI PLL Control Module" "Command to change to OFF state,Command to change to ON state for PLL only..,Command to change to ON state for both PLL and..,Command to change to ON state for both PLL and.." bitfld.long 0x00 28.--29. "PLL_PWR_STATUS,Status of the power control of the DSI PLL Control module" "DSI PLL Control module in OFF state,DSI PLL Control module in ON state for PLL only..,DSI PLL Control module in ON state for both PLL..,DSI PLL Control module in ON state for both PLL.." newline hexmask.long.word 0x00 15.--27. 1. "RESERVED,Reserved" bitfld.long 0x00 14. "CIO_CLK_ICG,Gates SCPClk clock provided to DSI-PHY and PLL-CTRL module" "Disabled,Enabled" newline hexmask.long.word 0x00 0.--13. 1. "RESERVED,Reserved" tree.end tree "DSI1_C_L3_MAIN" base ad:0x58009000 group.long 0x54++0x03 line.long 0x00 "DSI_CLK_CTRL,CLOCK CONTROL This register controls the CLOCK GENERATION" bitfld.long 0x00 30.--31. "PLL_PWR_CMD,Command for power control of the DSI PLL Control Module" "Command to change to OFF state,Command to change to ON state for PLL only..,Command to change to ON state for both PLL and..,Command to change to ON state for both PLL and.." bitfld.long 0x00 28.--29. "PLL_PWR_STATUS,Status of the power control of the DSI PLL Control module" "DSI PLL Control module in OFF state,DSI PLL Control module in ON state for PLL only..,DSI PLL Control module in ON state for both PLL..,DSI PLL Control module in ON state for both PLL.." newline hexmask.long.word 0x00 15.--27. 1. "RESERVED,Reserved" bitfld.long 0x00 14. "CIO_CLK_ICG,Gates SCPClk clock provided to DSI-PHY and PLL-CTRL module" "Disabled,Enabled" newline hexmask.long.word 0x00 0.--13. 1. "RESERVED,Reserved" tree.end tree "DSP1_EDMA_TPCC" base ad:0x40D10000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x440)++0x03 line.long 0x00 "EDMA_TPCC_Q1E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "EDMA_TPCC_Q0E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x344)++0x03 line.long 0x00 "EDMA_TPCC_DRAEHM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E63,DMA Region Access enable for Region M bit #63" "0,1" bitfld.long 0x00 30. "E62,DMA Region Access enable for Region M bit #62" "0,1" newline bitfld.long 0x00 29. "E61,DMA Region Access enable for Region M bit #61" "0,1" bitfld.long 0x00 28. "E60,DMA Region Access enable for Region M bit #60" "0,1" newline bitfld.long 0x00 27. "E59,DMA Region Access enable for Region M bit #59" "0,1" bitfld.long 0x00 26. "E58,DMA Region Access enable for Region M bit #58" "0,1" newline bitfld.long 0x00 25. "E57,DMA Region Access enable for Region M bit #57" "0,1" bitfld.long 0x00 24. "E56,DMA Region Access enable for Region M bit #56" "0,1" newline bitfld.long 0x00 23. "E55,DMA Region Access enable for Region M bit #55" "0,1" bitfld.long 0x00 22. "E54,DMA Region Access enable for Region M bit #54" "0,1" newline bitfld.long 0x00 21. "E53,DMA Region Access enable for Region M bit #53" "0,1" bitfld.long 0x00 20. "E52,DMA Region Access enable for Region M bit #52" "0,1" newline bitfld.long 0x00 19. "E51,DMA Region Access enable for Region M bit #51" "0,1" bitfld.long 0x00 18. "E50,DMA Region Access enable for Region M bit #50" "0,1" newline bitfld.long 0x00 17. "E49,DMA Region Access enable for Region M bit #49" "0,1" bitfld.long 0x00 16. "E48,DMA Region Access enable for Region M bit #48" "0,1" newline bitfld.long 0x00 15. "E47,DMA Region Access enable for Region M bit #47" "0,1" bitfld.long 0x00 14. "E46,DMA Region Access enable for Region M bit #46" "0,1" newline bitfld.long 0x00 13. "E45,DMA Region Access enable for Region M bit #45" "0,1" bitfld.long 0x00 12. "E44,DMA Region Access enable for Region M bit #44" "0,1" newline bitfld.long 0x00 11. "E43,DMA Region Access enable for Region M bit #43" "0,1" bitfld.long 0x00 10. "E42,DMA Region Access enable for Region M bit #42" "0,1" newline bitfld.long 0x00 9. "E41,DMA Region Access enable for Region M bit #41" "0,1" bitfld.long 0x00 8. "E40,DMA Region Access enable for Region M bit #40" "0,1" newline bitfld.long 0x00 7. "E39,DMA Region Access enable for Region M bit #39" "0,1" bitfld.long 0x00 6. "E38,DMA Region Access enable for Region M bit #38" "0,1" newline bitfld.long 0x00 5. "E37,DMA Region Access enable for Region M bit #37" "0,1" bitfld.long 0x00 4. "E36,DMA Region Access enable for Region M bit #36" "0,1" newline bitfld.long 0x00 3. "E35,DMA Region Access enable for Region M bit #35" "0,1" bitfld.long 0x00 2. "E34,DMA Region Access enable for Region M bit #34" "0,1" newline bitfld.long 0x00 1. "E33,DMA Region Access enable for Region M bit #33" "0,1" bitfld.long 0x00 0. "E32,DMA Region Access enable for Region M bit #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x340)++0x03 line.long 0x00 "EDMA_TPCC_DRAEM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E31,DMA Region Access enable for Region M bit #31" "0,1" bitfld.long 0x00 30. "E30,DMA Region Access enable for Region M bit #30" "0,1" newline bitfld.long 0x00 29. "E29,DMA Region Access enable for Region M bit #29" "0,1" bitfld.long 0x00 28. "E28,DMA Region Access enable for Region M bit #28" "0,1" newline bitfld.long 0x00 27. "E27,DMA Region Access enable for Region M bit #27" "0,1" bitfld.long 0x00 26. "E26,DMA Region Access enable for Region M bit #26" "0,1" newline bitfld.long 0x00 25. "E25,DMA Region Access enable for Region M bit #25" "0,1" bitfld.long 0x00 24. "E24,DMA Region Access enable for Region M bit #24" "0,1" newline bitfld.long 0x00 23. "E23,DMA Region Access enable for Region M bit #23" "0,1" bitfld.long 0x00 22. "E22,DMA Region Access enable for Region M bit #22" "0,1" newline bitfld.long 0x00 21. "E21,DMA Region Access enable for Region M bit #21" "0,1" bitfld.long 0x00 20. "E20,DMA Region Access enable for Region M bit #20" "0,1" newline bitfld.long 0x00 19. "E19,DMA Region Access enable for Region M bit #19" "0,1" bitfld.long 0x00 18. "E18,DMA Region Access enable for Region M bit #18" "0,1" newline bitfld.long 0x00 17. "E17,DMA Region Access enable for Region M bit #17" "0,1" bitfld.long 0x00 16. "E16,DMA Region Access enable for Region M bit #16" "0,1" newline bitfld.long 0x00 15. "E15,DMA Region Access enable for Region M bit #15" "0,1" bitfld.long 0x00 14. "E14,DMA Region Access enable for Region M bit #14" "0,1" newline bitfld.long 0x00 13. "E13,DMA Region Access enable for Region M bit #13" "0,1" bitfld.long 0x00 12. "E12,DMA Region Access enable for Region M bit #12" "0,1" newline bitfld.long 0x00 11. "E11,DMA Region Access enable for Region M bit #11" "0,1" bitfld.long 0x00 10. "E10,DMA Region Access enable for Region M bit #10" "0,1" newline bitfld.long 0x00 9. "E9,DMA Region Access enable for Region M bit #9" "0,1" bitfld.long 0x00 8. "E8,DMA Region Access enable for Region M bit #8" "0,1" newline bitfld.long 0x00 7. "E7,DMA Region Access enable for Region M bit #7" "0,1" bitfld.long 0x00 6. "E6,DMA Region Access enable for Region M bit #6" "0,1" newline bitfld.long 0x00 5. "E5,DMA Region Access enable for Region M bit #5" "0,1" bitfld.long 0x00 4. "E4,DMA Region Access enable for Region M bit #4" "0,1" newline bitfld.long 0x00 3. "E3,DMA Region Access enable for Region M bit #3" "0,1" bitfld.long 0x00 2. "E2,DMA Region Access enable for Region M bit #2" "0,1" newline bitfld.long 0x00 1. "E1,DMA Region Access enable for Region M bit #1" "0,1" bitfld.long 0x00 0. "E0,DMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x40D05000 ad:0x40D06000 ) tree "DSP1_EDMA_TPTC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPTCn_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPTCn_TCCFG,TC Configuration Register" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED,Reads return 0's" bitfld.long 0x04 8.--9. "DREGDEPTH,Dst Register FIFO Depth Parameterization" "DREGDEPTH_0,DREGDEPTH_1,DREGDEPTH_2,?" bitfld.long 0x04 6.--7. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 4.--5. "BUSWIDTH,Bus Width Parameterization" "BUSWIDTH_0,BUSWIDTH_1,BUSWIDTH_2,?" bitfld.long 0x04 3. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 0.--2. "FIFOSIZE,Fifo Size Parameterization" "FIFOSIZE_0,FIFOSIZE_1,FIFOSIZE_2,FIFOSIZE_3,FIFOSIZE_4,?,?,?" group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x23 line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" line.long 0x0C "EDMA_TPTCn_SADST,Source Active Destination Address Register Reserved. return 0x0 w/o AERROR" line.long 0x10 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x14 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x18 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x1C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x20 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x0B line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" line.long 0x08 "EDMA_TPTCn_DFDSTBREF,Destination FIFO Set Destination Address A-Reference" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "DSP1_FW_L2_NOC_CFG" base ad:0x40D03000 group.long 0x00++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x40++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x88++0x17 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_0,MRM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" line.long 0x08 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_START_REGION_1,Start physical address of region 1" hexmask.long 0x08 4.--31. 1. "RESERVED," bitfld.long 0x08 0.--3. "START_REGION_1,Physical target start address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_END_REGION_1,End physical address of region 1" bitfld.long 0x0C 31. "END_REGION_1_ENABLE,End Region 1 enable" "0,1" hexmask.long 0x0C 4.--30. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "END_REGION_1,Physical target end address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_1,RM_PERMISSION_REGION_1_LOW register" hexmask.long.word 0x10 16.--31. 1. "RESERVED," bitfld.long 0x10 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x10 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x10 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x10 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x10 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x10 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x10 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x10 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x10 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_1,RM_PERMISSION_REGION_1_HIGH register" bitfld.long 0x14 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x14 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x14 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x14 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x14 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x14 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x14 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x14 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x14 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x14 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x14 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x14 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x14 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x14 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x14 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x14 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x14 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x14 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x14 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x14 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x14 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x14 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x14 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x14 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x14 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x14 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x14 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x14 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x14 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x14 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x14 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x14 0. "R0,Initiator ID0 permission" "0,1" group.long 0x1000++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x1040++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x1088++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_LOW_0,RM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" rgroup.long 0x4000++0x17 line.long 0x00 "DSPNOC_FLAGMUX_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_FLAGMUX_ID_REVISIONID," line.long 0x08 "DSPNOC_FLAGMUX_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Global Fault Enable register" "0,1" line.long 0x0C "DSPNOC_FLAGMUX_FAULTSTATUS," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "FAULTSTATUS,Global Fault Status register" "0,1" line.long 0x10 "DSPNOC_FLAGMUX_FLAGINEN0," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "FLAGINEN0,FlagIn Enable register #0" "0,1" line.long 0x14 "DSPNOC_FLAGMUX_FLAGINSTATUS0," hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "FLAGINSTATUS0,FlagIn Status register #0" "0,1" rgroup.long 0x4200++0x1B line.long 0x00 "DSPNOC_ERRORLOG_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_ERRORLOG_ID_REVISIONID," line.long 0x08 "DSPNOC_ERRORLOG_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Enable Fault output" "0,1" line.long 0x0C "DSPNOC_ERRORLOG_ERRVLD," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "ERRVLD,Error logged Valid" "0,1" line.long 0x10 "DSPNOC_ERRORLOG_ERRCLR," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "ERRCLR,Clr ErrVld status" "0,1" line.long 0x14 "DSPNOC_ERRORLOG_ERRLOG0,Header: Lock. Opcode. Len1. ErrCode values" bitfld.long 0x14 31. "FORMAT,Format of ErrLog0 register" "0,1" bitfld.long 0x14 28.--30. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--27. 1. "LEN1,Header: Len1 value" bitfld.long 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 8.--10. "ERRCODE,Header: Error Code value" "0,1,2,3,4,5,6,7" bitfld.long 0x14 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 1.--4. "OPC,Header: Opcode value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0. "LOCK,Header: Lock bit value" "0,1" line.long 0x18 "DSPNOC_ERRORLOG_ERRLOG1," hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED," hexmask.long.word 0x18 0.--14. 1. "ERRLOG1,Header: RouteId lsb value" rgroup.long 0x4220++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG3," bitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 0.--30. 1. "ERRLOG3,Header: Addr lsb value" rgroup.long 0x4228++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG5," hexmask.long.word 0x00 22.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--21. 1. "ERRLOG5,Header: User lsb value" tree.end sif (cpuis("AM572XDSP?")) tree "DSP1_MMU0_DSP" base ad:0x1D01000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP1_MMU0_L3_MAIN" base ad:0x40D01000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP1_MMU1_DSP" base ad:0x1D02000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end endif tree "DSP1_MMU1_L3_MAIN" base ad:0x40D02000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP1_PRM" base ad:0x4AE06400 group.long 0x00++0x07 line.long 0x00 "PM_DSP1_PWRSTCTRL,This register controls the DSP power state to reach upon a domain sleep transition" hexmask.long.word 0x00 22.--31. 1. "RESERVED," rbitfld.long 0x00 20.--21. "DSP1_EDMA_ONSTATE,DSP_EDMA state when domain is ON" "?,?,?,DSP1_EDMA_ONSTATE_3" rbitfld.long 0x00 18.--19. "DSP1_L2_ONSTATE,DSP_L2 state when domain is ON" "?,?,?,DSP1_L2_ONSTATE_3" newline rbitfld.long 0x00 16.--17. "DSP1_L1_ONSTATE,DSP_L1 state when domain is ON" "?,?,?,DSP1_L1_ONSTATE_3" hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_DSP1_PWRSTST,This register provides a status on the DSP domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 10.--19. 1. "RESERVED," rbitfld.long 0x04 8.--9. "DSP1_EDMA_STATEST,DSP_EDMA memory state status" "DSP1_EDMA_STATEST_0,DSP1_EDMA_STATEST_1,DSP1_EDMA_STATEST_2,DSP1_EDMA_STATEST_3" newline rbitfld.long 0x04 6.--7. "DSP1_L2_STATEST,DSP_L2 memory state status" "DSP1_L2_STATEST_0,DSP1_L2_STATEST_1,DSP1_L2_STATEST_2,DSP1_L2_STATEST_3" rbitfld.long 0x04 4.--5. "DSP1_L1_STATEST,DSP_L1 memory state status" "DSP1_L1_STATEST_0,DSP1_L1_STATEST_1,DSP1_L1_STATEST_2,DSP1_L1_STATEST_3" rbitfld.long 0x04 3. "RESERVED," "0,1" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_DSP1_RSTCTRL,This register controls the release of the DSP sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_DSP1,DSP reset control" "RST_DSP1_0,RST_DSP1_1" bitfld.long 0x00 0. "RST_DSP1_LRST,DSP Local reset control" "RST_DSP1_LRST_0,RST_DSP1_LRST_1" line.long 0x04 "RM_DSP1_RSTST,This register logs the different reset sources of the DSP domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_DSP1_EMU_REQ,DSP processor has been reset due to DSP emulation reset request driven from DSP-SS" "RST_DSP1_EMU_REQ_0,RST_DSP1_EMU_REQ_1" bitfld.long 0x04 2. "RST_DSP1_EMU,DSP domain has been reset due to emulation reset source e.g" "RST_DSP1_EMU_0,RST_DSP1_EMU_1" newline bitfld.long 0x04 1. "RST_DSP1,DSP SW reset status" "RST_DSP1_0,RST_DSP1_1" bitfld.long 0x04 0. "RST_DSP1_LRST,DSP Local SW reset" "RST_DSP1_LRST_0,RST_DSP1_LRST_1" group.long 0x24++0x03 line.long 0x00 "RM_DSP1_DSP1_CONTEXT,This register contains dedicated DSP context statuses" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 10. "LOSTMEM_DSP_EDMA,Specify if memory-based context in DSP_EDMA memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_EDMA_0,LOSTMEM_DSP_EDMA_1" bitfld.long 0x00 9. "LOSTMEM_DSP_L2,Specify if memory-based context in DSP_L2 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_L2_0,LOSTMEM_DSP_L2_1" newline bitfld.long 0x00 8. "LOSTMEM_DSP_L1,Specify if memory-based context in DSP_L1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_L1_0,LOSTMEM_DSP_L1_1" hexmask.long.byte 0x00 1.--7. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "DSP1_SDMA_FW" base ad:0x4A171000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "DSP1_SDMA_FW_CFG_TARG" base ad:0x4A172000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DSP1_SDMA_TARG" base ad:0x44000300 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DSP1_SYSTEM" base ad:0x40D00000 rgroup.long 0x00++0x1B line.long 0x00 "DSP_SYS_REVISION," line.long 0x04 "DSP_SYS_HWINFO," hexmask.long 0x04 4.--31. 1. "INFO," bitfld.long 0x04 0.--3. "NUM,Instance Number Set by subsystem input" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "DSP_SYS_SYSCONFIG," hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x08 4.--5. "STANDBYMODE," "?,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" bitfld.long 0x08 2.--3. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x08 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x0C "DSP_SYS_STAT,This register is intended to provide indication to software (including a remote host) as to whether the DSP is able to enter a low power mode" hexmask.long 0x0C 6.--31. 1. "RESERVED," bitfld.long 0x0C 4.--5. "OCPI_DISC_STAT,L3_MAIN (OCP) Initiator(s) Disconnect Status" "OCPI_DISC_STAT_0_r,OCPI_DISC_STAT_1_r,OCPI_DISC_STAT_2_r,?" bitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 2. "TC1_STAT,EDMA TC1 Status" "TC1_STAT_0,TC1_STAT_1" bitfld.long 0x0C 1. "TC0_STAT,EDMA TC0 Status" "TC0_STAT_0,TC0_STAT_1" bitfld.long 0x0C 0. "C66X_STAT,C66x Status" "C66X_STAT_0,C66X_STAT_1" line.long 0x10 "DSP_SYS_DISC_CONFIG,This register is used to manually disconnect the OCP busses" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "OCPI_DISC,OCP Initiator (on L3_MAIN) Disconnect request" "OCPI_DISC_0_w,OCPI_DISC_1_w" line.long 0x14 "DSP_SYS_BUS_CONFIG,This register controls the burst and priority settings for the internal initiators" rbitfld.long 0x14 31. "RESERVED," "0,1" bitfld.long 0x14 28.--30. "SDMA_PRI,Sets the CBA/VBusM Priority for the DSP C66x CorePac SDMA port" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 24. "NOPOSTOVERRIDE,OCP Posted Write vs Non-Posted Write override" "NOPOSTOVERRIDE_0,NOPOSTOVERRIDE_1" rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "SDMA_L2PRES,OCP Target port L2 Interconnect Pressure" "SDMA_L2PRES_0,SDMA_L2PRES_1,SDMA_L2PRES_2,SDMA_L2PRES_3" newline rbitfld.long 0x14 18.--19. "RESERVED," "0,1,2,3" bitfld.long 0x14 16.--17. "CFG_L2PRES,DSP CFG L2 Interconnect Pressure" "CFG_L2PRES_0,CFG_L2PRES_1,CFG_L2PRES_2,CFG_L2PRES_3" rbitfld.long 0x14 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 12.--13. "TC1_L2PRES,TC1 L2 Interconnect Pressure" "TC1_L2PRES_0,TC1_L2PRES_1,TC1_L2PRES_2,TC1_L2PRES_3" rbitfld.long 0x14 10.--11. "RESERVED," "0,1,2,3" bitfld.long 0x14 8.--9. "TC0_L2PRES,TC0 L2 Interconnect Pressure" "TC0_L2PRES_0,TC0_L2PRES_1,TC0_L2PRES_2,TC0_L2PRES_3" newline rbitfld.long 0x14 6.--7. "RESERVED," "0,1,2,3" bitfld.long 0x14 4.--5. "TC1_DBS,TC1 Default Burst size" "TC1_DBS_0,TC1_DBS_1,TC1_DBS_2,TC1_DBS_3" rbitfld.long 0x14 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0.--1. "TC0_DBS,TC0 Default Burst size" "TC0_DBS_0,TC0_DBS_1,TC0_DBS_2,TC0_DBS_3" line.long 0x18 "DSP_SYS_MMU_CONFIG,This register is used to enable the subsystem MMUs" hexmask.long.tbyte 0x18 13.--31. 1. "RESERVED," bitfld.long 0x18 12. "MMU1_ABORT,MMU1 Abort" "MMU1_ABORT_0,MMU1_ABORT_1" rbitfld.long 0x18 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 8. "MMU0_ABORT,MMU0 Abort" "MMU0_ABORT_0,MMU0_ABORT_1" rbitfld.long 0x18 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 4. "MMU1_EN,MMU1 Enable" "MMU1_EN_0,MMU1_EN_1" newline rbitfld.long 0x18 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 0. "MMU0_EN,MMU0 Enable" "MMU0_EN_0,MMU0_EN_1" group.long 0x40++0x07 line.long 0x00 "DSP_SYS_EVTOUT_SET,These registers can be used to drive event outputs from the DSP subsystem to a desired state" line.long 0x04 "DSP_SYS_EVTOUT_CLR,These registers can be used to drive event outputs from the DSP subsystem to a desired state" group.long 0x50++0x2F line.long 0x00 "DSP_SYS_ERRINT_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" hexmask.long.word 0x00 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--18. 1. "EVENT,Settable raw status for event #n" line.long 0x04 "DSP_SYS_ERRINT_IRQSTATUS,This register provides a per-event enabled interrupt status vector" hexmask.long.word 0x04 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x04 0.--18. 1. "EVENT,Clearable enabled status for event #n" line.long 0x08 "DSP_SYS_ERRINT_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x08 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x08 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x0C "DSP_SYS_ERRINT_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x0C 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x0C 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x10 "DSP_SYS_EDMAWAKE0_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x14 "DSP_SYS_EDMAWAKE0_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x18 "DSP_SYS_EDMAWAKE0_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x1C "DSP_SYS_EDMAWAKE0_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" line.long 0x20 "DSP_SYS_EDMAWAKE1_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x24 "DSP_SYS_EDMAWAKE1_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x28 "DSP_SYS_EDMAWAKE1_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x2C "DSP_SYS_EDMAWAKE1_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" group.long 0xF8++0x07 line.long 0x00 "DSP_SYS_HW_DBGOUT_SEL,THis register is used to select which group of internal signals are mapped to the hw_dbgout output bus" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 0.--3. "GROUP,Debug Group output control mux selectN: GN = select output group N" "GROUP_0,GROUP_1,GROUP_2,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "DSP_SYS_HW_DBGOUT_VAL,This register is used to read the value of the currently selected debug output group" repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x30)++0x03 line.long 0x00 "DSP_SYS_DMAWAKEEN$1,The register provides a global dma event wakeup enable bit vector that defines which input dma events are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x20)++0x03 line.long 0x00 "DSP_SYS_IRQWAKEEN$1,The register provides a global interrupt wakeup enable bit vector that defines which input interrupts are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end tree.end tree "DSP2_EDMA_TPCC" base ad:0x41510000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x440)++0x03 line.long 0x00 "EDMA_TPCC_Q1E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "EDMA_TPCC_Q0E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x344)++0x03 line.long 0x00 "EDMA_TPCC_DRAEHM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E63,DMA Region Access enable for Region M bit #63" "0,1" bitfld.long 0x00 30. "E62,DMA Region Access enable for Region M bit #62" "0,1" newline bitfld.long 0x00 29. "E61,DMA Region Access enable for Region M bit #61" "0,1" bitfld.long 0x00 28. "E60,DMA Region Access enable for Region M bit #60" "0,1" newline bitfld.long 0x00 27. "E59,DMA Region Access enable for Region M bit #59" "0,1" bitfld.long 0x00 26. "E58,DMA Region Access enable for Region M bit #58" "0,1" newline bitfld.long 0x00 25. "E57,DMA Region Access enable for Region M bit #57" "0,1" bitfld.long 0x00 24. "E56,DMA Region Access enable for Region M bit #56" "0,1" newline bitfld.long 0x00 23. "E55,DMA Region Access enable for Region M bit #55" "0,1" bitfld.long 0x00 22. "E54,DMA Region Access enable for Region M bit #54" "0,1" newline bitfld.long 0x00 21. "E53,DMA Region Access enable for Region M bit #53" "0,1" bitfld.long 0x00 20. "E52,DMA Region Access enable for Region M bit #52" "0,1" newline bitfld.long 0x00 19. "E51,DMA Region Access enable for Region M bit #51" "0,1" bitfld.long 0x00 18. "E50,DMA Region Access enable for Region M bit #50" "0,1" newline bitfld.long 0x00 17. "E49,DMA Region Access enable for Region M bit #49" "0,1" bitfld.long 0x00 16. "E48,DMA Region Access enable for Region M bit #48" "0,1" newline bitfld.long 0x00 15. "E47,DMA Region Access enable for Region M bit #47" "0,1" bitfld.long 0x00 14. "E46,DMA Region Access enable for Region M bit #46" "0,1" newline bitfld.long 0x00 13. "E45,DMA Region Access enable for Region M bit #45" "0,1" bitfld.long 0x00 12. "E44,DMA Region Access enable for Region M bit #44" "0,1" newline bitfld.long 0x00 11. "E43,DMA Region Access enable for Region M bit #43" "0,1" bitfld.long 0x00 10. "E42,DMA Region Access enable for Region M bit #42" "0,1" newline bitfld.long 0x00 9. "E41,DMA Region Access enable for Region M bit #41" "0,1" bitfld.long 0x00 8. "E40,DMA Region Access enable for Region M bit #40" "0,1" newline bitfld.long 0x00 7. "E39,DMA Region Access enable for Region M bit #39" "0,1" bitfld.long 0x00 6. "E38,DMA Region Access enable for Region M bit #38" "0,1" newline bitfld.long 0x00 5. "E37,DMA Region Access enable for Region M bit #37" "0,1" bitfld.long 0x00 4. "E36,DMA Region Access enable for Region M bit #36" "0,1" newline bitfld.long 0x00 3. "E35,DMA Region Access enable for Region M bit #35" "0,1" bitfld.long 0x00 2. "E34,DMA Region Access enable for Region M bit #34" "0,1" newline bitfld.long 0x00 1. "E33,DMA Region Access enable for Region M bit #33" "0,1" bitfld.long 0x00 0. "E32,DMA Region Access enable for Region M bit #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x340)++0x03 line.long 0x00 "EDMA_TPCC_DRAEM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E31,DMA Region Access enable for Region M bit #31" "0,1" bitfld.long 0x00 30. "E30,DMA Region Access enable for Region M bit #30" "0,1" newline bitfld.long 0x00 29. "E29,DMA Region Access enable for Region M bit #29" "0,1" bitfld.long 0x00 28. "E28,DMA Region Access enable for Region M bit #28" "0,1" newline bitfld.long 0x00 27. "E27,DMA Region Access enable for Region M bit #27" "0,1" bitfld.long 0x00 26. "E26,DMA Region Access enable for Region M bit #26" "0,1" newline bitfld.long 0x00 25. "E25,DMA Region Access enable for Region M bit #25" "0,1" bitfld.long 0x00 24. "E24,DMA Region Access enable for Region M bit #24" "0,1" newline bitfld.long 0x00 23. "E23,DMA Region Access enable for Region M bit #23" "0,1" bitfld.long 0x00 22. "E22,DMA Region Access enable for Region M bit #22" "0,1" newline bitfld.long 0x00 21. "E21,DMA Region Access enable for Region M bit #21" "0,1" bitfld.long 0x00 20. "E20,DMA Region Access enable for Region M bit #20" "0,1" newline bitfld.long 0x00 19. "E19,DMA Region Access enable for Region M bit #19" "0,1" bitfld.long 0x00 18. "E18,DMA Region Access enable for Region M bit #18" "0,1" newline bitfld.long 0x00 17. "E17,DMA Region Access enable for Region M bit #17" "0,1" bitfld.long 0x00 16. "E16,DMA Region Access enable for Region M bit #16" "0,1" newline bitfld.long 0x00 15. "E15,DMA Region Access enable for Region M bit #15" "0,1" bitfld.long 0x00 14. "E14,DMA Region Access enable for Region M bit #14" "0,1" newline bitfld.long 0x00 13. "E13,DMA Region Access enable for Region M bit #13" "0,1" bitfld.long 0x00 12. "E12,DMA Region Access enable for Region M bit #12" "0,1" newline bitfld.long 0x00 11. "E11,DMA Region Access enable for Region M bit #11" "0,1" bitfld.long 0x00 10. "E10,DMA Region Access enable for Region M bit #10" "0,1" newline bitfld.long 0x00 9. "E9,DMA Region Access enable for Region M bit #9" "0,1" bitfld.long 0x00 8. "E8,DMA Region Access enable for Region M bit #8" "0,1" newline bitfld.long 0x00 7. "E7,DMA Region Access enable for Region M bit #7" "0,1" bitfld.long 0x00 6. "E6,DMA Region Access enable for Region M bit #6" "0,1" newline bitfld.long 0x00 5. "E5,DMA Region Access enable for Region M bit #5" "0,1" bitfld.long 0x00 4. "E4,DMA Region Access enable for Region M bit #4" "0,1" newline bitfld.long 0x00 3. "E3,DMA Region Access enable for Region M bit #3" "0,1" bitfld.long 0x00 2. "E2,DMA Region Access enable for Region M bit #2" "0,1" newline bitfld.long 0x00 1. "E1,DMA Region Access enable for Region M bit #1" "0,1" bitfld.long 0x00 0. "E0,DMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x41505000 ad:0x41506000 ) tree "DSP2_EDMA_TPTC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPTCn_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPTCn_TCCFG,TC Configuration Register" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED,Reads return 0's" bitfld.long 0x04 8.--9. "DREGDEPTH,Dst Register FIFO Depth Parameterization" "DREGDEPTH_0,DREGDEPTH_1,DREGDEPTH_2,?" bitfld.long 0x04 6.--7. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 4.--5. "BUSWIDTH,Bus Width Parameterization" "BUSWIDTH_0,BUSWIDTH_1,BUSWIDTH_2,?" bitfld.long 0x04 3. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 0.--2. "FIFOSIZE,Fifo Size Parameterization" "FIFOSIZE_0,FIFOSIZE_1,FIFOSIZE_2,FIFOSIZE_3,FIFOSIZE_4,?,?,?" group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x23 line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" line.long 0x0C "EDMA_TPTCn_SADST,Source Active Destination Address Register Reserved. return 0x0 w/o AERROR" line.long 0x10 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x14 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x18 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x1C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x20 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x0B line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" line.long 0x08 "EDMA_TPTCn_DFDSTBREF,Destination FIFO Set Destination Address A-Reference" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "DSP2_FW_L2_NOC_CFG" base ad:0x41503000 group.long 0x00++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x40++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x88++0x17 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_0,MRM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" line.long 0x08 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_START_REGION_1,Start physical address of region 1" hexmask.long 0x08 4.--31. 1. "RESERVED," bitfld.long 0x08 0.--3. "START_REGION_1,Physical target start address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_END_REGION_1,End physical address of region 1" bitfld.long 0x0C 31. "END_REGION_1_ENABLE,End Region 1 enable" "0,1" hexmask.long 0x0C 4.--30. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "END_REGION_1,Physical target end address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_1,RM_PERMISSION_REGION_1_LOW register" hexmask.long.word 0x10 16.--31. 1. "RESERVED," bitfld.long 0x10 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x10 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x10 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x10 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x10 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x10 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x10 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x10 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x10 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_1,RM_PERMISSION_REGION_1_HIGH register" bitfld.long 0x14 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x14 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x14 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x14 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x14 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x14 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x14 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x14 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x14 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x14 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x14 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x14 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x14 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x14 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x14 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x14 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x14 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x14 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x14 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x14 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x14 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x14 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x14 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x14 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x14 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x14 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x14 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x14 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x14 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x14 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x14 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x14 0. "R0,Initiator ID0 permission" "0,1" group.long 0x1000++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x1040++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x1088++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_LOW_0,RM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" rgroup.long 0x4000++0x17 line.long 0x00 "DSPNOC_FLAGMUX_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_FLAGMUX_ID_REVISIONID," line.long 0x08 "DSPNOC_FLAGMUX_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Global Fault Enable register" "0,1" line.long 0x0C "DSPNOC_FLAGMUX_FAULTSTATUS," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "FAULTSTATUS,Global Fault Status register" "0,1" line.long 0x10 "DSPNOC_FLAGMUX_FLAGINEN0," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "FLAGINEN0,FlagIn Enable register #0" "0,1" line.long 0x14 "DSPNOC_FLAGMUX_FLAGINSTATUS0," hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "FLAGINSTATUS0,FlagIn Status register #0" "0,1" rgroup.long 0x4200++0x1B line.long 0x00 "DSPNOC_ERRORLOG_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_ERRORLOG_ID_REVISIONID," line.long 0x08 "DSPNOC_ERRORLOG_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Enable Fault output" "0,1" line.long 0x0C "DSPNOC_ERRORLOG_ERRVLD," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "ERRVLD,Error logged Valid" "0,1" line.long 0x10 "DSPNOC_ERRORLOG_ERRCLR," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "ERRCLR,Clr ErrVld status" "0,1" line.long 0x14 "DSPNOC_ERRORLOG_ERRLOG0,Header: Lock. Opcode. Len1. ErrCode values" bitfld.long 0x14 31. "FORMAT,Format of ErrLog0 register" "0,1" bitfld.long 0x14 28.--30. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--27. 1. "LEN1,Header: Len1 value" bitfld.long 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 8.--10. "ERRCODE,Header: Error Code value" "0,1,2,3,4,5,6,7" bitfld.long 0x14 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 1.--4. "OPC,Header: Opcode value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0. "LOCK,Header: Lock bit value" "0,1" line.long 0x18 "DSPNOC_ERRORLOG_ERRLOG1," hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED," hexmask.long.word 0x18 0.--14. 1. "ERRLOG1,Header: RouteId lsb value" rgroup.long 0x4220++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG3," bitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 0.--30. 1. "ERRLOG3,Header: Addr lsb value" rgroup.long 0x4228++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG5," hexmask.long.word 0x00 22.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--21. 1. "ERRLOG5,Header: User lsb value" tree.end sif (cpuis("AM572XDSP2")) tree "DSP2_MMU0_DSP" base ad:0x1D01000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP2_MMU0_L3_MAIN" base ad:0x41501000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP2_MMU1_DSP" base ad:0x1D02000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "DSP2_MMU1_L3_MAIN" base ad:0x41502000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end endif tree "DSP2_PRM" base ad:0x4AE07B00 group.long 0x00++0x07 line.long 0x00 "PM_DSP2_PWRSTCTRL,This register controls the DSP power state to reach upon a domain sleep transition" hexmask.long.word 0x00 22.--31. 1. "RESERVED," rbitfld.long 0x00 20.--21. "DSP2_EDMA_ONSTATE,DSP_EDMA state when domain is ON" "?,?,?,DSP2_EDMA_ONSTATE_3" rbitfld.long 0x00 18.--19. "DSP2_L2_ONSTATE,DSP_L2 state when domain is ON" "?,?,?,DSP2_L2_ONSTATE_3" newline rbitfld.long 0x00 16.--17. "DSP2_L1_ONSTATE,DSP_L1 state when domain is ON" "?,?,?,DSP2_L1_ONSTATE_3" hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_DSP2_PWRSTST,This register provides a status on the DSP domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 10.--19. 1. "RESERVED," rbitfld.long 0x04 8.--9. "DSP2_EDMA_STATEST,RSERVED" "DSP2_EDMA_STATEST_0,DSP2_EDMA_STATEST_1,DSP2_EDMA_STATEST_2,DSP2_EDMA_STATEST_3" newline rbitfld.long 0x04 6.--7. "DSP2_L2_STATEST,DSP_L2 memory state status" "DSP2_L2_STATEST_0,DSP2_L2_STATEST_1,DSP2_L2_STATEST_2,DSP2_L2_STATEST_3" rbitfld.long 0x04 4.--5. "DSP2_L1_STATEST,DSP_L1 memory state status" "DSP2_L1_STATEST_0,DSP2_L1_STATEST_1,DSP2_L1_STATEST_2,DSP2_L1_STATEST_3" rbitfld.long 0x04 3. "RESERVED," "0,1" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_DSP2_RSTCTRL,This register controls the release of the DSP sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_DSP2,DSP SW reset control" "RST_DSP2_0,RST_DSP2_1" bitfld.long 0x00 0. "RST_DSP2_LRST,DSP Local reset control" "RST_DSP2_LRST_0,RST_DSP2_LRST_1" line.long 0x04 "RM_DSP2_RSTST,This register logs the different reset sources of the DSP domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_DSP2_EMU_REQ,DSP processor has been reset due to DSP emulation reset request driven from DSP-SS" "RST_DSP2_EMU_REQ_0,RST_DSP2_EMU_REQ_1" bitfld.long 0x04 2. "RST_DSP2_EMU,DSP domain has been reset due to emulation reset source e.g" "RST_DSP2_EMU_0,RST_DSP2_EMU_1" newline bitfld.long 0x04 1. "RST_DSP2,DSP SW reset status" "RST_DSP2_0,RST_DSP2_1" bitfld.long 0x04 0. "RST_DSP2_LRST,DSP Local SW reset" "RST_DSP2_LRST_0,RST_DSP2_LRST_1" group.long 0x24++0x03 line.long 0x00 "RM_DSP2_DSP2_CONTEXT,This register contains dedicated DSP context statuses" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 10. "LOSTMEM_DSP_EDMA,Specify if memory-based context in DSP_EDMA memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_EDMA_0,LOSTMEM_DSP_EDMA_1" bitfld.long 0x00 9. "LOSTMEM_DSP_L2,Specify if memory-based context in DSP_L2 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_L2_0,LOSTMEM_DSP_L2_1" newline bitfld.long 0x00 8. "LOSTMEM_DSP_L1,Specify if memory-based context in DSP_L1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSP_L1_0,LOSTMEM_DSP_L1_1" hexmask.long.byte 0x00 1.--7. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "DSP2_SDMA_FW" base ad:0x4A173000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "DSP2_SDMA_FW_CFG_TARG" base ad:0x4A174000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DSP2_SDMA_TARG" base ad:0x44000600 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DSP2_SYSTEM" base ad:0x41500000 rgroup.long 0x00++0x1B line.long 0x00 "DSP_SYS_REVISION," line.long 0x04 "DSP_SYS_HWINFO," hexmask.long 0x04 4.--31. 1. "INFO," bitfld.long 0x04 0.--3. "NUM,Instance Number Set by subsystem input" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "DSP_SYS_SYSCONFIG," hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x08 4.--5. "STANDBYMODE," "?,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" bitfld.long 0x08 2.--3. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x08 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x0C "DSP_SYS_STAT,This register is intended to provide indication to software (including a remote host) as to whether the DSP is able to enter a low power mode" hexmask.long 0x0C 6.--31. 1. "RESERVED," bitfld.long 0x0C 4.--5. "OCPI_DISC_STAT,L3_MAIN (OCP) Initiator(s) Disconnect Status" "OCPI_DISC_STAT_0_r,OCPI_DISC_STAT_1_r,OCPI_DISC_STAT_2_r,?" bitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 2. "TC1_STAT,EDMA TC1 Status" "TC1_STAT_0,TC1_STAT_1" bitfld.long 0x0C 1. "TC0_STAT,EDMA TC0 Status" "TC0_STAT_0,TC0_STAT_1" bitfld.long 0x0C 0. "C66X_STAT,C66x Status" "C66X_STAT_0,C66X_STAT_1" line.long 0x10 "DSP_SYS_DISC_CONFIG,This register is used to manually disconnect the OCP busses" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "OCPI_DISC,OCP Initiator (on L3_MAIN) Disconnect request" "OCPI_DISC_0_w,OCPI_DISC_1_w" line.long 0x14 "DSP_SYS_BUS_CONFIG,This register controls the burst and priority settings for the internal initiators" rbitfld.long 0x14 31. "RESERVED," "0,1" bitfld.long 0x14 28.--30. "SDMA_PRI,Sets the CBA/VBusM Priority for the DSP C66x CorePac SDMA port" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 24. "NOPOSTOVERRIDE,OCP Posted Write vs Non-Posted Write override" "NOPOSTOVERRIDE_0,NOPOSTOVERRIDE_1" rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "SDMA_L2PRES,OCP Target port L2 Interconnect Pressure" "SDMA_L2PRES_0,SDMA_L2PRES_1,SDMA_L2PRES_2,SDMA_L2PRES_3" newline rbitfld.long 0x14 18.--19. "RESERVED," "0,1,2,3" bitfld.long 0x14 16.--17. "CFG_L2PRES,DSP CFG L2 Interconnect Pressure" "CFG_L2PRES_0,CFG_L2PRES_1,CFG_L2PRES_2,CFG_L2PRES_3" rbitfld.long 0x14 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 12.--13. "TC1_L2PRES,TC1 L2 Interconnect Pressure" "TC1_L2PRES_0,TC1_L2PRES_1,TC1_L2PRES_2,TC1_L2PRES_3" rbitfld.long 0x14 10.--11. "RESERVED," "0,1,2,3" bitfld.long 0x14 8.--9. "TC0_L2PRES,TC0 L2 Interconnect Pressure" "TC0_L2PRES_0,TC0_L2PRES_1,TC0_L2PRES_2,TC0_L2PRES_3" newline rbitfld.long 0x14 6.--7. "RESERVED," "0,1,2,3" bitfld.long 0x14 4.--5. "TC1_DBS,TC1 Default Burst size" "TC1_DBS_0,TC1_DBS_1,TC1_DBS_2,TC1_DBS_3" rbitfld.long 0x14 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0.--1. "TC0_DBS,TC0 Default Burst size" "TC0_DBS_0,TC0_DBS_1,TC0_DBS_2,TC0_DBS_3" line.long 0x18 "DSP_SYS_MMU_CONFIG,This register is used to enable the subsystem MMUs" hexmask.long.tbyte 0x18 13.--31. 1. "RESERVED," bitfld.long 0x18 12. "MMU1_ABORT,MMU1 Abort" "MMU1_ABORT_0,MMU1_ABORT_1" rbitfld.long 0x18 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 8. "MMU0_ABORT,MMU0 Abort" "MMU0_ABORT_0,MMU0_ABORT_1" rbitfld.long 0x18 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 4. "MMU1_EN,MMU1 Enable" "MMU1_EN_0,MMU1_EN_1" newline rbitfld.long 0x18 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 0. "MMU0_EN,MMU0 Enable" "MMU0_EN_0,MMU0_EN_1" group.long 0x40++0x07 line.long 0x00 "DSP_SYS_EVTOUT_SET,These registers can be used to drive event outputs from the DSP subsystem to a desired state" line.long 0x04 "DSP_SYS_EVTOUT_CLR,These registers can be used to drive event outputs from the DSP subsystem to a desired state" group.long 0x50++0x2F line.long 0x00 "DSP_SYS_ERRINT_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" hexmask.long.word 0x00 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--18. 1. "EVENT,Settable raw status for event #n" line.long 0x04 "DSP_SYS_ERRINT_IRQSTATUS,This register provides a per-event enabled interrupt status vector" hexmask.long.word 0x04 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x04 0.--18. 1. "EVENT,Clearable enabled status for event #n" line.long 0x08 "DSP_SYS_ERRINT_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x08 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x08 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x0C "DSP_SYS_ERRINT_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x0C 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x0C 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x10 "DSP_SYS_EDMAWAKE0_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x14 "DSP_SYS_EDMAWAKE0_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x18 "DSP_SYS_EDMAWAKE0_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x1C "DSP_SYS_EDMAWAKE0_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" line.long 0x20 "DSP_SYS_EDMAWAKE1_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x24 "DSP_SYS_EDMAWAKE1_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x28 "DSP_SYS_EDMAWAKE1_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x2C "DSP_SYS_EDMAWAKE1_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" group.long 0xF8++0x07 line.long 0x00 "DSP_SYS_HW_DBGOUT_SEL,THis register is used to select which group of internal signals are mapped to the hw_dbgout output bus" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 0.--3. "GROUP,Debug Group output control mux selectN: GN = select output group N" "GROUP_0,GROUP_1,GROUP_2,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "DSP_SYS_HW_DBGOUT_VAL,This register is used to read the value of the currently selected debug output group" repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x30)++0x03 line.long 0x00 "DSP_SYS_DMAWAKEEN$1,The register provides a global dma event wakeup enable bit vector that defines which input dma events are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x20)++0x03 line.long 0x00 "DSP_SYS_IRQWAKEEN$1,The register provides a global interrupt wakeup enable bit vector that defines which input interrupts are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end tree.end tree "DSP_EDMA_TPCC" base ad:0x1D10000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x440)++0x03 line.long 0x00 "EDMA_TPCC_Q1E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "EDMA_TPCC_Q0E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x344)++0x03 line.long 0x00 "EDMA_TPCC_DRAEHM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E63,DMA Region Access enable for Region M bit #63" "0,1" bitfld.long 0x00 30. "E62,DMA Region Access enable for Region M bit #62" "0,1" newline bitfld.long 0x00 29. "E61,DMA Region Access enable for Region M bit #61" "0,1" bitfld.long 0x00 28. "E60,DMA Region Access enable for Region M bit #60" "0,1" newline bitfld.long 0x00 27. "E59,DMA Region Access enable for Region M bit #59" "0,1" bitfld.long 0x00 26. "E58,DMA Region Access enable for Region M bit #58" "0,1" newline bitfld.long 0x00 25. "E57,DMA Region Access enable for Region M bit #57" "0,1" bitfld.long 0x00 24. "E56,DMA Region Access enable for Region M bit #56" "0,1" newline bitfld.long 0x00 23. "E55,DMA Region Access enable for Region M bit #55" "0,1" bitfld.long 0x00 22. "E54,DMA Region Access enable for Region M bit #54" "0,1" newline bitfld.long 0x00 21. "E53,DMA Region Access enable for Region M bit #53" "0,1" bitfld.long 0x00 20. "E52,DMA Region Access enable for Region M bit #52" "0,1" newline bitfld.long 0x00 19. "E51,DMA Region Access enable for Region M bit #51" "0,1" bitfld.long 0x00 18. "E50,DMA Region Access enable for Region M bit #50" "0,1" newline bitfld.long 0x00 17. "E49,DMA Region Access enable for Region M bit #49" "0,1" bitfld.long 0x00 16. "E48,DMA Region Access enable for Region M bit #48" "0,1" newline bitfld.long 0x00 15. "E47,DMA Region Access enable for Region M bit #47" "0,1" bitfld.long 0x00 14. "E46,DMA Region Access enable for Region M bit #46" "0,1" newline bitfld.long 0x00 13. "E45,DMA Region Access enable for Region M bit #45" "0,1" bitfld.long 0x00 12. "E44,DMA Region Access enable for Region M bit #44" "0,1" newline bitfld.long 0x00 11. "E43,DMA Region Access enable for Region M bit #43" "0,1" bitfld.long 0x00 10. "E42,DMA Region Access enable for Region M bit #42" "0,1" newline bitfld.long 0x00 9. "E41,DMA Region Access enable for Region M bit #41" "0,1" bitfld.long 0x00 8. "E40,DMA Region Access enable for Region M bit #40" "0,1" newline bitfld.long 0x00 7. "E39,DMA Region Access enable for Region M bit #39" "0,1" bitfld.long 0x00 6. "E38,DMA Region Access enable for Region M bit #38" "0,1" newline bitfld.long 0x00 5. "E37,DMA Region Access enable for Region M bit #37" "0,1" bitfld.long 0x00 4. "E36,DMA Region Access enable for Region M bit #36" "0,1" newline bitfld.long 0x00 3. "E35,DMA Region Access enable for Region M bit #35" "0,1" bitfld.long 0x00 2. "E34,DMA Region Access enable for Region M bit #34" "0,1" newline bitfld.long 0x00 1. "E33,DMA Region Access enable for Region M bit #33" "0,1" bitfld.long 0x00 0. "E32,DMA Region Access enable for Region M bit #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x340)++0x03 line.long 0x00 "EDMA_TPCC_DRAEM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E31,DMA Region Access enable for Region M bit #31" "0,1" bitfld.long 0x00 30. "E30,DMA Region Access enable for Region M bit #30" "0,1" newline bitfld.long 0x00 29. "E29,DMA Region Access enable for Region M bit #29" "0,1" bitfld.long 0x00 28. "E28,DMA Region Access enable for Region M bit #28" "0,1" newline bitfld.long 0x00 27. "E27,DMA Region Access enable for Region M bit #27" "0,1" bitfld.long 0x00 26. "E26,DMA Region Access enable for Region M bit #26" "0,1" newline bitfld.long 0x00 25. "E25,DMA Region Access enable for Region M bit #25" "0,1" bitfld.long 0x00 24. "E24,DMA Region Access enable for Region M bit #24" "0,1" newline bitfld.long 0x00 23. "E23,DMA Region Access enable for Region M bit #23" "0,1" bitfld.long 0x00 22. "E22,DMA Region Access enable for Region M bit #22" "0,1" newline bitfld.long 0x00 21. "E21,DMA Region Access enable for Region M bit #21" "0,1" bitfld.long 0x00 20. "E20,DMA Region Access enable for Region M bit #20" "0,1" newline bitfld.long 0x00 19. "E19,DMA Region Access enable for Region M bit #19" "0,1" bitfld.long 0x00 18. "E18,DMA Region Access enable for Region M bit #18" "0,1" newline bitfld.long 0x00 17. "E17,DMA Region Access enable for Region M bit #17" "0,1" bitfld.long 0x00 16. "E16,DMA Region Access enable for Region M bit #16" "0,1" newline bitfld.long 0x00 15. "E15,DMA Region Access enable for Region M bit #15" "0,1" bitfld.long 0x00 14. "E14,DMA Region Access enable for Region M bit #14" "0,1" newline bitfld.long 0x00 13. "E13,DMA Region Access enable for Region M bit #13" "0,1" bitfld.long 0x00 12. "E12,DMA Region Access enable for Region M bit #12" "0,1" newline bitfld.long 0x00 11. "E11,DMA Region Access enable for Region M bit #11" "0,1" bitfld.long 0x00 10. "E10,DMA Region Access enable for Region M bit #10" "0,1" newline bitfld.long 0x00 9. "E9,DMA Region Access enable for Region M bit #9" "0,1" bitfld.long 0x00 8. "E8,DMA Region Access enable for Region M bit #8" "0,1" newline bitfld.long 0x00 7. "E7,DMA Region Access enable for Region M bit #7" "0,1" bitfld.long 0x00 6. "E6,DMA Region Access enable for Region M bit #6" "0,1" newline bitfld.long 0x00 5. "E5,DMA Region Access enable for Region M bit #5" "0,1" bitfld.long 0x00 4. "E4,DMA Region Access enable for Region M bit #4" "0,1" newline bitfld.long 0x00 3. "E3,DMA Region Access enable for Region M bit #3" "0,1" bitfld.long 0x00 2. "E2,DMA Region Access enable for Region M bit #2" "0,1" newline bitfld.long 0x00 1. "E1,DMA Region Access enable for Region M bit #1" "0,1" bitfld.long 0x00 0. "E0,DMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x1D05000 ad:0x1D06000 ) tree "DSP_EDMA_TPTC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPTCn_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPTCn_TCCFG,TC Configuration Register" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED,Reads return 0's" bitfld.long 0x04 8.--9. "DREGDEPTH,Dst Register FIFO Depth Parameterization" "DREGDEPTH_0,DREGDEPTH_1,DREGDEPTH_2,?" bitfld.long 0x04 6.--7. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 4.--5. "BUSWIDTH,Bus Width Parameterization" "BUSWIDTH_0,BUSWIDTH_1,BUSWIDTH_2,?" bitfld.long 0x04 3. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 0.--2. "FIFOSIZE,Fifo Size Parameterization" "FIFOSIZE_0,FIFOSIZE_1,FIFOSIZE_2,FIFOSIZE_3,FIFOSIZE_4,?,?,?" group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x23 line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" line.long 0x0C "EDMA_TPTCn_SADST,Source Active Destination Address Register Reserved. return 0x0 w/o AERROR" line.long 0x10 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x14 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x18 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x1C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x20 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x0B line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" line.long 0x08 "EDMA_TPTCn_DFDSTBREF,Destination FIFO Set Destination Address A-Reference" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "DSP_FW_L2_NOC_CFG" base ad:0x1D03000 group.long 0x00++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x40++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x88++0x17 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_0,MRM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" line.long 0x08 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_START_REGION_1,Start physical address of region 1" hexmask.long 0x08 4.--31. 1. "RESERVED," bitfld.long 0x08 0.--3. "START_REGION_1,Physical target start address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_END_REGION_1,End physical address of region 1" bitfld.long 0x0C 31. "END_REGION_1_ENABLE,End Region 1 enable" "0,1" hexmask.long 0x0C 4.--30. 1. "RESERVED," newline bitfld.long 0x0C 0.--3. "END_REGION_1,Physical target end address of firewall region 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_LOW_1,RM_PERMISSION_REGION_1_LOW register" hexmask.long.word 0x10 16.--31. 1. "RESERVED," bitfld.long 0x10 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x10 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x10 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x10 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x10 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x10 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x10 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x10 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x10 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x14 "L3_DSPSS_INIT_OCP_MMU0_CTRL_TARG_OCP_FW_503000_MRM_PERMISSION_REGION_HIGH_1,RM_PERMISSION_REGION_1_HIGH register" bitfld.long 0x14 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x14 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x14 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x14 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x14 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x14 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x14 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x14 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x14 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x14 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x14 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x14 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x14 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x14 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x14 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x14 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x14 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x14 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x14 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x14 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x14 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x14 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x14 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x14 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x14 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x14 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x14 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x14 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x14 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x14 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x14 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x14 0. "R0,Initiator ID0 permission" "0,1" group.long 0x1000++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_ERROR_LOG_0,Core 0 Error log register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 27. "BLK_BURST_VIOLATION,2D burst not allowed or exceeding allowed size" "0,1" newline rbitfld.long 0x00 26. "RESERVED," "0,1" bitfld.long 0x00 21.--25. "REGION_START_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "REGION_END_ERRLOG,Wrong access hit this region number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--15. 1. "REQINFO_ERRLOG,Error in reqinfo vector" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_LOGICAL_ADDR_ERRLOG_0,Core 0 Logical Physical Address Error log register" bitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x04 0.--27. 1. "SLVOFS_LOGICAL,Address generated by the ARM before being translated" group.long 0x1040++0x03 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_REGUPDATE_CONTROL,Register update control register" rbitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,HW set/SW clear" "0,1" bitfld.long 0x00 0. "FW_UPDATE_REQ,HW set/SW clear" "0,1" group.long 0x1088++0x07 line.long 0x00 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_LOW_0,RM_PERMISSION_REGION_0_LOW register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Domain Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Domain Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "L3_DSPSS_INIT_OCP_MMU1_CTRL_TARG_OCP_FW_504000_MRM_PERMISSION_REGION_HIGH_0,RM_PERMISSION_REGION_0_HIGH register" bitfld.long 0x04 31. "W15,Initiator ID15 permission" "0,1" bitfld.long 0x04 30. "R15,Initiator ID15 permission" "0,1" newline bitfld.long 0x04 29. "W14,Initiator ID14 permission" "0,1" bitfld.long 0x04 28. "R14,Initiator ID14 permission" "0,1" newline bitfld.long 0x04 27. "W13,Initiator ID13 permission" "0,1" bitfld.long 0x04 26. "R13,Initiator ID13 permission" "0,1" newline bitfld.long 0x04 25. "W12,Initiator ID12 permission" "0,1" bitfld.long 0x04 24. "R12,Initiator ID12 permission" "0,1" newline bitfld.long 0x04 23. "W11,Initiator ID11 permission" "0,1" bitfld.long 0x04 22. "R11,Initiator ID11 permission" "0,1" newline bitfld.long 0x04 21. "W10,Initiator ID10 permission" "0,1" bitfld.long 0x04 20. "R10,Initiator ID10 permission" "0,1" newline bitfld.long 0x04 19. "W9,Initiator ID9 permission" "0,1" bitfld.long 0x04 18. "R9,Initiator ID9 permission" "0,1" newline bitfld.long 0x04 17. "W8,Initiator ID8 permission" "0,1" bitfld.long 0x04 16. "R8,Initiator ID8 permission" "0,1" newline bitfld.long 0x04 15. "W7,Initiator ID7 permission" "0,1" bitfld.long 0x04 14. "R7,Initiator ID7 permission" "0,1" newline bitfld.long 0x04 13. "W6,Initiator ID6 permission" "0,1" bitfld.long 0x04 12. "R6,Initiator ID6 permission" "0,1" newline bitfld.long 0x04 11. "W5,Initiator ID5 permission" "0,1" bitfld.long 0x04 10. "R5,Initiator ID5 permission" "0,1" newline bitfld.long 0x04 9. "W4,Initiator ID4 permission" "0,1" bitfld.long 0x04 8. "R4,Initiator ID4 permission" "0,1" newline bitfld.long 0x04 7. "W3,Initiator ID3 permission" "0,1" bitfld.long 0x04 6. "R3,Initiator ID3 permission" "0,1" newline bitfld.long 0x04 5. "W2,Initiator ID2 permission" "0,1" bitfld.long 0x04 4. "R2,Initiator ID2 permission" "0,1" newline bitfld.long 0x04 3. "W1,Initiator ID1 permission" "0,1" bitfld.long 0x04 2. "R1,Initiator ID1 permission" "0,1" newline bitfld.long 0x04 1. "W0,Initiator ID0 permission" "0,1" bitfld.long 0x04 0. "R0,Initiator ID0 permission" "0,1" rgroup.long 0x4000++0x17 line.long 0x00 "DSPNOC_FLAGMUX_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_FLAGMUX_ID_REVISIONID," line.long 0x08 "DSPNOC_FLAGMUX_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Global Fault Enable register" "0,1" line.long 0x0C "DSPNOC_FLAGMUX_FAULTSTATUS," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "FAULTSTATUS,Global Fault Status register" "0,1" line.long 0x10 "DSPNOC_FLAGMUX_FLAGINEN0," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "FLAGINEN0,FlagIn Enable register #0" "0,1" line.long 0x14 "DSPNOC_FLAGMUX_FLAGINSTATUS0," hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "FLAGINSTATUS0,FlagIn Status register #0" "0,1" rgroup.long 0x4200++0x1B line.long 0x00 "DSPNOC_ERRORLOG_ID_COREID," hexmask.long.tbyte 0x00 8.--31. 1. "CORECHECKSUM,Field containing a checksum of the parameters of the IP" hexmask.long.byte 0x00 0.--7. 1. "CORETYPEID,Field identifying the type of IP" line.long 0x04 "DSPNOC_ERRORLOG_ID_REVISIONID," line.long 0x08 "DSPNOC_ERRORLOG_FAULTEN," hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "FAULTEN,Enable Fault output" "0,1" line.long 0x0C "DSPNOC_ERRORLOG_ERRVLD," hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "ERRVLD,Error logged Valid" "0,1" line.long 0x10 "DSPNOC_ERRORLOG_ERRCLR," hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "ERRCLR,Clr ErrVld status" "0,1" line.long 0x14 "DSPNOC_ERRORLOG_ERRLOG0,Header: Lock. Opcode. Len1. ErrCode values" bitfld.long 0x14 31. "FORMAT,Format of ErrLog0 register" "0,1" bitfld.long 0x14 28.--30. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--27. 1. "LEN1,Header: Len1 value" bitfld.long 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 8.--10. "ERRCODE,Header: Error Code value" "0,1,2,3,4,5,6,7" bitfld.long 0x14 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 1.--4. "OPC,Header: Opcode value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x14 0. "LOCK,Header: Lock bit value" "0,1" line.long 0x18 "DSPNOC_ERRORLOG_ERRLOG1," hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED," hexmask.long.word 0x18 0.--14. 1. "ERRLOG1,Header: RouteId lsb value" rgroup.long 0x4220++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG3," bitfld.long 0x00 31. "RESERVED," "0,1" hexmask.long 0x00 0.--30. 1. "ERRLOG3,Header: Addr lsb value" rgroup.long 0x4228++0x03 line.long 0x00 "DSPNOC_ERRORLOG_ERRLOG5," hexmask.long.word 0x00 22.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--21. 1. "ERRLOG5,Header: User lsb value" tree.end tree "DSP_SYSTEM" base ad:0x1D00000 rgroup.long 0x00++0x1B line.long 0x00 "DSP_SYS_REVISION," line.long 0x04 "DSP_SYS_HWINFO," hexmask.long 0x04 4.--31. 1. "INFO," bitfld.long 0x04 0.--3. "NUM,Instance Number Set by subsystem input" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "DSP_SYS_SYSCONFIG," hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x08 4.--5. "STANDBYMODE," "?,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" bitfld.long 0x08 2.--3. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x08 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x0C "DSP_SYS_STAT,This register is intended to provide indication to software (including a remote host) as to whether the DSP is able to enter a low power mode" hexmask.long 0x0C 6.--31. 1. "RESERVED," bitfld.long 0x0C 4.--5. "OCPI_DISC_STAT,L3_MAIN (OCP) Initiator(s) Disconnect Status" "OCPI_DISC_STAT_0_r,OCPI_DISC_STAT_1_r,OCPI_DISC_STAT_2_r,?" bitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 2. "TC1_STAT,EDMA TC1 Status" "TC1_STAT_0,TC1_STAT_1" bitfld.long 0x0C 1. "TC0_STAT,EDMA TC0 Status" "TC0_STAT_0,TC0_STAT_1" bitfld.long 0x0C 0. "C66X_STAT,C66x Status" "C66X_STAT_0,C66X_STAT_1" line.long 0x10 "DSP_SYS_DISC_CONFIG,This register is used to manually disconnect the OCP busses" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "OCPI_DISC,OCP Initiator (on L3_MAIN) Disconnect request" "OCPI_DISC_0_w,OCPI_DISC_1_w" line.long 0x14 "DSP_SYS_BUS_CONFIG,This register controls the burst and priority settings for the internal initiators" rbitfld.long 0x14 31. "RESERVED," "0,1" bitfld.long 0x14 28.--30. "SDMA_PRI,Sets the CBA/VBusM Priority for the DSP C66x CorePac SDMA port" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 24. "NOPOSTOVERRIDE,OCP Posted Write vs Non-Posted Write override" "NOPOSTOVERRIDE_0,NOPOSTOVERRIDE_1" rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "SDMA_L2PRES,OCP Target port L2 Interconnect Pressure" "SDMA_L2PRES_0,SDMA_L2PRES_1,SDMA_L2PRES_2,SDMA_L2PRES_3" newline rbitfld.long 0x14 18.--19. "RESERVED," "0,1,2,3" bitfld.long 0x14 16.--17. "CFG_L2PRES,DSP CFG L2 Interconnect Pressure" "CFG_L2PRES_0,CFG_L2PRES_1,CFG_L2PRES_2,CFG_L2PRES_3" rbitfld.long 0x14 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 12.--13. "TC1_L2PRES,TC1 L2 Interconnect Pressure" "TC1_L2PRES_0,TC1_L2PRES_1,TC1_L2PRES_2,TC1_L2PRES_3" rbitfld.long 0x14 10.--11. "RESERVED," "0,1,2,3" bitfld.long 0x14 8.--9. "TC0_L2PRES,TC0 L2 Interconnect Pressure" "TC0_L2PRES_0,TC0_L2PRES_1,TC0_L2PRES_2,TC0_L2PRES_3" newline rbitfld.long 0x14 6.--7. "RESERVED," "0,1,2,3" bitfld.long 0x14 4.--5. "TC1_DBS,TC1 Default Burst size" "TC1_DBS_0,TC1_DBS_1,TC1_DBS_2,TC1_DBS_3" rbitfld.long 0x14 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0.--1. "TC0_DBS,TC0 Default Burst size" "TC0_DBS_0,TC0_DBS_1,TC0_DBS_2,TC0_DBS_3" line.long 0x18 "DSP_SYS_MMU_CONFIG,This register is used to enable the subsystem MMUs" hexmask.long.tbyte 0x18 13.--31. 1. "RESERVED," bitfld.long 0x18 12. "MMU1_ABORT,MMU1 Abort" "MMU1_ABORT_0,MMU1_ABORT_1" rbitfld.long 0x18 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 8. "MMU0_ABORT,MMU0 Abort" "MMU0_ABORT_0,MMU0_ABORT_1" rbitfld.long 0x18 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 4. "MMU1_EN,MMU1 Enable" "MMU1_EN_0,MMU1_EN_1" newline rbitfld.long 0x18 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 0. "MMU0_EN,MMU0 Enable" "MMU0_EN_0,MMU0_EN_1" group.long 0x40++0x07 line.long 0x00 "DSP_SYS_EVTOUT_SET,These registers can be used to drive event outputs from the DSP subsystem to a desired state" line.long 0x04 "DSP_SYS_EVTOUT_CLR,These registers can be used to drive event outputs from the DSP subsystem to a desired state" group.long 0x50++0x2F line.long 0x00 "DSP_SYS_ERRINT_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" hexmask.long.word 0x00 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--18. 1. "EVENT,Settable raw status for event #n" line.long 0x04 "DSP_SYS_ERRINT_IRQSTATUS,This register provides a per-event enabled interrupt status vector" hexmask.long.word 0x04 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x04 0.--18. 1. "EVENT,Clearable enabled status for event #n" line.long 0x08 "DSP_SYS_ERRINT_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x08 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x08 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x0C "DSP_SYS_ERRINT_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" hexmask.long.word 0x0C 19.--31. 1. "RESERVED," hexmask.long.tbyte 0x0C 0.--18. 1. "ENABLE,Enable for event #n" line.long 0x10 "DSP_SYS_EDMAWAKE0_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x14 "DSP_SYS_EDMAWAKE0_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x18 "DSP_SYS_EDMAWAKE0_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x1C "DSP_SYS_EDMAWAKE0_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" line.long 0x20 "DSP_SYS_EDMAWAKE1_IRQSTATUS_RAW,This register provides a per-event raw interrupt status vector" line.long 0x24 "DSP_SYS_EDMAWAKE1_IRQSTATUS,This register provides a per-event enabled interrupt status vector" line.long 0x28 "DSP_SYS_EDMAWAKE1_IRQENABLE_SET,This register provides a per-event interrupt enable bit vector" line.long 0x2C "DSP_SYS_EDMAWAKE1_IRQENABLE_CLR,This register provides a per-event interrupt enable bit vector" group.long 0xF8++0x07 line.long 0x00 "DSP_SYS_HW_DBGOUT_SEL,THis register is used to select which group of internal signals are mapped to the hw_dbgout output bus" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 0.--3. "GROUP,Debug Group output control mux selectN: GN = select output group N" "GROUP_0,GROUP_1,GROUP_2,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "DSP_SYS_HW_DBGOUT_VAL,This register is used to read the value of the currently selected debug output group" repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x30)++0x03 line.long 0x00 "DSP_SYS_DMAWAKEEN$1,The register provides a global dma event wakeup enable bit vector that defines which input dma events are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x20)++0x03 line.long 0x00 "DSP_SYS_IRQWAKEEN$1,The register provides a global interrupt wakeup enable bit vector that defines which input interrupts are used to cause a wake from powerdown state (via the slave idle protocol)" repeat.end tree.end tree "DSS_FW" base ad:0x4A21C000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "DSS_FW_CFG_TARG" base ad:0x4A21D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "DSS_L3_MAIN" base ad:0x58000000 rgroup.long 0x00++0x03 line.long 0x00 "DSS_REVISION,This register contains the DSS revision number" rgroup.long 0x14++0x03 line.long 0x00 "DSS_SYSSTATUS,This register provides status information about the module" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" group.long 0x40++0x03 line.long 0x00 "DSS_CTRL,This register contains the DSS control bits" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "LCD3_CLK_SWITCH,DSS_CLK/DPLL_DSI1_C_CLK1 clock switch (multiplexer 10) Selects the clock source for the DISPC LCD3_CLK clock" "LCD3_CLK_SWITCH_0,LCD3_CLK_SWITCH_1" rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 16.--17. "PARALLEL_SEL,Selection between LCD1 LCD2 LCD3 and TV channel out on the parallel output (multiplexer 13)" "PARALLEL_SEL_0,PARALLEL_SEL_1,PARALLEL_SEL_2,PARALLEL_SEL_3" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 12. "LCD2_CLK_SWITCH,DSS_CLK clock switch (multiplexer 3) Selects the clock source for the DISPC LCD2_CLK clock" "LCD2_CLK_SWITCH_0,LCD2_CLK_SWITCH_1" newline bitfld.long 0x00 10.--11. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 7.--9. "F_CLK_SWITCH,Selects the clock source for the DISPC functional clock F_CLK" "F_CLK_SWITCH_0,F_CLK_SWITCH_1,F_CLK_SWITCH_2,F_CLK_SWITCH_3,F_CLK_SWITCH_4,?,?,?" rbitfld.long 0x00 1.--6. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0. "LCD1_CLK_SWITCH,DSS_CLK/DPLL_DSI1_A_CLK1 clock switch (multiplexer 2) Selects the clock source for the DISPC LCD1_CLK clock" "LCD1_CLK_SWITCH_0,LCD1_CLK_SWITCH_1" rgroup.long 0x5C++0x03 line.long 0x00 "DSS_STATUS,This register contains the DSS status" bitfld.long 0x00 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 24.--25. "LCD3_CLK_STATUS,LCD3_CLK clock selection status (multiplexer 10) indicates which clock is used by the glitch free mux selecting the source of LCD3_CLK" "LCD3_CLK_STATUS_0_r,LCD3_CLK_STATUS_1_r,LCD3_CLK_STATUS_2_r,?" bitfld.long 0x00 20.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 15.--19. "F_CLK_STATUS,F_CLK clock selection status (multiplexer 1) indicates which clock is used by the glitch free mux selecting the source of F_CLK" "F_CLK_STATUS_0_r,F_CLK_STATUS_1_r,F_CLK_STATUS_2_r,?,F_CLK_STATUS_4_r,?,?,?,F_CLK_STATUS_8_r,?,?,?,?,?,?,?,F_CLK_STATUS_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 11.--12. "LCD2_CLK_STATUS,LCD2_CLK clock selection status (multiplexer 3) indicates which clock is used by the glitch free mux selecting the source of LCD2_CLK" "LCD2_CLK_STATUS_0_r,LCD2_CLK_STATUS_1_r,LCD2_CLK_STATUS_2_r,?" newline hexmask.long.word 0x00 2.--10. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "LCD1_CLK_STATUS,LCD1_CLK clock selection status (multiplexer 2) indicates which clock is used by the glitch free mux selecting the source of LCD1_CLK" "LCD1_CLK_STATUS_0_r,LCD1_CLK_STATUS_1_r,LCD1_CLK_STATUS_2_r,?" tree.end tree "DSS_PRM" base ad:0x4AE07100 group.long 0x00++0x07 line.long 0x00 "PM_DSS_PWRSTCTRL,This register controls the DSS power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "DSS_MEM_ONSTATE,DSS_MEM state when domain is ON" "?,?,?,DSS_MEM_ONSTATE_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," rbitfld.long 0x00 8. "DSS_MEM_RETSTATE,DSS_MEM state when domain is RETENTION" "DSS_MEM_RETSTATE_0,?" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" rbitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,?" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_DSS_PWRSTST,This register provides a status on the current DSS power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "DSS_MEM_STATEST,DSS_MEM state status" "DSS_MEM_STATEST_0,DSS_MEM_STATEST_1,DSS_MEM_STATEST_2,DSS_MEM_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x20++0x0B line.long 0x00 "PM_DSS_DSS_WKDEP,This register controls wakeup dependency based on DSS service requests" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 29. "WKUPDEP_DSI1_B_EVE4,Wakeup dependency from DSS module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_EVE4_0,WKUPDEP_DSI1_B_EVE4_1" newline bitfld.long 0x00 28. "WKUPDEP_DSI1_B_EVE3,Wakeup dependency from DSS module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_EVE3_0,WKUPDEP_DSI1_B_EVE3_1" bitfld.long 0x00 27. "WKUPDEP_DSI1_B_EVE2,Wakeup dependency from DSS module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_EVE2_0,WKUPDEP_DSI1_B_EVE2_1" newline bitfld.long 0x00 26. "WKUPDEP_DSI1_B_EVE1,Wakeup dependency from DSS module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_EVE1_0,WKUPDEP_DSI1_B_EVE1_1" bitfld.long 0x00 25. "WKUPDEP_DSI1_B_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_DSP2_0,WKUPDEP_DSI1_B_DSP2_1" newline bitfld.long 0x00 24. "WKUPDEP_DSI1_B_IPU1,Wakeup dependency from DSS module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_IPU1_0,WKUPDEP_DSI1_B_IPU1_1" bitfld.long 0x00 23. "WKUPDEP_DSI1_B_SDMA,Wakeup dependency from DSS module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_SDMA_0,WKUPDEP_DSI1_B_SDMA_1" newline bitfld.long 0x00 22. "WKUPDEP_DSI1_B_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_DSP1_0,WKUPDEP_DSI1_B_DSP1_1" bitfld.long 0x00 21. "WKUPDEP_DSI1_B_IPU2,Wakeup dependency from DSS module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_IPU2_0,WKUPDEP_DSI1_B_IPU2_1" newline bitfld.long 0x00 20. "WKUPDEP_DSI1_B_MPU,Wakeup dependency from DSS module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_B_MPU_0,WKUPDEP_DSI1_B_MPU_1" bitfld.long 0x00 19. "WKUPDEP_DSI1_A_EVE4,Wakeup dependency from DSS module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_EVE4_0,WKUPDEP_DSI1_A_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_DSI1_A_EVE3,Wakeup dependency from DSS module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_EVE3_0,WKUPDEP_DSI1_A_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_DSI1_A_EVE2,Wakeup dependency from DSS module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_EVE2_0,WKUPDEP_DSI1_A_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_DSI1_A_EVE1,Wakeup dependency from DSS module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_EVE1_0,WKUPDEP_DSI1_A_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_DSI1_A_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_DSP2_0,WKUPDEP_DSI1_A_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_DSI1_A_IPU1,Wakeup dependency from DSS module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_IPU1_0,WKUPDEP_DSI1_A_IPU1_1" bitfld.long 0x00 13. "WKUPDEP_DSI1_A_SDMA,Wakeup dependency from DSS module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_SDMA_0,WKUPDEP_DSI1_A_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_DSI1_A_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_DSP1_0,WKUPDEP_DSI1_A_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_DSI1_A_IPU2,Wakeup dependency from DSS module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_IPU2_0,WKUPDEP_DSI1_A_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_DSI1_A_MPU,Wakeup dependency from DSS module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_A_MPU_0,WKUPDEP_DSI1_A_MPU_1" bitfld.long 0x00 9. "WKUPDEP_DISPC_EVE4,Wakeup dependency from DSS module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_EVE4_0,WKUPDEP_DISPC_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_DISPC_EVE3,Wakeup dependency from DSS module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_EVE3_0,WKUPDEP_DISPC_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_DISPC_EVE2,Wakeup dependency from DSS module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_EVE2_0,WKUPDEP_DISPC_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_DISPC_EVE1,Wakeup dependency from DSS module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_EVE1_0,WKUPDEP_DISPC_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_DISPC_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_DSP2_0,WKUPDEP_DISPC_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_DISPC_IPU1,Wakeup dependency from DSS module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_IPU1_0,WKUPDEP_DISPC_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_DISPC_SDMA,Wakeup dependency from DSS module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_SDMA_0,WKUPDEP_DISPC_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_DISPC_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_DSP1_0,WKUPDEP_DISPC_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_DISPC_IPU2,Wakeup dependency from DSS module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_IPU2_0,WKUPDEP_DISPC_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_DISPC_MPU,Wakeup dependency from DSS module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DISPC_MPU_0,WKUPDEP_DISPC_MPU_1" line.long 0x04 "RM_DSS_DSS_CONTEXT,This register contains dedicated DSS context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_DSS_MEM,Specify if memory-based context in DSS_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSS_MEM_0,LOSTMEM_DSS_MEM_1" newline rbitfld.long 0x04 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" newline bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x08 "PM_DSS_DSS2_WKDEP,This register controls wakeup dependency based on DSS service requests" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 25. "WKUPDEP_HDMIDMA_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIDMA_DSP2_0,WKUPDEP_HDMIDMA_DSP2_1" newline rbitfld.long 0x08 24. "RESERVED," "0,1" bitfld.long 0x08 23. "WKUPDEP_HDMIDMA_SDMA,Wakeup dependency from DSS module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIDMA_SDMA_0,WKUPDEP_HDMIDMA_SDMA_1" newline bitfld.long 0x08 22. "WKUPDEP_HDMIDMA_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIDMA_DSP1_0,WKUPDEP_HDMIDMA_DSP1_1" rbitfld.long 0x08 20.--21. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 19. "WKUPDEP_DSI1_C_EVE4,Wakeup dependency from DSS module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_EVE4_0,WKUPDEP_DSI1_C_EVE4_1" bitfld.long 0x08 18. "WKUPDEP_DSI1_C_EVE3,Wakeup dependency from DSS module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_EVE3_0,WKUPDEP_DSI1_C_EVE3_1" newline bitfld.long 0x08 17. "WKUPDEP_DSI1_C_EVE2,Wakeup dependency from DSS module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_EVE2_0,WKUPDEP_DSI1_C_EVE2_1" bitfld.long 0x08 16. "WKUPDEP_DSI1_C_EVE1,Wakeup dependency from DSS module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_EVE1_0,WKUPDEP_DSI1_C_EVE1_1" newline bitfld.long 0x08 15. "WKUPDEP_DSI1_C_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_DSP2_0,WKUPDEP_DSI1_C_DSP2_1" bitfld.long 0x08 14. "WKUPDEP_DSI1_C_IPU1,Wakeup dependency from DSS module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_IPU1_0,WKUPDEP_DSI1_C_IPU1_1" newline bitfld.long 0x08 13. "WKUPDEP_DSI1_C_SDMA,Wakeup dependency from DSS module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_SDMA_0,WKUPDEP_DSI1_C_SDMA_1" bitfld.long 0x08 12. "WKUPDEP_DSI1_C_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_DSP1_0,WKUPDEP_DSI1_C_DSP1_1" newline bitfld.long 0x08 11. "WKUPDEP_DSI1_C_IPU2,Wakeup dependency from DSS module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_IPU2_0,WKUPDEP_DSI1_C_IPU2_1" bitfld.long 0x08 10. "WKUPDEP_DSI1_C_MPU,Wakeup dependency from DSS module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DSI1_C_MPU_0,WKUPDEP_DSI1_C_MPU_1" newline bitfld.long 0x08 9. "WKUPDEP_HDMIIRQ_EVE4,Wakeup dependency from DSS module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_EVE4_0,WKUPDEP_HDMIIRQ_EVE4_1" bitfld.long 0x08 8. "WKUPDEP_HDMIIRQ_EVE3,Wakeup dependency from DSS module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_EVE3_0,WKUPDEP_HDMIIRQ_EVE3_1" newline bitfld.long 0x08 7. "WKUPDEP_HDMIIRQ_EVE2,Wakeup dependency from DSS module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_EVE2_0,WKUPDEP_HDMIIRQ_EVE2_1" bitfld.long 0x08 6. "WKUPDEP_HDMIIRQ_EVE1,Wakeup dependency from DSS module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_EVE1_0,WKUPDEP_HDMIIRQ_EVE1_1" newline bitfld.long 0x08 5. "WKUPDEP_HDMIIRQ_DSP2,Wakeup dependency from DSS module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_DSP2_0,WKUPDEP_HDMIIRQ_DSP2_1" bitfld.long 0x08 4. "WKUPDEP_HDMIIRQ_IPU1,Wakeup dependency from DSS module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_IPU1_0,WKUPDEP_HDMIIRQ_IPU1_1" newline rbitfld.long 0x08 3. "RESERVED," "0,1" bitfld.long 0x08 2. "WKUPDEP_HDMIIRQ_DSP1,Wakeup dependency from DSS module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_DSP1_0,WKUPDEP_HDMIIRQ_DSP1_1" newline bitfld.long 0x08 1. "WKUPDEP_HDMIIRQ_IPU2,Wakeup dependency from DSS module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_IPU2_0,WKUPDEP_HDMIIRQ_IPU2_1" bitfld.long 0x08 0. "WKUPDEP_HDMIIRQ_MPU,Wakeup dependency from DSS module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_HDMIIRQ_MPU_0,WKUPDEP_HDMIIRQ_MPU_1" group.long 0x34++0x03 line.long 0x00 "RM_DSS_BB2D_CONTEXT,This register contains dedicated BB2B context statuses" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "LOSTMEM_DSS_MEM,Specify if memory-based context in DSS_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DSS_MEM_0,LOSTMEM_DSS_MEM_1" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "DSS_TARG" base ad:0x44002900 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "DWC_ahsata" base ad:0x4A140000 group.long 0x00++0x1B line.long 0x00 "SATA_CAP,Capabilities register: Basic capabilities of the SATA AHCI core" rbitfld.long 0x00 31. "S64A,Supports 64-bit addressing" "S64A_0_r,S64A_1_r" rbitfld.long 0x00 30. "SNCQ,Supports NCQ (Native Command Queuing) Controller supports SATA NCQ by handling DMA setup FIS natively" "SNCQ_0_r,SNCQ_1_r" rbitfld.long 0x00 29. "SSNTF,Supports SNotification register Controller supports SATA_PxSNTF (SNotification) register and its associated functionality" "SSNTF_0_r,SSNTF_1_r" bitfld.long 0x00 28. "SMPS,Supports mechanical presence switch Support of a mechanical presence switch for hot plug operation depending on integration Writable once after power up read-only afterward" "SMPS_0,SMPS_1" newline bitfld.long 0x00 27. "SSS,Supports staggered spin-up Controller can support this feature through SATA_PxCMD.SUD Writable once after power up read-only afterward" "SSS_0,SSS_1" rbitfld.long 0x00 26. "SALP,Supports aggressive link power management" "SALP_0_r,SALP_1_r" rbitfld.long 0x00 25. "SAL,Supports Activity LED" "SAL_0_r,SAL_1_r" rbitfld.long 0x00 24. "SCLO,Supports command list override Supports the SATA_PxCMD.CLO bit functionality for enumeration of PM devices" "SCLO_0_r,SCLO_1_r" newline rbitfld.long 0x00 20.--23. "ISS,Interface speed support Maximum speed the HBA can support" "?,ISS_1_r,ISS_2_r,ISS_3_r,?,?,?,?,?,?,?,?,?,?,?,?" rbitfld.long 0x00 19. "SNZO,Supports Non-zero DMA offsets" "SNZO_0_r,SNZO_1_r" rbitfld.long 0x00 18. "SAM,Supports AHCI mode only SATA controller supports AHCI mode only and does not support legacy task file-based register interface" "SAM_0_r,SAM_1_r" rbitfld.long 0x00 17. "SPM,Supports PM (Port Multiplier) SATA controller supports command-based switching PM on any port" "SPM_0_r,SPM_1_r" newline rbitfld.long 0x00 16. "FBSS,FIS-based switching supported Support of PM FIS-based switching" "FBSS_0_r,FBSS_1_r" rbitfld.long 0x00 15. "PMD,PIO Multiple DRQ Support of multiple DRQ block data transfers for the PIO command protocol" "PMD_0_r,PMD_1_r" rbitfld.long 0x00 14. "SSC,SLUMBER state capable Support of transitions to the interface SLUMBER power management state" "SSC_0_r,SSC_1_r" rbitfld.long 0x00 13. "PSC,PARTIAL state capable Support of transitions to the interface PARTIAL power management state" "PSC_0_r,PSC_1_r" newline rbitfld.long 0x00 8.--12. "NCS,Number of command slots: slots supported by the SATA controller minus 1" "?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NCS_31_r" rbitfld.long 0x00 7. "CCCS,Command completion coalescing supported" "CCCS_0_r,CCCS_1_r" rbitfld.long 0x00 6. "EMS,Enclosure management supported" "EMS_0_r,EMS_1_r" rbitfld.long 0x00 5. "SXS,Supports external SATA" "SXS_0_r,SXS_1_r" newline rbitfld.long 0x00 0.--4. "NP,Number of ports: ports supported by the SATA controller minus 1" "NP_0_r,NP_1_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "SATA_GHC,Global HBA control" rbitfld.long 0x04 31. "AE,AHCI enable Always set because SATA controller supports AHCI mode only as indicated by the SATA_CAP.SAM = 1" "0,1" hexmask.long 0x04 2.--30. 1. "RESERVED," bitfld.long 0x04 1. "IE,Interrupt enable Global enable of SATA controller interrupts" "IE_0,IE_1" bitfld.long 0x04 0. "HR,HBA reset Global reset control" "HR_0_r,HR_1_r" line.long 0x08 "SATA_IS,Interrupt status Indicates which port has a pending interrupt" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "IPS,Interrupt pending status" "0,1" line.long 0x0C "SATA_PI,Ports implemented Indicates which ports are exposed by the SATA controller and available for use" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "PI,Ports implemented" "0,1" line.long 0x10 "SATA_VS,AHCI version supported: 1.3 WARNING: Controller complies fully with AHCI version 1.10 and also complies with AHCI version 1.3 except for FIS-based switching. which is not currently supported" hexmask.long.word 0x10 16.--31. 1. "MJR,Major Version Number: 1" hexmask.long.word 0x10 0.--15. 1. "MNR,Minor Version Number: 3.00" line.long 0x14 "SATA_CCC_CTL,CCC (Command Completion Coalescing) control Used to configure the CCC feature for the SATA controller Reset on global reset" hexmask.long.word 0x14 16.--31. 1. "TV,Time-out value" hexmask.long.byte 0x14 8.--15. 1. "CC,Command completions Number of command completions necessary to cause a CCC interrupt Loaded prior to enabling CCC becomes read-only when SATA_CCC_CTL.EN =" rbitfld.long 0x14 3.--7. "INT,Interrupt Number of the interrupt used by the CCC feature using the number of ports configured for the core When a CCC interrupt occurs the SATA_IS.IPS[INT] bit is set to 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x14 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0. "EN,Enable CCC enable" "EN_0,EN_1" line.long 0x18 "SATA_CCC_PORTS,CCC ports Specifies the ports that are coalesced as part of the CCC feature when .EN = 1 Reset on global reset" hexmask.long 0x18 1.--31. 1. "RESERVED," bitfld.long 0x18 0. "PRT,Ports Bit-significant field Set a bit to 1 to make the corresponding port part of the CCC feature" "0,1" rgroup.long 0x24++0x03 line.long 0x00 "SATA_CAP2,Extended capabilities" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 2. "APST,Automatic PARTIAL to SLUMBER transitions" "APST_0_r,APST_1_r" bitfld.long 0x00 1. "NVMP,NVMHCI present" "NVMP_0_r,NVMP_1_r" bitfld.long 0x00 0. "BOH,BIOS/OS Handoff" "BOH_0_r,BOH_1_r" rgroup.long 0xA0++0x13 line.long 0x00 "SATA_BISTAFR,Built-In. Self-Test (BIST) Activate FIS Register Reset on global reset or port reset" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "NCP,Noncompliant pattern Least significant byte of the received BIST Activate FIS second DWORD (bits [7:0])" hexmask.long.byte 0x00 0.--7. 1. "PD,Pattern definition Pattern definition field of the received BIST Activate FIS - bits [23:16] of the first DWORD" line.long 0x04 "SATA_BISTCR,BIST control register Reset on global reset or port reset" hexmask.long.word 0x04 21.--31. 1. "RESERVED," bitfld.long 0x04 20. "FERLB,Far-end retimed loopback" "FERLB_0_r,FERLB_1_w" rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 18. "TXO,Transmit only" "TXO_0,TXO_1" newline bitfld.long 0x04 17. "CNTCLR,Counter clear Clears BIST error count registers" "CNTCLR_0_r,CNTCLR_1_w" bitfld.long 0x04 16. "NEALB,Near-end analog loopback This mode should be initiated in the PARTIAL or SLUMBER power state or with the device disconnected from the port PHY (link NOCOMM state)" "NEALB_0_w,NEALB_1_w" bitfld.long 0x04 15. "LLB,Lab Loopback Mode Masks out phy_sig_det from the OOB detector in BIST Loopback Mode" "0,1" rbitfld.long 0x04 14. "RESERVED," "0,1" newline bitfld.long 0x04 13. "ERRLOSSEN,Always keep this bit at default value" "0,1" bitfld.long 0x04 12. "SDFE,Signal detect feature enable Not affected by global reset or port reset" "SDFE_0,SDFE_1" bitfld.long 0x04 11. "RESERVED,Only write 0 into this reserved field to avoid undefined results" "0,1" bitfld.long 0x04 10. "LLC_RPD,Link layer control repeat primitive drop In normal mode the function can be changed only during port reset (SATA_PxSCTL.DET = 0x1)" "LLC_RPD_0,LLC_RPD_1" newline bitfld.long 0x04 9. "LLC_DESCRAM,Link layer control descrambler In normal mode the function can be changed only during port reset (SATA_PxSCTL.DET = 0x1)" "LLC_DESCRAM_0,LLC_DESCRAM_1" bitfld.long 0x04 8. "LLC_SCRAM,Link layer control scrambler In normal mode the function can be changed only during port reset (SATA_PxSCTL.DET = 0x1)" "LLC_SCRAM_0,LLC_SCRAM_1" rbitfld.long 0x04 7. "RESERVED," "0,1" bitfld.long 0x04 6. "ERREN,Error enable Allow or filter (disable) PHY internal errors outside the FIS boundary to set corresponding SATA_PxSERR bits" "ERREN_0,ERREN_1" newline bitfld.long 0x04 5. "FLIP,Flip disparity Change disparity of the current test pattern to the opposite each time its state is changed by software" "0,1" bitfld.long 0x04 4. "PV,Pattern version Selects either short or long version of the SSOP HTDP LTDP LFSCP COMP pattern" "PV_0,PV_1" bitfld.long 0x04 0.--3. "PATTERN,Pattern Defines one of the listed SATA-compliant patterns for far-end retimed/ far-end analog/ near-end analog initiator modes or noncompliant patterns for transmit-only responder mode when initiated by software writing to the SATA_BISTCR.TXO bit" "PATTERN_0,PATTERN_1,PATTERN_2,PATTERN_3,PATTERN_4,PATTERN_5,PATTERN_6,PATTERN_7,PATTERN_8,?,?,?,?,?,?,?" line.long 0x08 "SATA_BISTFCTR,BIST frame-information-structure CounT register Received BIST FIS count in the loopback initiator far-end retimed. far-end analog. and near-end analog modes" line.long 0x0C "SATA_BISTSR,BIST status register Errors detected in the received BIST FIS in the loopback initiator far-end retimed. far-end analog. and near-end analog modes Updated each time a new BIST FIS is received Reset on global reset. port reset (COMRESET). or.." hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," hexmask.long.byte 0x0C 16.--23. 1. "BRSTERR,Burst error count" hexmask.long.word 0x0C 0.--15. 1. "FRAMERR,Frame error count" line.long 0x10 "SATA_BISTDECR,BIST double-word error count register Number of DWORD errors detected in the received BIST frame in the loopback initiator far-end retimed. far-end analog. and near-end analog modes Updated each time a new BIST frame is received. when the.." group.long 0xBC++0x03 line.long 0x00 "SATA_OOBR,OOB (Out Of Band Register) register Controls the link layer OOB detection counters" bitfld.long 0x00 31. "WE,WRITE_ENABLE" "WE_0,WE_1" hexmask.long.byte 0x00 24.--30. 1. "CWMIN,COMWAKE_MIN in OOB rx clock cycles Read-only when SATA_OOBR.WE = 0" hexmask.long.byte 0x00 16.--23. 1. "CWMAX,COMWAKE_MAX in OOB rx clock cycles Read-only when SATA_OOBR.WE = 0" hexmask.long.byte 0x00 8.--15. 1. "CIMIN,COMINIT_MIN in OOB rx clock cycles Read-only when SATA_OOBR.WE = 0" newline hexmask.long.byte 0x00 0.--7. 1. "CIMAX,COMINIT_MAX in OOB rx clock cycles Read-only when SATA_OOBR.WE=0" group.long 0xE0++0x03 line.long 0x00 "SATA_TIMER1MS,Timer 1 ms Configuration to generate the 1-ms tick for the CCC logic Must be initialized before using the CCC feature Reset on power up. not affected by global reset" hexmask.long.word 0x00 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 0.--19. 1. "TIMV,OCP bus clock frequency in kHz (for example reset value is 100 000 = 100 MHz)" rgroup.long 0xE8++0x33 line.long 0x00 "SATA_GPARAM1R,Global parameters register 1 Hardware configuration of the DWC AHCI SATA core" bitfld.long 0x00 31. "ALIGN_M,RX data alignment" "ALIGN_M_0_r,ALIGN_M_1_r" bitfld.long 0x00 30. "RX_BUFFER,RX data buffer implemented" "RX_BUFFER_0_r,RX_BUFFER_1_r" bitfld.long 0x00 28.--29. "PHY_DATA,PHY data width (in 8- or 10-bit characters)" "PHY_DATA_0_r,PHY_DATA_1_r,PHY_DATA_2_r,?" bitfld.long 0x00 27. "PHY_RST,PHY reset mode" "PHY_RST_0_r,PHY_RST_1_r" newline bitfld.long 0x00 21.--26. "PHY_CTRL,PHY control width (in bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 15.--20. "PHY_STAT,PHY status width (in bits)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 14. "LATCH_M,Test mode lock-up latches" "LATCH_M_0_r,LATCH_M_1_r" bitfld.long 0x00 13. "BIST_M,BIST loopback checking depth" "BIST_M_0_r,BIST_M_1_r" newline bitfld.long 0x00 11.--12. "PHY_TYPE,PHY interface type0x2 " "PHY_TYPE_0_r,PHY_TYPE_1_r,?,?" bitfld.long 0x00 10. "RETURN_ERR,Error response on illegal access" "RETURN_ERR_0_r,RETURN_ERR_1_r" bitfld.long 0x00 8.--9. "AHB_ENDIAN,Endianness of master and slave" "AHB_ENDIAN_0_r,AHB_ENDIAN_1_r,AHB_ENDIAN_2_r,?" bitfld.long 0x00 7. "S_HADDR,Slave address bus width" "S_HADDR_0_r,S_HADDR_1_r" newline bitfld.long 0x00 6. "M_HADDR,Master address bus width" "M_HADDR_0_r,M_HADDR_1_r" bitfld.long 0x00 3.--5. "S_HDATA,Slave Data Bus Width" "S_HDATA_0_r,S_HDATA_1_r,S_HDATA_2_r,S_HDATA_3_r,?,?,?,?" bitfld.long 0x00 0.--2. "M_HDATA,Master Data Bus Width" "M_HDATA_0_r,M_HDATA_1_r,M_HDATA_2_r,M_HDATA_3_r,?,?,?,?" line.long 0x04 "SATA_GPARAM2R,Global parameters register 2 Hardware configuration of the DWC AHCI SATA core. continued" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 14. "DEV_CP,Cold presence detection implemented in core" "DEV_CP_0_r,DEV_CP_1_r" bitfld.long 0x04 13. "DEV_MP,Mechanical presence switch implemented in core" "DEV_MP_0_r,DEV_MP_1_r" bitfld.long 0x04 12. "ENCODE_M,8b/10b Encoding/decoding implemented in core" "ENCODE_M_0_r,ENCODE_M_1_r" newline bitfld.long 0x04 11. "RXOOB_CLK_M,RX OOB clocking mode" "RXOOB_CLK_M_0_r,RXOOB_CLK_M_1_r" bitfld.long 0x04 10. "RX_OOB_M,RX OOB mode: sequence generation implemented" "RX_OOB_M_0_r,RX_OOB_M_1_r" bitfld.long 0x04 9. "TX_OOB_M,TX OOB mode: sequence generation implemented" "TX_OOB_M_0_r,TX_OOB_M_1_r" hexmask.long.word 0x04 0.--8. 1. "RXOOB_CLK,RX OOB clock frequency in MHz" line.long 0x08 "SATA_PPARAMR,Port parameter register Hardware configuration of the DWC AHCI SATA core port selected by .PSEL" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 11. "TX_MEM_M,TX FIFO memory mode" "TX_MEM_M_0_r,TX_MEM_M_1_r" bitfld.long 0x08 10. "TX_MEM_S,TX FIFO memory selection" "TX_MEM_S_0_r,TX_MEM_S_1_r" bitfld.long 0x08 9. "RX_MEM_M,RX FIFO memory mode" "RX_MEM_M_0_r,RX_MEM_M_1_r" newline bitfld.long 0x08 8. "RX_MEM_S,RX FIFO memory selection" "RX_MEM_S_0_r,RX_MEM_S_1_r" bitfld.long 0x08 4.--7. "TXFIFO_DEPTH,Tx FIFO Depth in dwords (log2)" "?,?,?,TXFIFO_DEPTH_3_r,TXFIFO_DEPTH_4_r,TXFIFO_DEPTH_5_r,TXFIFO_DEPTH_6_r,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 0.--3. "RXFIFO_DEPTH,Rx FIFO Depth in dwords (log2)" "?,?,?,?,RXFIFO_DEPTH_4_r,RXFIFO_DEPTH_5_r,RXFIFO_DEPTH_6_r,RXFIFO_DEPTH_7_r,?,?,?,?,?,?,?,?" line.long 0x0C "SATA_TESTR,Test register Puts the SATA controller slave interface in a test mode and selects a port for BIST operation" hexmask.long.word 0x0C 19.--31. 1. "RESERVED," bitfld.long 0x0C 16.--18. "PSEL,Port select: Selects the port for BIST operation" "PSEL_0,?,?,?,?,?,?,?" hexmask.long.word 0x0C 1.--15. 1. "RESERVED," bitfld.long 0x0C 0. "TEST_IF,Test interface" "TEST_IF_0,TEST_IF_1" line.long 0x10 "SATA_VERSIONR,Version register" line.long 0x14 "SATA_IDR,ID register. containing the 32-bit Highlander (HL) revision" line.long 0x18 "SATA_PxCLB,Port command List base address 32-bit base physical address for the command list for this port" hexmask.long.tbyte 0x18 10.--31. 1. "CLB,Command list base address (bits 31:10)" hexmask.long.word 0x18 0.--9. 1. "ZERO,Always 0 as address is 1 KiB-aligned" line.long 0x1C "SATA_PxCLBU,Port Command List Base Upper address Upper half of the 64-bit base physical address for the command list for this Port" line.long 0x20 "SATA_PxFB,Port Frame-information-structure Base address 32-bit base physical address for received FISes for this port" hexmask.long.tbyte 0x20 8.--31. 1. "FB,FIS base address (bits 31:8)" hexmask.long.byte 0x20 0.--7. 1. "ZERO,Always 0 as address is 256-bytes aligned" line.long 0x24 "SATA_PxFBU,FIS Base Upper Address Upper half of the 64-bit base physical address for received FISes for this port" line.long 0x28 "SATA_PxIS,Port interrupt status Bits are set by internal conditions and cleared (when possible) by writing 1 to them" bitfld.long 0x28 31. "CPDS,Cold port detect status Set when the pX_cp_det input changes its state due to the insertion or removal of a device Valid only if the port supports cold presence detection as indicated by the SATA_PxCMD.CPD bit set to 1" "CPDS_0_r,CPDS_1_r" bitfld.long 0x28 30. "TFES,Task file error status Set whenever the SATA_PxTFD.STS register is updated by the device and the error bit (bit 0) is set" "TFES_0_r,TFES_1_r" bitfld.long 0x28 29. "HBFS,Host bus fatal error status Set when master (DMA) detects an ERROR response from the slave" "HBFS_0_r,HBFS_1_r" bitfld.long 0x28 28. "HBDS,Host bus data error status This bit is always cleared to 0" "HBDS_0_r,HBDS_1_r" newline bitfld.long 0x28 27. "IFS,Interface fatal error status This bit is set when any of the following conditions is detected: 1) SYNC escape is received from the device during H2D register or data FIS transmission. 2) One or more of the following errors are detected during data.." "IFS_0_r,IFS_1_r" bitfld.long 0x28 26. "INFS,Interface nonfatal error status Set when any of the following conditions is detected: 1) One or more of the following errors are detected during nondata FIS transfer: - 10b to 8b decode error (SATA_PxSERR.DIAG_B) - Protocol (SATA_PxSERR.ERR_P) -.." "INFS_0_r,INFS_1_r" rbitfld.long 0x28 25. "RESERVED," "0,1" bitfld.long 0x28 24. "OFS,Overflow status Set when command list overflow is detected during read or write operation when the software builds command table that has fever total bytes than the transaction given to the device" "OFS_0_r,OFS_1_r" newline bitfld.long 0x28 23. "IPMS,Incorrect PM status FIS received from a device in which the PM field did not match what was expected May be set during enumeration of devices on a PM due to the normal PM enumeration process Must be used only after enumeration is complete on the PM" "IPMS_0_r,IPMS_1_r" rbitfld.long 0x28 22. "PRCS,PhyRdy change status Reflects the state of SATA_PxSERR.DIAG_N To clear this bit clear the SATA_PxSERR.DIAG_N bit to 0" "PRCS_0_r,PRCS_1_r" hexmask.long.word 0x28 8.--21. 1. "RESERVED," bitfld.long 0x28 7. "DMPS,Device mechanical presence status Set when the pX_mp_switch input changes its state as a result of a mechanical switch attached to this port opening or closing Valid only when SATA_CAP.SMPS and SATA_PxCMD.MPSP are set" "DMPS_0_r,DMPS_1_r" newline rbitfld.long 0x28 6. "PCS,Port connect change status This bit reflects the state of the SATA_PxSERR.DIAG_X bit" "PCS_0_r,PCS_1_r" bitfld.long 0x28 5. "DPS,Descriptor processed A PRD with the I bit set has transferred all of its data" "DPS_0_r,DPS_1_r" rbitfld.long 0x28 4. "UFS,Unknown FIS interrupt An unknown FIS was received and has been copied into system memory" "UFS_0_r,UFS_1_r" bitfld.long 0x28 3. "SDBS,Set device bits interrupt A Set Device Bits FIS is received with the I bit set and copied into system memory" "SDBS_0_r,SDBS_1_r" newline bitfld.long 0x28 2. "DSS,DMA setup FIS interrupt A DMA Setup FIS is received with the I bit set and copied into system memory" "DSS_0_r,DSS_1_r" bitfld.long 0x28 1. "PSS,PIO setup FIS interrupt A PIO Setup FIS is received with the I bit set copied into system memory and the data related to the FIS is transferred" "PSS_0_r,PSS_1_r" bitfld.long 0x28 0. "DHRS,Device to host register FIS interrupt A D2H register FIS is received with the I bit set and copied into system memory" "DHRS_0_r,DHRS_1_r" line.long 0x2C "SATA_PxIE,Port interrupt enable Enables and disables the reporting of the corresponding interrupt to system software When a bit is set (1). .IE = 1. and the corresponding interrupt condition in is active. then the SATA controller interrupt output is.." bitfld.long 0x2C 31. "CPDE,Cold port detect enable" "CPDE_0,CPDE_1" bitfld.long 0x2C 30. "TFEE,Task file error enable" "TFEE_0,TFEE_1" bitfld.long 0x2C 29. "HBFE,Host bus fatal error enable" "HBFE_0,HBFE_1" bitfld.long 0x2C 28. "HBDE,Host bus data error enable" "HBDE_0,HBDE_1" newline bitfld.long 0x2C 27. "IFE,Interface fatal error enable" "IFE_0,IFE_1" bitfld.long 0x2C 26. "INFE,Interface non fatal error enable" "INFE_0,INFE_1" rbitfld.long 0x2C 25. "RESERVED," "0,1" bitfld.long 0x2C 24. "OFE,Overflow enable" "OFE_0,OFE_1" newline bitfld.long 0x2C 23. "IPME,Incorrect PM enable" "IPME_0,IPME_1" bitfld.long 0x2C 22. "PRCE,PhyRdy change enable" "PRCE_0,PRCE_1" hexmask.long.word 0x2C 8.--21. 1. "RESERVED," bitfld.long 0x2C 7. "DMPE,Device mechanical presence enable" "DMPE_0,DMPE_1" newline bitfld.long 0x2C 6. "PCE,Port connect change enable" "PCE_0,PCE_1" bitfld.long 0x2C 5. "DPE,Descriptor processed interrupt enable" "DPE_0,DPE_1" bitfld.long 0x2C 4. "UFE,Unknown FIS interrupt enable" "UFE_0,UFE_1" bitfld.long 0x2C 3. "SDBE,Set device bits interrupt enable" "SDBE_0,SDBE_1" newline bitfld.long 0x2C 2. "DSE,DMA setup FIS interrupt enable" "DSE_0,DSE_1" bitfld.long 0x2C 1. "PSE,PIO setup FIS interrupt enable" "PSE_0,PSE_1" bitfld.long 0x2C 0. "DHRE,Device to host register FIS interrupt enable" "DHRE_0,DHRE_1" line.long 0x30 "SATA_PxCMD,Port command" bitfld.long 0x30 28.--31. "ICC,Interface communication control Control of power management states of the interface If the link layer is in the L_IDLE state writes cause the port to request a transition to a given interface state" "ICC_0_r,ICC_1,ICC_2,?,?,?,ICC_6,?,?,?,?,?,?,?,?,?" bitfld.long 0x30 27. "ASP,Aggressive SLUMBER/PARTIAL" "ASP_0,ASP_1" bitfld.long 0x30 26. "ALPE,Aggressive link power management enable" "ALPE_0,ALPE_1" bitfld.long 0x30 25. "DLAE,Drive LED on ATAPI enable" "DLAE_0,DLAE_1" newline bitfld.long 0x30 24. "ATAPI,Device is ATAPI Used by the port to determine whether or not to assert pX_act_led output when commands are active" "ATAPI_0,ATAPI_1" bitfld.long 0x30 23. "APSTE,Auto PARTIAL to SLUMBER transition enable" "APSTE_0,APSTE_1" bitfld.long 0x30 22. "FBSCP,FIS-based Switching Capable Port May only be set to ?1? if CAP.SPM = CAP.FBSS = 1 (not the case)" "FBSCP_0,FBSCP_1" bitfld.long 0x30 21. "ESP,External SATA port Writable once after power up read-only afterward" "ESP_0,ESP_1" newline bitfld.long 0x30 20. "CPD,Cold presence detect Writable once after power up read-only afterward" "CPD_0,CPD_1" bitfld.long 0x30 19. "MPSP,Mechanical presence switch attached to port Writable once after power up read-only afterward" "MPSP_0,MPSP_1" bitfld.long 0x30 18. "HPCP,Hot plug capable port Writable once after power up read-only afterward" "HPCP_0,HPCP_1" bitfld.long 0x30 17. "PMA,PM attached Software is responsible for detecting the presence of a PM" "PMA_0,PMA_1" newline rbitfld.long 0x30 16. "CPS,Cold presence state Reports whether a device is currently detected on this port as indicated by the pX_cp_det input state (assuming SATA_PxCMD.CPD = 1)" "CPS_0_r,CPS_1_r" rbitfld.long 0x30 15. "CR,Command list running For details see the AHCI state-machine in Section 5.3.2 of the AHCI specification" "CR_0_r,CR_1_r" rbitfld.long 0x30 14. "FR,FIS receive running For details see Section 10.3.2 of the AHCI specification" "FR_0_r,FR_1_r" rbitfld.long 0x30 13. "MPSS,Mechanical presence switch state Reports the state of a mechanical presence switch attached to this port as indicated by the pX_mp_switch input state (assuming SATA_CAP.SMPS = 1 and SATA_PxCMD.MPSP = 1) Cleared to 0 when SATA_CAP.SMPS = 0" "MPSS_0_r,MPSS_1_r" newline rbitfld.long 0x30 8.--12. "CCS,Current command slot This field is valid when SATA_PxCMD.ST is set to 1 and is set to the command slot value of the command currently issued by the port" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x30 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x30 4. "FRE,FIS receive enable Must not be set until SATA_PxFB / SATA_PxFBU is programmed with a valid pointer to the FIS receive area Base can be moved after clearing FRE and waiting for FR to clear to 0" "FRE_0,FRE_1" bitfld.long 0x30 3. "CLO,Command list override" "CLO_0_r,CLO_1_r" newline bitfld.long 0x30 2. "POD,Power-on device Writable if SATA_PxCMD.CPD = 1 (cold presence detection enabled) otherwise read-only -1" "POD_0,POD_1" bitfld.long 0x30 1. "SUD,Spin-up device Writable if SATA_CAP.SSS = 1 (staggered spin-up supported) else read-only 1" "SUD_0,SUD_1" bitfld.long 0x30 0. "ST,Start" "ST_0,ST_1" rgroup.long 0x120++0x1F line.long 0x00 "SATA_PxTFD,Port Task File Data: copies specific fields of the task file when FISes are received" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "ERR,Err: Latest copy of the task file error register" bitfld.long 0x00 7. "STS_BSY,Status busy Latest copy of the 8-bit task file status register bit 7 STS_BSY = Interface is busy" "0,1" bitfld.long 0x00 4.--6. "STS_CS2,Status command-specific Latest copy of the 8-bit task file status register bits 6:4" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3. "STS_DRQ,Status data request Latest copy of the 8-bit task file status register bit 3 STS_DRQ = Data transfer is requested" "0,1" bitfld.long 0x00 1.--2. "STS_CS,Status command-specific Latest copy of the 8-bit task file status register bits 2:1" "0,1,2,3" bitfld.long 0x00 0. "STS_ERR,Status error Latest copy of the 8-bit task file status register bit 0 STS_ERR = Error during the transfer" "0,1" line.long 0x04 "SATA_PxSIG,Port signature: Signature received from a device on the first D2H register FIS" hexmask.long.byte 0x04 24.--31. 1. "SIG_LBAH,Signature LBA high (cylinder high) register" hexmask.long.byte 0x04 16.--23. 1. "SIG_LBAM,Signature LBA mid (cylinder low) register" hexmask.long.byte 0x04 8.--15. 1. "SIG_LBAL,Signature LBA low (sector number) register" hexmask.long.byte 0x04 0.--7. 1. "SIG_SCR,Signature sector count register" line.long 0x08 "SATA_PxSSTS,Port SATA status Current state of the interface and host. updated continuously and asynchronously" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 8.--11. "IPM,Interface power management: Current interface state" "IPM_0_r,IPM_1_r,IPM_2_r,?,?,?,IPM_6_r,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "SPD,Current interface speed: Negotiated interface communication speed" "SPD_0_r,SPD_1_r,SPD_2_r,SPD_3_r,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 0.--3. "DET,Device detection: Interface device detection and PHY state" "DET_0_r,DET_1_r,?,DET_3_r,DET_4_r,?,?,?,?,?,?,?,?,?,?,?" line.long 0x0C "SATA_PxSCTL,Port SATA control Control of SATA interface capabilities" hexmask.long.word 0x0C 20.--31. 1. "RESERVED," rbitfld.long 0x0C 16.--19. "PMP,PM port: This field is not used by the AHCI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 12.--15. "SPM,Select power management: This field is not used by the AHCI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 8.--11. "IPM,Interface power management transitions allowed: Indicates which power states the HBA is allowed to transition to" "IPM_0,IPM_1,IPM_2,IPM_3,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x0C 4.--7. "SPD,Speed allowed: Highest allowable speed of the interface The two MSBs are always 2'b00 (not writable) as for all unreserved field values" "SPD_0,SPD_1,SPD_2,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x0C 0.--3. "DET,Device detection initialization: Controls the HBA device detection and interface initialization" "DET_0,DET_1,?,?,DET_4,?,?,?,?,?,?,?,?,?,?,?" line.long 0x10 "SATA_PxSERR,Port SATA error Detected interface errors accumulated since the last time it cleared" rbitfld.long 0x10 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x10 26. "DIAG_X," "0,1" bitfld.long 0x10 25. "DIAG_F,Unknown FIS type: One or more FISes were received by the transport layer with good CRC but had a type field that was not recognized/known and the length was = 64 bytes" "0,1" bitfld.long 0x10 24. "DIAG_T,Transport state transition error: Transport Layer protocol violation detected" "0,1" newline bitfld.long 0x10 23. "DIAG_S,Link sequence error: One or more Link state machine error conditions encountered including device doing SYNC escape during FIS transmission" "0,1" bitfld.long 0x10 22. "DIAG_H,Handshake error: One or more R-ERRp received in response to frame transmission" "0,1" bitfld.long 0x10 21. "DIAG_C,CRC error: One ore more CRC errors detected by the link layer during FIS reception" "0,1" rbitfld.long 0x10 20. "DIAG_D,Disparity error: Not used by AHCI always 0" "0,1" newline bitfld.long 0x10 19. "DIAG_B,10bit-to-8bit decode error: Errors detected by the 10b8b decoder" "0,1" bitfld.long 0x10 18. "DIAG_W,Comm wake: Comm wake signal detected by the PHY" "0,1" bitfld.long 0x10 17. "DIAG_I,PHY internal error: Internal error detected by the PHY" "0,1" bitfld.long 0x10 16. "DIAG_N,PhyRdy" "0,1" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "ERR_E,Internal error: One or more errors detected on the master (DMA) or the slave (MMR access) interfaces" "0,1" bitfld.long 0x10 10. "ERR_P,Protocol error: Any of the following conditions: - Transport state transition error (DIAG_T) - Link sequence error (DIAG_S) - RxFIFO overflow - Link bad end error (WTRM instead of EOF received)" "0,1" bitfld.long 0x10 9. "ERR_C,Nonrecovered persistent communication error: PHY Ready signal is negated due to loss of communication with the device or problems with the interface but not after transition from ACTIVE to PARTIAL or SLUMBER power management state" "0,1" newline bitfld.long 0x10 8. "ERR_T,Nonrecovered transient data integrity error: Any of the following conditions are set during data FIS transfer: - ERR_P (Protocol) - DIAG_C (CRC) - DIAG_H (Handshake) - ERR_C (PHY Ready negation)" "0,1" rbitfld.long 0x10 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 1. "ERR_M,Recovered communication error: PHY Ready condition is detected after interface initialization but not after transition from PARTIAL or SLUMBER power management state to ACTIVE state" "0,1" bitfld.long 0x10 0. "ERR_I,Recovered data integrity error: Any of the following conditions are set during non-data FIS transfer: - ERR_P (Protocol) - DIAG_C (CRC) - DIAG_H (Handshake) - ERR_C (PHY Ready negation)" "0,1" line.long 0x14 "SATA_PxSACT,Port SATA active (SActive): Indicates which command slots contain commands" line.long 0x18 "SATA_PxCI,Port command issue: Indicates that a command is constructed and may be carried out" line.long 0x1C "SATA_PxSNTF,Port SATA notification: Used to determine if asynchronous notification events have occurred for directly connected devices and devices connected to a PM" hexmask.long.word 0x1C 16.--31. 1. "RESERVED," hexmask.long.word 0x1C 0.--15. 1. "PMN,PM notify: Indicates whether a particular device with the corresponding PM port number issued a set device bits FIS to the SATA controller Port with the notification bit set: - PM Port 0h sets bit 0" group.long 0x170++0x03 line.long 0x00 "SATA_PxDMACR,Port DMA control register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 4.--7. "RXTS,Receive transaction size: DMA transaction size for receive operations (system bus write device read)" "RXTS_0,RXTS_1,RXTS_2,RXTS_3,RXTS_4,RXTS_5,RXTS_6,?,?,?,?,?,?,?,?,?" bitfld.long 0x00 0.--3. "TXTS,Transmit transaction size: DMA transaction size for transmit operations (system bus read device write)" "TXTS_0,TXTS_1,TXTS_2,TXTS_3,TXTS_4,TXTS_5,?,?,?,?,?,?,?,?,?,?" tree.end tree "EDMA_TPCC_FW" base ad:0x4A161000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "ELM" base ad:0x48078000 rgroup.long 0x00++0x03 line.long 0x00 "ELM_REVISION,This register contains the IP revision code" group.long 0x10++0x13 line.long 0x00 "ELM_SYSCONFIG,This register allows controlling various parameters of the OCP interface" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "CLOCKACTIVITYOCP,OCP clock activity when module is in IDLE mode (during wake-up mode period)" "CLOCKACTIVITYOCP_0,CLOCKACTIVITYOCP_1" rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "SIDLEMODE,Slave interface power management (IDLE req/ack control)" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SOFTRESET,Module software reset This bit is automatically reset by hardware (during reads it always returns 0)" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOGATING,Internal OCP clock gating strategy (no module visible effect other than saving power)" "AUTOGATING_0,AUTOGATING_1" line.long 0x04 "ELM_SYSSTATUS,Internal reset monitoring (OCP domain) Undefined since: From hardware perspective. the reset state is 0" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring (OCP domain) Undefined since: From hardware perspective the reset state is 0" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "ELM_IRQSTATUS,Interrupt status" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8. "PAGE_VALID,Error-location status for a full page based on the mask definition Read" "No effect,Clear interrupt" bitfld.long 0x08 7. "LOC_VALID_7,Error-location status for syndrome polynomial 7" "No effect,Clear interrupt" newline bitfld.long 0x08 6. "LOC_VALID_6,Error-location status for syndrome polynomial 6" "0,1" bitfld.long 0x08 5. "LOC_VALID_5,Error-location status for syndrome polynomial 5" "0,1" bitfld.long 0x08 4. "LOC_VALID_4,Error-location status for syndrome polynomial 4" "0,1" newline bitfld.long 0x08 3. "LOC_VALID_3,Error-location status for syndrome polynomial 3" "0,1" bitfld.long 0x08 2. "LOC_VALID_2,Error-location status for syndrome polynomial 2" "0,1" bitfld.long 0x08 1. "LOC_VALID_1,Error-location status for syndrome polynomial 1" "0,1" newline bitfld.long 0x08 0. "LOC_VALID_0,Error-location status for syndrome polynomial 0" "0,1" line.long 0x0C "ELM_IRQENABLE,Interrupt enable" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 8. "PAGE_MASK,Page interrupt mask bit" "Disable interrupt,Enable interrupt" bitfld.long 0x0C 7. "LOCATION_MASK_7,Error-location interrupt mask bit for syndrome polynomial 7" "0,1" newline bitfld.long 0x0C 6. "LOCATION_MASK_6,Error-location interrupt mask bit for syndrome polynomial 6" "0,1" bitfld.long 0x0C 5. "LOCATION_MASK_5,Error-location interrupt mask bit for syndrome polynomial 5" "0,1" bitfld.long 0x0C 4. "LOCATION_MASK_4,Error-location interrupt mask bit for syndrome polynomial 4" "0,1" newline bitfld.long 0x0C 3. "LOCATION_MASK_3,Error-location interrupt mask bit for syndrome polynomial 3" "0,1" bitfld.long 0x0C 2. "LOCATION_MASK_2,Error-location interrupt mask bit for syndrome polynomial 2" "0,1" bitfld.long 0x0C 1. "LOCATION_MASK_1,Error-location interrupt mask bit for syndrome polynomial 1" "0,1" newline bitfld.long 0x0C 0. "LOCATION_MASK_0,Error-location interrupt mask bit for syndrome polynomial 0" "Disable interrupt,Enable interrupt" line.long 0x10 "ELM_LOCATION_CONFIG,ECC algorithm parameters" rbitfld.long 0x10 27.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x10 16.--26. 1. "ECC_SIZE,Maximum size of the buffers for which the error-location engine is used in number of nibbles (4-bit entities)" hexmask.long.word 0x10 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x10 0.--1. "ECC_BCH_LEVEL,Error correction level" "4 bits,8 bits,16 bits,Reserved" group.long 0x80++0x03 line.long 0x00 "ELM_PAGE_CTRL,Page definition" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "SECTOR_7,Set to 1 if syndrome polynomial 7 is part of the page in page mode" "0,1" bitfld.long 0x00 6. "SECTOR_6,Set to 1 if syndrome polynomial 6 is part of the page in page mode" "0,1" newline bitfld.long 0x00 5. "SECTOR_5,Set to 1 if syndrome polynomial 5 is part of the page in page mode" "0,1" bitfld.long 0x00 4. "SECTOR_4,Set to 1 if syndrome polynomial 4 is part of the page in page mode" "0,1" bitfld.long 0x00 3. "SECTOR_3,Set to 1 if syndrome polynomial 3 is part of the page in page mode" "0,1" newline bitfld.long 0x00 2. "SECTOR_2,Set to 1 if syndrome polynomial 2 is part of the page in page mode" "0,1" bitfld.long 0x00 1. "SECTOR_1,Set to 1 if syndrome polynomial 1 is part of the page in page mode" "0,1" bitfld.long 0x00 0. "SECTOR_0,Set to 1 if syndrome polynomial 0 is part of the page in page mode" "0,1" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8BC)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_15_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8B8)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_14_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8B4)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_13_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8B0)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_12_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8AC)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_11_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8A8)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_10_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8A4)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_9_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x8A0)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_8_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x89C)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_7_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x898)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_6_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x894)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_5_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x890)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_4_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x88C)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_3_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x888)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_2_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x884)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_1_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x880)++0x03 line.long 0x00 "ELM_ERROR_LOCATION_0_i_$1,Error-location register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--12. 1. "ECC_ERROR_LOCATION,Error-location bit address" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x100 0x200 0x300 0x400 0x500 0x600 0x700 ) rgroup.long ($2+0x800)++0x03 line.long 0x00 "ELM_LOCATION_STATUS_i_$1,Exit status for the syndrome polynomial processing" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "ECC_CORRECTABLE,Error-location process exit status" "ECC error-location process failed,All errors were successfully located" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "ECC_NB_ERRORS,Number of errors detected and located" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x418)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_6_i_$1,Input syndrome polynomial bits 192 to 207" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "SYNDROME_VALID,Syndrome valid bit" "This syndrome polynomial must not be processed,This syndrome polynomial must be processed" newline hexmask.long.word 0x00 0.--15. 1. "SYNDROME_6,Syndrome bits 192 to 207" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x414)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_5_i_$1,Input syndrome polynomial bits 160 to 191" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x410)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_4_i_$1,Input syndrome polynomial bits 128 to 159" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x40C)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_3_i_$1,Input syndrome polynomial bits 96 to 127" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x408)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_2_i_$1,Input syndrome polynomial bits 64 to 95" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x404)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_1_i_$1,Input syndrome polynomial bits 32 to 63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x40 0x80 0xC0 0x100 0x140 0x180 0x1C0 ) group.long ($2+0x400)++0x03 line.long 0x00 "ELM_SYNDROME_FRAGMENT_0_i_$1,Input syndrome polynomial bits 0 to 31" repeat.end tree.end tree "ELM_TARG" base ad:0x48079000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "EMIF1" base ad:0x4C000000 rgroup.long 0x00++0x3F line.long 0x00 "EMIF_REVISION,Revision number register" line.long 0x04 "EMIF_STATUS,SDRAM Status Register (STATUS)" bitfld.long 0x04 31. "BE,Big endian mode select for 8 and 16-bit devices set to 1 for big endian or 0 for little endian operation" "0,1" newline bitfld.long 0x04 30. "DUAL_CLK_MODE,Dual Clock mode" "0,1" newline bitfld.long 0x04 29. "FAST_INIT,Fast Init" "0,1" newline hexmask.long.tbyte 0x04 7.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 6. "RDLVLGATETO,Read DQS Gate Training Timeout" "0,1" newline bitfld.long 0x04 5. "RDLVLTO,Read Data Eye Training Timeout" "0,1" newline bitfld.long 0x04 4. "WRLVLTO,Write Leveling Timeout" "0,1" newline bitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "PHY_DLL_READY,DDR PHY Ready" "0,1" newline bitfld.long 0x04 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x08 "EMIF_SDRAM_CONFIG,SDRAM Config Register" bitfld.long 0x08 29.--31. "SDRAM_TYPE,SDRAM Type selection" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 27.--28. "IBANK_POS,Internal bank position" "0,1,2,3" newline bitfld.long 0x08 24.--26. "DDR_TERM,DDR3 termination resistor value" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 23. "DDR2_DDQS,DDR2 differential DDQS enable" "0,1" newline bitfld.long 0x08 21.--22. "DYN_ODT,DDR3 Dynamic ODT" "0,1,2,3" newline bitfld.long 0x08 20. "DDR_DISABLE_DLL,Disable DLL select" "0,1" newline bitfld.long 0x08 18.--19. "SDRAM_DRIVE,SDRAM drive strength.For DDR3 set to 0 for RZQ/6 and set to 1 for RZQ/7" "0,1,2,3" newline bitfld.long 0x08 16.--17. "CWL,DDR3 CAS Write latency" "0,1,2,3" newline bitfld.long 0x08 14.--15. "NARROW_MODE,SDRAM data bus width" "0,1,2,3" newline bitfld.long 0x08 10.--13. "CL,CAS Latency (referred to as read latency (RL) in some SDRAM specs)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 7.--9. "ROWSIZE,Row Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4.--6. "IBANK,Internal Bank setup" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PAGESIZE,Page Size" "0,1,2,3,4,5,6,7" line.long 0x0C "EMIF_SDRAM_CONFIG_2,SDRAM Config Register 2 CAUTION: This register is loaded with values by control module at device reset" rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 27. "EBANK_POS,External bank position" "0,1" newline hexmask.long 0x0C 0.--26. 1. "RESERVED," line.long 0x10 "EMIF_SDRAM_REFRESH_CONTROL,SDRAM Refresh Control Register" bitfld.long 0x10 31. "INITREF_DIS,Initialization and Refresh disable" "0,1" newline rbitfld.long 0x10 30. "RESERVED," "0,1" newline bitfld.long 0x10 29. "SRT,DDR3 Self Refresh temperature range" "0,1" newline bitfld.long 0x10 28. "ASR,DDR3 Auto Self Refresh enable" "0,1" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "PASR,Partial Array Self Refresh" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 16.--23. 1. "RESERVED," newline hexmask.long.word 0x10 0.--15. 1. "REFRESH_RATE,Refresh Rate" line.long 0x14 "EMIF_SDRAM_REFRESH_CONTROL_SHADOW,SDRAM Refresh Control Shadow Register" hexmask.long.word 0x14 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x14 0.--15. 1. "REFRESH_RATE_SHDW,Shadow field for REFRESH_RATE" line.long 0x18 "EMIF_SDRAM_TIMING_1,SDRAM Timing 1 Register" bitfld.long 0x18 29.--31. "T_RTW,Minimum number of DDR clock cycles between Read to Write data phases minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 25.--28. "T_RP,Minimum number of DDR clock cycles from Precharge to Activate or Refresh minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 21.--24. "T_RCD,Minimum number of DDR clock cycles from Activate to Read or Write minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 17.--20. "T_WR,Minimum number of DDR clock cycles from last Write transfer to Precharge minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 12.--16. "T_RAS,Minimum number of DDR clock cycles from Activate to Precharge minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 6.--11. "T_RC,Minimum number of DDR clock cycles from Activate to Activate minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x18 3.--5. "T_RRD,Minimum number of DDR clock cycles from Activate to Activate for a different bank minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 0.--2. "T_WTR,Minimum number of DDR clock cycles from last Write to Read minus one" "0,1,2,3,4,5,6,7" line.long 0x1C "EMIF_SDRAM_TIMING_1_SHADOW,SDRAM Timing 1 Shadow Register" bitfld.long 0x1C 29.--31. "T_RTW_SHDW,Shadow field for T_RTW" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 25.--28. "T_RP_SHDW,Shadow field for T_RP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 21.--24. "T_RCD_SHDW,Shadow field for T_RCD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 17.--20. "T_WR_SHDW,Shadow field for T_WR" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 12.--16. "T_RAS_SHDW,Shadow field for T_RAS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 6.--11. "T_RC_SHDW,Shadow field for T_RC" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x1C 3.--5. "T_RRD_SHDW,Shadow field for T_RRD" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0.--2. "T_WTR_SHDW,Shadow field for T_WTR" "0,1,2,3,4,5,6,7" line.long 0x20 "EMIF_SDRAM_TIMING_2,SDRAM Timing 2 Register" rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1" newline bitfld.long 0x20 28.--30. "T_XP,Minimum number of DDR clock cycles from power-down exit to any command other than a read command minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 25.--27. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 16.--24. 1. "T_XSNR,Minimum number of DDR clock cycles from Self-Refresh exit to any command other than a Read command minus one" newline hexmask.long.word 0x20 6.--15. 1. "T_XSRD,Minimum number of DDR clock cycles from Self-Refresh exit to a Read command minus one" newline bitfld.long 0x20 3.--5. "T_RTP,Minimum number of DDR clock cycles for the last read command to a Precharge command minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 0.--2. "T_CKE,Minimum number of DDR clock cycles between CKE pin changes minus one" "0,1,2,3,4,5,6,7" line.long 0x24 "EMIF_SDRAM_TIMING_2_SHADOW,SDRAM Timing 2 Shadow Register" rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1" newline bitfld.long 0x24 28.--30. "T_XP_SHDW,Shadow field for T_XP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 25.--27. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x24 16.--24. 1. "T_XSNR_SHDW,Shadow field for T_XSNR" newline hexmask.long.word 0x24 6.--15. 1. "T_XSRD_SHDW,Shadow field for T_XSRD" newline bitfld.long 0x24 3.--5. "T_RTP_SHDW,Shadow field for T_RTP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0.--2. "T_CKE_SHDW,Shadow field for T_CKE" "0,1,2,3,4,5,6,7" line.long 0x28 "EMIF_SDRAM_TIMING_3,SDRAM Timing 3 Register" bitfld.long 0x28 28.--31. "T_PDLL_UL,Minimum number of DDR clock cycles for PHY DLL to unlock" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x28 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 21.--23. "T_CKESR,Minimum number of DDR clock cycles for which SDRAM must remain in Self Refresh minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x28 15.--20. "ZQ_ZQCS,Number of DDR clock cycles for a ZQCS command minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 13.--14. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x28 4.--12. 1. "T_RFC,Minimum number of DDR clock cycles from Refresh or Load Mode to Refresh or Activate minus one" newline bitfld.long 0x28 0.--3. "T_RAS_MAX,Maximum number of REFRESH_RATE intervals from Activate to Precharge command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "EMIF_SDRAM_TIMING_3_SHADOW,SDRAM Timing 3 Shadow Register" bitfld.long 0x2C 28.--31. "T_PDLL_UL_SHDW,Shadow field for T_PDLL_UL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x2C 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 21.--23. "T_CKESR_SHDW,Shadow field for T_CKESR" "0,1,2,3,4,5,6,7" newline bitfld.long 0x2C 15.--20. "ZQ_ZQCS_SHDW,Shadow field for ZQ_ZQCS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 13.--14. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x2C 4.--12. 1. "T_RFC_SHDW,Shadow field for T_RFC" newline bitfld.long 0x2C 0.--3. "T_RAS_MAX_SHDW,Shadow field for T_RAS_MAX" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x30 "EMIF_LPDDR2_NVM_TIMING,NOTE: This register is not supported" line.long 0x34 "EMIF_LPDDR2_NVM_TIMING_SHADOW,NOTE: This register is not supported" line.long 0x38 "EMIF_POWER_MANAGEMENT_CONTROL,Power Management Control Register" hexmask.long.word 0x38 16.--31. 1. "RESERVED," newline bitfld.long 0x38 12.--15. "PD_TIM,Power Management timer for Power-Down" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x38 11. "RESERVED," "0,1" newline bitfld.long 0x38 8.--10. "LP_MODE,Automatic Power Management enable" "Disable automatic power management,Reserved,Self Refresh mode,Disable automatic power management,Power-Down mode All other values disable..,?..." newline bitfld.long 0x38 4.--7. "SR_TIM,Power Management timer for Self Refresh" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x3C "EMIF_POWER_MANAGEMENT_CONTROL_SHADOW,Power Management Control Shadow Register" hexmask.long.word 0x3C 16.--31. 1. "RESERVED," newline bitfld.long 0x3C 12.--15. "PD_TIM_SHDW,Shadow field for PD_TIM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x3C 8.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 4.--7. "SR_TIM_SHDW,Shadow field for SR_TIM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x54++0x0F line.long 0x00 "EMIF_OCP_CONFIG,OCP Config Register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "SYS_THRESH_MAX,System OCP Threshold Maximum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "MPU_THRESH_MAX,MPU Threshold Maximum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x00 0.--19. 1. "RESERVED," line.long 0x04 "EMIF_OCP_CONFIG_VALUE_1,OCP Config Value 1 Register" bitfld.long 0x04 30.--31. "SYS_BUS_WIDTH,System OCP data bus width" "32-bit wide,64-bit wide,128-bit wide,Reserved" newline hexmask.long.word 0x04 16.--29. 1. "RESERVED," newline hexmask.long.byte 0x04 8.--15. 1. "WR_FIFO_DEPTH,Write Data FIFO depth" newline hexmask.long.byte 0x04 0.--7. 1. "CMD_FIFO_DEPTH,Command FIFO depth" line.long 0x08 "EMIF_OCP_CONFIG_VALUE_2,OCP Config Value 2 Register" hexmask.long.byte 0x08 24.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x08 16.--23. 1. "RREG_FIFO_DEPTH,Register Read Data FIFO depth" newline hexmask.long.byte 0x08 8.--15. 1. "RSD_FIFO_DEPTH,SDRAM Read Data FIFO depth" newline hexmask.long.byte 0x08 0.--7. 1. "RCMD_FIFO_DEPTH,Read Command FIFO depth" line.long 0x0C "EMIF_IODFT_TLGC," hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x0C 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 14. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 13. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 12. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x0C 11. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 10. "RESET_PHY,Reset the DDR PHY" "0,1" newline rbitfld.long 0x0C 9. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 8. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x0C 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 0. "RESERVED,Reserved" "0,1" rgroup.long 0x80++0x27 line.long 0x00 "EMIF_PERFORMANCE_COUNTER_1,Performance Counter 1 Register" line.long 0x04 "EMIF_PERFORMANCE_COUNTER_2,Performance Counter 2 Register" line.long 0x08 "EMIF_PERFORMANCE_COUNTER_CONFIG,Performance Counter Config Register" bitfld.long 0x08 31. "CNTR2_MCONNID_EN,MConnID filter enable forEMIF_PERFORMANCE_COUNTER_2 register" "0,1" newline bitfld.long 0x08 30. "CNTR2_REGION_EN,Chip Select filter enable forEMIF_PERFORMANCE_COUNTER_2 register" "0,1" newline hexmask.long.word 0x08 20.--29. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 16.--19. "CNTR2_CFG,Filter configuration forEMIF_PERFORMANCE_COUNTER_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 15. "CNTR1_MCONNID_EN,MConnID filter enable forEMIF_PERFORMANCE_COUNTER_1 register" "0,1" newline bitfld.long 0x08 14. "CNTR1_REGION_EN,Chip Select filter enable forEMIF_PERFORMANCE_COUNTER_1 register" "0,1" newline hexmask.long.word 0x08 4.--13. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 0.--3. "CNTR1_CFG,Filter configuration forEMIF_PERFORMANCE_COUNTER_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "EMIF_PERFORMANCE_COUNTER_MASTER_REGION_SELECT,Performance Counter Master Region Select Register The values programmed into the MCONNIDx fields are those in the ConnID Values table in . Interconnect" hexmask.long.byte 0x0C 24.--31. 1. "MCONNID2,MConnID forEMIF_PERFORMANCE_COUNTER_2 register" newline rbitfld.long 0x0C 18.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 16.--17. "REGION_SEL2,MAddrSpace forEMIF_PERFORMANCE_COUNTER_2 register" "0,1,2,3" newline hexmask.long.byte 0x0C 8.--15. 1. "MCONNID1,MConnID forEMIF_PERFORMANCE_COUNTER_1 register" newline rbitfld.long 0x0C 2.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 0.--1. "REGION_SEL1,MAddrSpace forEMIF_PERFORMANCE_COUNTER_1 register" "0,1,2,3" line.long 0x10 "EMIF_PERFORMANCE_COUNTER_TIME,Performance Counter Time Register" line.long 0x14 "EMIF_MISC_REG," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "DLL_CALIB_OS,Phy_dll_calib one shot : Setting bit to 1 generates a phy_pll_calib pulse" "0,1" line.long 0x18 "EMIF_DLL_CALIB_CTRL,Control register to force idle window time to generate a phy_dll_calib that can be used for updating PHY DLLs during voltage ramps" hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline bitfld.long 0x18 16.--19. "ACK_WAIT,The ack_wait determines the required wait time after a phy_dll_calib is generated before another command can be sent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x18 9.--15. 1. "RESERVED," newline hexmask.long.word 0x18 0.--8. 1. "DLL_CALIB_INTERVAL,This field determines the interval between phy_dll_calib generation" line.long 0x1C "EMIF_DLL_CALIB_CTRL_SHADOW,Read Idle Control Shadow Register" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," newline bitfld.long 0x1C 16.--19. "ACK_WAIT_SHDW,Shadow field for ACK_WAIT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C 0.--8. 1. "DLL_CALIB_INTERVAL_SHDW,Shadow field for DLL_CALIB_INTERVAL" line.long 0x20 "EMIF_END_OF_INTERRUPT," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "EOI,Software End Of Interrupt (EOI) control" "0,1" line.long 0x24 "EMIF_SYSTEM_OCP_INTERRUPT_RAW_STATUS,System OCP Interrupt Raw Status Register" hexmask.long 0x24 6.--31. 1. "RESERVED," newline bitfld.long 0x24 5. "ONEBIT_ECC_ERR_SYS,Raw status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x24 4. "TWOBIT_ECC_ERR_SYS,Raw status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x24 3. "WR_ECC_ERR_SYS,Raw status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x24 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x24 0. "ERR_SYS,Raw status of system OCP interrupt for command or address error" "0,1" group.long 0xAC++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_STATUS,System OCP Interrupt Status Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "ERR_SYS,Enabled status of system OCP interrupt interrupt for command or address error" "0,1" group.long 0xB4++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_ENABLE_SET,System OCP Interrupt Enable Set Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of sysem ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "EN_ERR_SYS,Enable set for system OCP interrupt for command or address error" "0,1" group.long 0xBC++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_ENABLE_CLEAR,System OCP Interrupt Enable Clear Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "EN_ERR_SYS,Enable clear for system OCP interrupt for command or address error" "0,1" group.long 0xC8++0x17 line.long 0x00 "EMIF_SDRAM_OUTPUT_IMPEDANCE_CALIBRATION_CONFIG,SDRAM Output Impedance Calibration Config Register" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 30. "ZQ_CS0EN,Writing a 1 enables ZQ calibration for CS0" "0,1" newline rbitfld.long 0x00 29. "RESERVED," "0,1" newline bitfld.long 0x00 28. "ZQ_SFEXITEN,Writing a 1 enables the issuing of ZQCL on Self-Refresh Active Power-Down and Precharge Power-Down exit" "0,1" newline hexmask.long.byte 0x00 20.--27. 1. "RESERVED," newline bitfld.long 0x00 18.--19. "ZQ_ZQINIT_MULT,Indicates the number of ZQCL durations that make up a ZQINIT duration minus one" "0,1,2,3" newline bitfld.long 0x00 16.--17. "ZQ_ZQCL_MULT,Indicates the number of ZQCS intervals that make up a ZQCL duration minus one" "0,1,2,3" newline hexmask.long.word 0x00 0.--15. 1. "ZQ_REFINTERVAL,Number of refresh periods between ZQCS commands" line.long 0x04 "EMIF_TEMP_ALERT_CONFIG,Temperature Alert Configuration Register.NOTE: This register is only applicable to LPDDR2 memories and cannot be used in this device" bitfld.long 0x04 31. "TA_CS1EN,Writing 1 enables temperature alert polling for CS1" "0,1" newline bitfld.long 0x04 30. "TA_CS0EN,Writing 1 enables temperature alert polling for CS0" "0,1" newline rbitfld.long 0x04 29. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 28. "TA_SFEXITEN,Temperature Alert Poll on Self-Refresh Active Power-Down and Precharge Power-Down exit enable" "0,1" newline bitfld.long 0x04 26.--27. "TA_DEVWDT,This field indicates how wide a physical device is" "8-bit wide,16-bit wide,32-bit wide,?..." newline bitfld.long 0x04 24.--25. "TA_DEVCNT,This field indicates which external byte lanes contain a device for temperature monitoring" "one device,two devices,four devices,?..." newline rbitfld.long 0x04 22.--23. "RESERVED,Reserved" "0,1,2,3" newline hexmask.long.tbyte 0x04 0.--21. 1. "TA_REFINTERVAL,Number of refresh periods between temperature alert polls" line.long 0x08 "EMIF_OCP_ERROR_LOG,OCP Error Log Register" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 14.--15. "MADDRSPACE,Address space of the first errored transaction" "SDRAM,reserved,reserved,internal registers" newline bitfld.long 0x08 11.--13. "MBURSTSEQ,Addressing mode of the first errored transaction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 8.--10. "MCMD,Command type of the first errored transaction" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 0.--7. 1. "MCONNID,Connection ID of the first errored transaction" line.long 0x0C "EMIF_READ_WRITE_LEVELING_RAMP_WINDOW,Read/write leveling ramp window register" hexmask.long.tbyte 0x0C 13.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--12. 1. "RDWRLVLINC_RMP_WIN,Incremental leveling ramp window in number of refresh periods" line.long 0x10 "EMIF_READ_WRITE_LEVELING_RAMP_CONTROL,Read/write leveling ramp control register" bitfld.long 0x10 31. "RDWRLVL_EN,Read-Write Leveling enable" "0,1" newline hexmask.long.byte 0x10 24.--30. 1. "RDWRLVLINC_RMP_PRE,Incremental leveling pre-scalar in number of refresh periods during ramp window" newline hexmask.long.byte 0x10 16.--23. 1. "RDLVLINC_RMP_INT,Incremental read data eye training interval during ramp window" newline hexmask.long.byte 0x10 8.--15. 1. "RDLVLGATEINC_RMP_INT,Incremental read DQS gate training interval during ramp window" newline hexmask.long.byte 0x10 0.--7. 1. "WRLVLINC_RMP_INT,Incremental write leveling interval during ramp window" line.long 0x14 "EMIF_READ_WRITE_LEVELING_CONTROL,Read/write leveling control register" bitfld.long 0x14 31. "RDWRLVLFULL_START,Full leveling trigger" "0,1" newline hexmask.long.byte 0x14 24.--30. 1. "RDWRLVLINC_PRE,Incremental leveling pre-scalar in number of refresh periods" newline hexmask.long.byte 0x14 16.--23. 1. "RDLVLINC_INT,Incremental read data eye training interval" newline hexmask.long.byte 0x14 8.--15. 1. "RDLVLGATEINC_INT,Incremental read DQS gate training interval" newline hexmask.long.byte 0x14 0.--7. 1. "WRLVLINC_INT,Incremental write leveling interval" group.long 0xE4++0x0B line.long 0x00 "EMIF_DDR_PHY_CONTROL_1,PHY control register 1" bitfld.long 0x00 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "RDLVL_MASK,Writing a 1 to this field will mask read data eye training during full leveling command plus drives reg_phy_use_rd_data_eye_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 26. "RDLVLGATE_MASK,Writing a 1 to this field will mask dqs gate training during full leveling command plus drives reg_phy_use_rd_dqs_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 25. "WRLVL_MASK,Writing a 1 to this field will mask write leveling training during full leveling command plus drives reg_phy_use_wr_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 22.--24. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "PHY_HALF_DELAYS,Adjust slave delay line delays to support 2x mode" "1x mode (MDLL clock rate is same as PHY),2x mode (MDLL clock is half the rate of PHY)" newline bitfld.long 0x00 20. "PHY_CLK_STALL_LEVEL,Enable variable idle value for delay lines" "0,1" newline bitfld.long 0x00 19. "PHY_DIS_CALIB_RST,Disable the dll_calib (internally generated) signal from resetting the Read Capture FIFO pointers and portions of data PHYs" "0,1" newline bitfld.long 0x00 18. "PHY_INVERT_CLKOUT,Inverts the polarity of DRAM clock" "core clock is passed on to DRAM,inverted core clock is passed on to DRAM" newline hexmask.long.byte 0x00 10.--17. 1. "PHY_DLL_LOCK_DIFF,The maximum number of delay line taps variation while maintaining the master DLL lock" newline bitfld.long 0x00 9. "PHY_FAST_DLL_LOCK,Controls master DLL to lock fast or average logic must be part of locking process" "MDLL lock is asserted based on average of 16..,MDLL lock is asserted based on single sample" newline bitfld.long 0x00 5.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--4. "READ_LATENCY,This field defines the read latency for the read data from SDRAM in number of DDR clock cycles" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EMIF_DDR_PHY_CONTROL_1_SHADOW," bitfld.long 0x04 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 27. "RDLVL_MASK_SHDW,Shadow field for RDLVL_MASK" "0,1" newline bitfld.long 0x04 26. "RDLVLGATE_MASK_SHDW,Shadow field for RDLVLGATE_MASK" "0,1" newline bitfld.long 0x04 25. "WRLVL_MASK_SHDW,Shadow field for WRLVL_MASK" "0,1" newline bitfld.long 0x04 22.--24. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "PHY_HALF_DELAYS_SHDW,Shadow field for PHY_HALF_DELAYS" "0,1" newline bitfld.long 0x04 20. "PHY_CLK_STALL_LEVEL_SHDW,Shadow field for PHY_CLK_STALL_LEVEL" "0,1" newline bitfld.long 0x04 19. "PHY_DIS_CALIB_RST_SHDW,Shadow field for PHY_DIS_CALIB_RST" "0,1" newline bitfld.long 0x04 18. "PHY_INVERT_CLKOUT_SHDW,Shadow field for PHY_INVERT_CLKOUT" "0,1" newline hexmask.long.byte 0x04 10.--17. 1. "PHY_DLL_LOCK_DIFF_SHDW,Shadow field for PHY_DLL_LOCK_DIFF" newline bitfld.long 0x04 9. "PHY_FAST_DLL_SHDW,Shadow field for PHY_FAST_DLL" "0,1" newline bitfld.long 0x04 5.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--4. "READ_LATENCY_SHDW,Shadow field for READ_LATENCY" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "EMIF_DDR_PHY_CONTROL_2," group.long 0x100++0x0B line.long 0x00 "EMIF_PRIORITY_TO_CLASS_OF_SERVICE_MAPPING," bitfld.long 0x00 31. "PRI_COS_MAP_EN,Set 1 to enable priority to class of service mapping" "0,1" newline hexmask.long.word 0x00 16.--30. 1. "RESERVED," newline bitfld.long 0x00 14.--15. "PRI_7_COS,Class of service for commands with priority of 7" "0,1,2,3" newline bitfld.long 0x00 12.--13. "PRI_6_COS,Class of service for commands with priority of 6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "PRI_5_COS,Class of service for commands with priority of 5" "0,1,2,3" newline bitfld.long 0x00 8.--9. "PRI_4_COS,Class of service for commands with priority of 4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "PRI_3_COS,Class of service for commands with priority of 3" "0,1,2,3" newline bitfld.long 0x00 4.--5. "PRI_2_COS,Class of service for commands with priority of 2" "0,1,2,3" newline bitfld.long 0x00 2.--3. "PRI_1_COS,Class of service for commands with priority of 1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "PRI_0_COS,Class of service for commands with priority of 0" "0,1,2,3" line.long 0x04 "EMIF_CONNECTION_ID_TO_CLASS_OF_SERVICE_1_MAPPING," bitfld.long 0x04 31. "CONNID_COS_1_MAP_EN,Set 1 to enable Connection ID to class of service 1 mapping" "0,1" newline hexmask.long.byte 0x04 23.--30. 1. "CONNID_1_COS_1,Connection ID value 1 for class of service 1" newline bitfld.long 0x04 20.--22. "MSK_1_COS_1,Mask for Connection ID value 1 for class of service 1" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 12.--19. 1. "CONNID_2_COS_1,Connection ID value 2 for class of service 1" newline bitfld.long 0x04 10.--11. "MSK_2_COS_1,Mask for Connection ID value 2 for class of service 1" "0,1,2,3" newline hexmask.long.byte 0x04 2.--9. 1. "CONNID_3_COS_1,Connection ID value 3 for class of service 1" newline bitfld.long 0x04 0.--1. "MSK_3_COS_1,Mask for Connection ID value 3 for class of service 1" "0,1,2,3" line.long 0x08 "EMIF_CONNECTION_ID_TO_CLASS_OF_SERVICE_2_MAPPING," bitfld.long 0x08 31. "CONNID_COS_2_MAP_EN,Set 1 to enable Connection ID to class of service 2 mapping" "0,1" newline hexmask.long.byte 0x08 23.--30. 1. "CONNID_1_COS_2,Connection ID value 1 for class of service 2" newline bitfld.long 0x08 20.--22. "MSK_1_COS_2,Mask for Connection ID value 1 for class of service 2" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 12.--19. 1. "CONNID_2_COS_2,Connection ID value 2 for class of service 2" newline bitfld.long 0x08 10.--11. "MSK_2_COS_2,Mask for Connection ID value 2 for class of service 2" "0,1,2,3" newline hexmask.long.byte 0x08 2.--9. 1. "CONNID_3_COS_2,Connection ID value 3 for class of service 2" newline bitfld.long 0x08 0.--1. "MSK_3_COS_2,Mask for Connection ID value 3 for class of service 2" "0,1,2,3" group.long 0x110++0x0B line.long 0x00 "EMIF_ECC_CTRL_REG," bitfld.long 0x00 31. "REG_ECC_EN,Set 1 to enable ECC" "0,1" newline bitfld.long 0x00 30. "REG_ECC_ADDR_RGN_PROT,Setting this field to 1 and reg_ecc_en to a 1 will enable ECC calculation for accesses within the address ranges and disable ECC calculation for accesses outside the address ranges" "0,1" newline hexmask.long 0x00 2.--29. 1. "RESERVED," newline bitfld.long 0x00 1. "REG_ECC_ADDR_RGN_2_EN,Set 1 to enable ECC address range 2" "0,1" newline bitfld.long 0x00 0. "REG_ECC_ADDR_RGN_1_EN,Set 1 to enable ECC address range 1" "0,1" line.long 0x04 "EMIF_ECC_ADDRESS_RANGE_1," hexmask.long.word 0x04 16.--31. 1. "REG_ECC_END_ADDR_1,End address[31:16] for ECC address range 1" newline hexmask.long.word 0x04 0.--15. 1. "REG_ECC_STRT_ADDR_1,Start address[31:16] for ECC address range 1" line.long 0x08 "EMIF_ECC_ADDRESS_RANGE_2," hexmask.long.word 0x08 16.--31. 1. "REG_ECC_END_ADDR_2,End address[31:16] for ECC address range 2" newline hexmask.long.word 0x08 0.--15. 1. "REG_ECC_STRT_ADDR_2,Start address[31:16] for ECC address range 2" group.long 0x120++0x07 line.long 0x00 "EMIF_READ_WRITE_EXECUTION_THRESHOLD," bitfld.long 0x00 31. "MFLAG_OVERRIDE,Mflag override" "MFLAG_OVERRIDE_0,MFLAG_OVERRIDE_1" newline hexmask.long.tbyte 0x00 13.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 8.--12. "WR_THRSH,Write Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 0.--4. "RD_THRSH,Read threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EMIF_COS_CONFIG,Priority Raise Counter Register" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x04 16.--23. 1. "COS_COUNT_1,Priority Raise Counter for class of service 1" newline hexmask.long.byte 0x04 8.--15. 1. "COS_COUNT_2,Priority Raise Counter for class of service 2" newline hexmask.long.byte 0x04 0.--7. 1. "PR_OLD_COUNT,Priority Raise Old Counter" group.long 0x130++0x2B line.long 0x00 "EMIF_1B_ECC_ERR_CNT," line.long 0x04 "EMIF_1B_ECC_ERR_THRSH," hexmask.long.byte 0x04 24.--31. 1. "REG_1B_ECC_ERR_THRSH,1-bit ECC error threshold" newline hexmask.long.byte 0x04 16.--23. 1. "RESERVED," newline hexmask.long.word 0x04 0.--15. 1. "REG_1B_ECC_ERR_WIN,1-bit ECC error window in number of refresh periods" line.long 0x08 "EMIF_1B_ECC_ERR_DIST_1," line.long 0x0C "EMIF_1B_ECC_ERR_ADDR_LOG," line.long 0x10 "EMIF_2B_ECC_ERR_ADDR_LOG," line.long 0x14 "EMIF_PHY_STATUS_1," bitfld.long 0x14 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.tbyte 0x14 12.--29. 1. "PHY_REG_PHY_CTRL_DLL_SLAVE_VALUE,DLL Slave Value" newline bitfld.long 0x14 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 4.--8. "PHY_REG_STATUS_DLL_LOCK,Lock Status for Data DLLs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 0.--1. "PHY_REG_PHY_CTRL_DLL_LOCK,Lock Status for Command DLLs" "0,1,2,3" line.long 0x18 "EMIF_PHY_STATUS_2," line.long 0x1C "EMIF_PHY_STATUS_3," bitfld.long 0x1C 31. "RESERVED," "0,1" newline hexmask.long.word 0x1C 16.--30. 1. "PHY_REG_RDFIFO_RDPTR,Read FIFO Read Pointer" newline bitfld.long 0x1C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x1C 0.--12. 1. "PHY_REG_STATUS_DLL_SLAVE_VALUE_HI,Bits 44:32 of Phy_reg_status_dll_slave_value" line.long 0x20 "EMIF_PHY_STATUS_4," bitfld.long 0x20 31. "RESERVED," "0,1" newline hexmask.long.word 0x20 16.--30. 1. "PHY_REG_GATELVL_FSM,Gate Leveling FSM" newline bitfld.long 0x20 15. "RESERVED," "0,1" newline hexmask.long.word 0x20 0.--14. 1. "PHY_REG_RDFIFO_WRPTR,Read FIFO Write Pointer" line.long 0x24 "EMIF_PHY_STATUS_5," hexmask.long.word 0x24 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x24 0.--19. 1. "PHY_REG_RD_LEVEL_FSM,Read Leveling FSM" line.long 0x28 "EMIF_PHY_STATUS_6," hexmask.long.tbyte 0x28 15.--31. 1. "RESERVED," newline hexmask.long.word 0x28 0.--14. 1. "PHY_REG_WR_LEVEL_FSM,Write Leveling FSM" rgroup.long 0x164++0x03 line.long 0x00 "EMIF_PHY_STATUS_9," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_RDLVL_DQS_RATIO5,Read Leveling DQS ratio5" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_RDLVL_DQS_RATIO4,Read Leveling DQS ratio4" rgroup.long 0x17C++0x03 line.long 0x00 "EMIF_PHY_STATUS_15," bitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO7,Read leveling FIFO Wrie Enable Ratio7" newline bitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO6,Read leveling FIFO Wrie Enable Ratio6" rgroup.long 0x1AC++0x07 line.long 0x00 "EMIF_PHY_STATUS_27," bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 28.--29. "PHY_REG_PHY_CONTROL_MDLL_UNLOCK_STICKY,Phy control MDLL unlock sticky" "0,1,2,3" newline bitfld.long 0x00 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--24. "PHY_REG_STATUS_MDLL_UNLOCK_STICKY,Phy data MDLL unlock sticky" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 0.--19. 1. "PHY_REG_RDC_FIFO_RST_ERR_CNT,RDC FIFO reset error count" line.long 0x04 "EMIF_PHY_STATUS_28," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 24.--28. "PHY_REG_GATELVL_INC_FAIL,Gate leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "PHY_REG_WRLVL_INC_FAIL,Write leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 8.--12. "PHY_REG_RDLVL_INC_FAIL,Read leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--4. "PHY_REG_FIFO_WE_IN_MIASALIGNED_STICKY,FIFO write enable in misaligned sticky" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x200++0x11F line.long 0x00 "EMIF_EXT_PHY_CONTROL_1,Control DLL Slave Ratio Register" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 20.--29. 1. "PHY_REG_CTRL_SLAVE_RATIO2,The user programmable ratio value for address/command launch timing in PHY control macro 2" newline hexmask.long.word 0x00 10.--19. 1. "PHY_REG_CTRL_SLAVE_RATIO1,The user programmable ratio value for address/command launch timing in PHY control macro 1" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_CTRL_SLAVE_RATIO0,The user programmable ratio value for address/command launch timing in PHY control macro 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_1_SHADOW,Control DLL Slave Ratio Shadow Register" rbitfld.long 0x04 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 20.--29. 1. "PHY_REG_CTRL_SLAVE_RATIO2,The user programmable ratio value for address/command launch timing in PHY control macro 2" newline hexmask.long.word 0x04 10.--19. 1. "PHY_REG_CTRL_SLAVE_RATIO1,The user programmable ratio value for address/command launch timing in PHY control macro 1" newline hexmask.long.word 0x04 0.--9. 1. "PHY_REG_CTRL_SLAVE_RATIO0,The user programmable ratio value for address/command launch timing in PHY control macro 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_2,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO1,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x08 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO0,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_2_SHADOW,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x0C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO1,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x0C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO0,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_3,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x10 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO3,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x10 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO2,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_3_SHADOW,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO3,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO2,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_4,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x18 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x18 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO5,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x18 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x18 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO4,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_4_SHADOW,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x1C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x1C 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO5,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x1C 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO4,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x20 "EMIF_EXT_PHY_CONTROL_5,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x20 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO7,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x20 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO6,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0x24 "EMIF_EXT_PHY_CONTROL_5_SHADOW,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x24 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO7,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x24 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO6,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0x28 "EMIF_EXT_PHY_CONTROL_6,ECC Data macro. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x28 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x28 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO9,The user programmable ratio value for the FIFO write enable slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x28 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x28 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO8,The user programmable ratio value for the FIFO write enable slave DLL in PHY ECC data macro chip select 0" line.long 0x2C "EMIF_EXT_PHY_CONTROL_6_SHADOW,ECC Data macro. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x2C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO9,The user programmable ratio value for the FIFO write enable slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x2C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO8,The user programmable ratio value for the FIFO write enable slave DLL in PHY ECC data macro chip select 0" line.long 0x30 "EMIF_EXT_PHY_CONTROL_7,Data macro 0. read DQS DLL Slave Ratio Register" rbitfld.long 0x30 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x30 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO1,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x30 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x30 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO0,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x34 "EMIF_EXT_PHY_CONTROL_7_SHADOW,Data macro 0. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x34 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO1,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x34 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x34 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO0,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x38 "EMIF_EXT_PHY_CONTROL_8,Data macro 1. read DQS DLL Slave Ratio Register" rbitfld.long 0x38 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x38 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO3,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x38 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x38 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO2,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x3C "EMIF_EXT_PHY_CONTROL_8_SHADOW,Data macro 1. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x3C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x3C 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO3,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x3C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x3C 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO2,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x40 "EMIF_EXT_PHY_CONTROL_9,Data macro 2. read DQS DLL Slave Ratio Register" rbitfld.long 0x40 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x40 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO5,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x40 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x40 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO4,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x44 "EMIF_EXT_PHY_CONTROL_9_SHADOW,Data macro 2. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x44 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x44 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO5,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x44 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x44 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO4,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x48 "EMIF_EXT_PHY_CONTROL_10,Data macro 3. read DQS DLL Slave Ratio Register" rbitfld.long 0x48 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x48 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO7,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x48 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x48 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO6,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x4C "EMIF_EXT_PHY_CONTROL_10_SHADOW,Data macro 3. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x4C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x4C 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO7,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x4C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x4C 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO6,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x50 "EMIF_EXT_PHY_CONTROL_11,ECC Data macro. read DQS DLL Slave Ratio Register" rbitfld.long 0x50 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x50 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO9,The user programmable ratio value for the read DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x50 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x50 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO8,The user programmable ratio value for the read DQS slave DLL in PHY ECC data macro chip select 0" line.long 0x54 "EMIF_EXT_PHY_CONTROL_11_SHADOW,ECC Data macro. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x54 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x54 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO9,The user programmable ratio value for the read DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x54 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x54 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO8,The user programmable ratio value for the read DQS slave DLL in PHY ECC data macro chip select 0" line.long 0x58 "EMIF_EXT_PHY_CONTROL_12,Data macro 0. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x58 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x58 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO1,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x58 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x58 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO0,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 0" line.long 0x5C "EMIF_EXT_PHY_CONTROL_12_SHADOW,Data macro 0. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x5C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x5C 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO1,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x5C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x5C 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO0,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 0" line.long 0x60 "EMIF_EXT_PHY_CONTROL_13,Data macro 1. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x60 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x60 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO3,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x60 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x60 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO2,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 0" line.long 0x64 "EMIF_EXT_PHY_CONTROL_13_SHADOW,Data macro 1. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x64 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x64 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO3,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x64 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x64 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO2,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 0" line.long 0x68 "EMIF_EXT_PHY_CONTROL_14,Data macro 2. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x68 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x68 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO5,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x68 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x68 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO4,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 0" line.long 0x6C "EMIF_EXT_PHY_CONTROL_14_SHADOW,Data macro 2. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x6C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x6C 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO5,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x6C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x6C 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO4,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 0" line.long 0x70 "EMIF_EXT_PHY_CONTROL_15,Data macro 3. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x70 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x70 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO7,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x70 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x70 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO6,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 0" line.long 0x74 "EMIF_EXT_PHY_CONTROL_15_SHADOW,Data macro 3. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x74 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x74 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO7,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x74 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x74 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO6,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 0" line.long 0x78 "EMIF_EXT_PHY_CONTROL_16,ECC Data macro. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x78 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x78 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO9,The user programmable ratio value for the write DQ slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x78 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x78 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO8,The user programmable ratio value for the write DQ slave DLL in PHY ECC data macro chip select 0" line.long 0x7C "EMIF_EXT_PHY_CONTROL_16_SHADOW,ECC Data macro. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x7C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x7C 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO9,The user programmable ratio value for the write DQ slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x7C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x7C 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO8,The user programmable ratio value for the write DQ slave DLL in PHY ECC data macro chip select 0" line.long 0x80 "EMIF_EXT_PHY_CONTROL_17,Data macro 0. write DQS DLL Slave Ratio Register" rbitfld.long 0x80 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x80 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO1,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x80 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x80 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO0,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x84 "EMIF_EXT_PHY_CONTROL_17_SHADOW,Data macro 0. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x84 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x84 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO1,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x84 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x84 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO0,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x88 "EMIF_EXT_PHY_CONTROL_18,Data macro 1. write DQS DLL Slave Ratio Register" rbitfld.long 0x88 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x88 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO3,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x88 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x88 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO2,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x8C "EMIF_EXT_PHY_CONTROL_18_SHADOW,Data macro 1. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x8C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x8C 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO3,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x8C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x8C 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO2,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x90 "EMIF_EXT_PHY_CONTROL_19,Data macro 2. write DQS DLL Slave Ratio Register" rbitfld.long 0x90 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x90 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO5,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x90 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x90 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO4,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x94 "EMIF_EXT_PHY_CONTROL_19_SHADOW,Data macro 2. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x94 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x94 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO5,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x94 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x94 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO4,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x98 "EMIF_EXT_PHY_CONTROL_20,Data macro 3. write DQS DLL Slave Ratio Register" rbitfld.long 0x98 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x98 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO7,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x98 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x98 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO6,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x9C "EMIF_EXT_PHY_CONTROL_20_SHADOW,Data macro 3. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x9C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x9C 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO7,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x9C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x9C 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO6,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0xA0 "EMIF_EXT_PHY_CONTROL_21,ECC Data macro. write DQS DLL Slave Ratio Register" rbitfld.long 0xA0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xA0 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO9,The user programmable ratio value for the write DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0xA0 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xA0 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO8,The user programmable ratio value for the write DQS slave DLL in PHY ECC data macro chip select 0" line.long 0xA4 "EMIF_EXT_PHY_CONTROL_21_SHADOW,ECC Data macro. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0xA4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xA4 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO9,The user programmable ratio value for the write DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0xA4 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xA4 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO8,The user programmable ratio value for the write DQS slave DLL in PHY ECC data macro chip select 0" line.long 0xA8 "EMIF_EXT_PHY_CONTROL_22," hexmask.long.byte 0xA8 25.--31. 1. "RESERVED," newline hexmask.long.word 0xA8 16.--24. 1. "PHY_REG_FIFO_WE_IN_DELAY,The user programmable FIFO write enable delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0xA8 9.--15. 1. "RESERVED," newline hexmask.long.word 0xA8 0.--8. 1. "PHY_REG_CTRL_SLAVE_DELAY,The user programmable command delay value used when DLL_OVERRIDE = 1" line.long 0xAC "EMIF_EXT_PHY_CONTROL_22_SHADOW," hexmask.long.byte 0xAC 25.--31. 1. "RESERVED," newline hexmask.long.word 0xAC 16.--24. 1. "PHY_REG_FIFO_WE_IN_DELAY,The user programmable FIFO write enable delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0xAC 9.--15. 1. "RESERVED," newline hexmask.long.word 0xAC 0.--8. 1. "PHY_REG_CTRL_SLAVE_DELAY,The user programmable command delay value used when DLL_OVERRIDE = 1" line.long 0xB0 "EMIF_EXT_PHY_CONTROL_23," hexmask.long.byte 0xB0 25.--31. 1. "RESERVED," newline hexmask.long.word 0xB0 16.--24. 1. "PHY_REG_WR_DQS_SLAVE_DELAY,The user programmable write DQS delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0xB0 9.--15. 1. "RESERVED," newline hexmask.long.word 0xB0 0.--8. 1. "PHY_REG_RD_DQS_SLAVE_DELAY,The user programmable read DQS delay value used when DLL_OVERRIDE = 1" line.long 0xB4 "EMIF_EXT_PHY_CONTROL_23_SHADOW," hexmask.long.byte 0xB4 25.--31. 1. "RESERVED," newline hexmask.long.word 0xB4 16.--24. 1. "PHY_REG_WR_DQS_SLAVE_DELAY,The user programmable write DQS delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0xB4 9.--15. 1. "RESERVED," newline hexmask.long.word 0xB4 0.--8. 1. "PHY_REG_RD_DQS_SLAVE_DELAY,The user programmable read DQS delay value used when DLL_OVERRIDE = 1" line.long 0xB8 "EMIF_EXT_PHY_CONTROL_24," rbitfld.long 0xB8 31. "RESERVED," "0,1" newline hexmask.long.byte 0xB8 24.--30. 1. "REG_PHY_DQ_OFFSET_HI,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xB8 17.--23. 1. "RESERVED," newline bitfld.long 0xB8 16. "REG_PHY_GATELVL_INIT_MODE,The user programmable init ratio selection mode" "0,1" newline rbitfld.long 0xB8 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0xB8 12. "REG_PHY_USE_RANK0_DELAYS,Delay selection" "0,1" newline rbitfld.long 0xB8 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--8. 1. "REG_PHY_WR_DATA_SLAVE_DELAY,The user programmable write DQ delay value used when DLL_OVERRIDE = 1" line.long 0xBC "EMIF_EXT_PHY_CONTROL_24_SHADOW," rbitfld.long 0xBC 31. "RESERVED," "0,1" newline hexmask.long.byte 0xBC 24.--30. 1. "REG_PHY_DQ_OFFSET_HI,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xBC 17.--23. 1. "RESERVED," newline bitfld.long 0xBC 16. "REG_PHY_GATELVL_INIT_MODE,The user programmable init ratio selection mode" "0,1" newline rbitfld.long 0xBC 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0xBC 12. "REG_PHY_USE_RANK0_DELAYS,Delay selection" "0,1" newline rbitfld.long 0xBC 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xBC 0.--8. 1. "REG_PHY_WR_DATA_SLAVE_DELAY,The user programmable write DQ delay value used when DLL_OVERRIDE = 1" line.long 0xC0 "EMIF_EXT_PHY_CONTROL_25,DQ DLL Slave Ratio Offset Register" rbitfld.long 0xC0 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0xC0 21.--27. 1. "REG_PHY_DQ_OFFSET3,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC0 14.--20. 1. "REG_PHY_DQ_OFFSET2,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC0 7.--13. 1. "REG_PHY_DQ_OFFSET1,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC0 0.--6. 1. "REG_PHY_DQ_OFFSET0,The user programmable offset ratio value from write DQS to write DQ" line.long 0xC4 "EMIF_EXT_PHY_CONTROL_25_SHADOW,DQ DLL Slave Ratio Offset Shadow Register" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0xC4 21.--27. 1. "REG_PHY_DQ_OFFSET3,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC4 14.--20. 1. "REG_PHY_DQ_OFFSET2,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC4 7.--13. 1. "REG_PHY_DQ_OFFSET1,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0xC4 0.--6. 1. "REG_PHY_DQ_OFFSET0,The user programmable offset ratio value from write DQS to write DQ" line.long 0xC8 "EMIF_EXT_PHY_CONTROL_26,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0xC8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xC8 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO1,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0xC8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xC8 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO0,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0xCC "EMIF_EXT_PHY_CONTROL_26_SHADOW,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0xCC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xCC 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO1,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0xCC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xCC 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO0,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0xD0 "EMIF_EXT_PHY_CONTROL_27,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0xD0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD0 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO3,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0xD0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD0 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO2,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0xD4 "EMIF_EXT_PHY_CONTROL_27_SHADOW,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0xD4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD4 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO3,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0xD4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD4 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO2,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0xD8 "EMIF_EXT_PHY_CONTROL_28,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0xD8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD8 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO5,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0xD8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xD8 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO4,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0xDC "EMIF_EXT_PHY_CONTROL_28_SHADOW,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0xDC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xDC 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO5,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0xDC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xDC 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO4,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0xE0 "EMIF_EXT_PHY_CONTROL_29,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0xE0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE0 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO7,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0xE0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE0 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO6,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0xE4 "EMIF_EXT_PHY_CONTROL_29_SHADOW,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0xE4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE4 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO7,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0xE4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE4 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO6,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0xE8 "EMIF_EXT_PHY_CONTROL_30,ECC Data macro. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0xE8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE8 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO9,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0xE8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xE8 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO8,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY ECC data macro chip select 0" line.long 0xEC "EMIF_EXT_PHY_CONTROL_30_SHADOW,ECC Data macro. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0xEC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xEC 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO9,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0xEC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xEC 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO8,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY ECC data macro chip select 0" line.long 0xF0 "EMIF_EXT_PHY_CONTROL_31,Data macro 0. write DQS DLL Slave Init Ratio Register" rbitfld.long 0xF0 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF0 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO1,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0xF0 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF0 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO0,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0xF4 "EMIF_EXT_PHY_CONTROL_31_SHADOW,Data macro 0. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0xF4 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF4 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO1,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0xF4 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF4 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO0,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0xF8 "EMIF_EXT_PHY_CONTROL_32,Data macro 1. write DQS DLL Slave Init Ratio Register" rbitfld.long 0xF8 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF8 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO3,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0xF8 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xF8 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO2,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0xFC "EMIF_EXT_PHY_CONTROL_32_SHADOW,Data macro 1. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0xFC 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xFC 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO3,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0xFC 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0xFC 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO2,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x100 "EMIF_EXT_PHY_CONTROL_33,Data macro 2. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x100 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x100 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO5,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x100 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x100 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO4,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x104 "EMIF_EXT_PHY_CONTROL_33_SHADOW,Data macro 2. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x104 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x104 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO5,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x104 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x104 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO4,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x108 "EMIF_EXT_PHY_CONTROL_34,Data macro 3. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x108 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x108 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO7,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x108 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x108 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO6,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x10C "EMIF_EXT_PHY_CONTROL_34_SHADOW,Data macro 3. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x10C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10C 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO7,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x10C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10C 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO6,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x110 "EMIF_EXT_PHY_CONTROL_35,ECC Data macro. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x110 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x110 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO9,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x110 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x110 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO8,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY ECC data macro chip select 0" line.long 0x114 "EMIF_EXT_PHY_CONTROL_35_SHADOW,ECC Data macro. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x114 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x114 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO9,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY ECC data macro chip select 1" newline rbitfld.long 0x114 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x114 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO8,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY ECC data macro chip select 0" line.long 0x118 "EMIF_EXT_PHY_CONTROL_36," hexmask.long.tbyte 0x118 11.--31. 1. "RESERVED," newline bitfld.long 0x118 10. "REG_PHY_RDC_FIFO_RST_ERR_CNT_CLR,Clear/reset the phy_reg_rdc_fifo_rst_err_cnt phy_reg_rdfifo_wrptr and phy_reg_rdfifo_rdptr status flags" "0,1" newline bitfld.long 0x118 9. "REG_PHY_MDLL_UNLOCK_CLR,Clears the phy_reg_status_mdll_unlock_sticky flag" "0,1" newline bitfld.long 0x118 8. "REG_PHY_FIFO_WE_IN_MISALIGNED_CLR,Clears the phy_reg_fifo_we_in_misaligned_sticky status flag" "0,1" newline bitfld.long 0x118 4.--7. "REG_PHY_WRLVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the write leveling finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x118 0.--3. "REG_PHY_GATELVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the gate training finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x11C "EMIF_EXT_PHY_CONTROL_36_SHADOW," hexmask.long.tbyte 0x11C 11.--31. 1. "RESERVED," newline bitfld.long 0x11C 10. "REG_PHY_RDC_FIFO_RST_ERR_CNT_CLR,Clear/reset the phy_reg_rdc_fifo_rst_err_cnt phy_reg_rdfifo_wrptr and phy_reg_rdfifo_rdptr status flags" "0,1" newline bitfld.long 0x11C 9. "REG_PHY_MDLL_UNLOCK_CLR,Clears the phy_reg_status_mdll_unlock_sticky flag" "0,1" newline bitfld.long 0x11C 8. "REG_PHY_FIFO_WE_IN_MISALIGNED_CLR,Clears the phy_reg_fifo_we_in_misaligned_sticky status flag" "0,1" newline bitfld.long 0x11C 4.--7. "REG_PHY_WRLVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the write leveling finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x11C 0.--3. "REG_PHY_GATELVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the gate training finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 5. (list 22. 23. 24. 25. 26. )(list 0x00 0x04 0x08 0x0C 0x10 ) rgroup.long ($2+0x198)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WRLVL_DQS_RATIO1,Write leveling DQS ratio 1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WRLVL_DQS_RATIO0,Write leveling DQS ratio 0" repeat.end repeat 5. (list 17. 18. 19. 20. 21. )(list 0x00 0x04 0x08 0x0C 0x10 ) rgroup.long ($2+0x184)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WRLVL_DQ_RATIO1,Write leveling DQ ratio1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WRLVL_DQ_RATIO0,Write leveling DQ ratio0" repeat.end repeat 4. (list 12. 13. 14. 16. )(list 0x00 0x04 0x08 0x10 ) rgroup.long ($2+0x170)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO1,Read leveling FIFO Write Enable Ratio1" newline bitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO0,Read leveling FIFO Write Enable Ratio0" repeat.end repeat 4. (list 7. 8. 10. 11. )(list 0x00 0x04 0x0C 0x10 ) rgroup.long ($2+0x15C)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_RDLVL_DQS_RATIO1,Read leveling DQS ratio1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_RDLVL_DQS_RATIO0,Read leveling DQS ratio0" repeat.end tree.end tree "EMIF2" base ad:0x4D000000 rgroup.long 0x00++0x3F line.long 0x00 "EMIF_REVISION,Revision number register" line.long 0x04 "EMIF_STATUS,SDRAM Status Register (STATUS)" bitfld.long 0x04 31. "BE,Big endian mode select for 8 and 16-bit devices set to 1 for big endian or 0 for little endian operation" "0,1" newline bitfld.long 0x04 30. "DUAL_CLK_MODE,Dual Clock mode" "0,1" newline bitfld.long 0x04 29. "FAST_INIT,Fast Init" "0,1" newline hexmask.long.tbyte 0x04 7.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 6. "RDLVLGATETO,Read DQS Gate Training Timeout" "0,1" newline bitfld.long 0x04 5. "RDLVLTO,Read Data Eye Training Timeout" "0,1" newline bitfld.long 0x04 4. "WRLVLTO,Write Leveling Timeout" "0,1" newline bitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 2. "PHY_DLL_READY,DDR PHY Ready" "0,1" newline bitfld.long 0x04 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x08 "EMIF_SDRAM_CONFIG,SDRAM Config Register" bitfld.long 0x08 29.--31. "SDRAM_TYPE,SDRAM Type selection" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 27.--28. "IBANK_POS,Internal bank position" "0,1,2,3" newline bitfld.long 0x08 24.--26. "DDR_TERM,DDR3 termination resistor value" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 23. "DDR2_DDQS,DDR2 differential DDQS enable" "0,1" newline bitfld.long 0x08 21.--22. "DYN_ODT,DDR3 Dynamic ODT" "0,1,2,3" newline bitfld.long 0x08 20. "DDR_DISABLE_DLL,Disable DLL select" "0,1" newline bitfld.long 0x08 18.--19. "SDRAM_DRIVE,SDRAM drive strength.For DDR3 set to 0 for RZQ/6 and set to 1 for RZQ/7" "0,1,2,3" newline bitfld.long 0x08 16.--17. "CWL,DDR3 CAS Write latency" "0,1,2,3" newline bitfld.long 0x08 14.--15. "NARROW_MODE,SDRAM data bus width" "0,1,2,3" newline bitfld.long 0x08 10.--13. "CL,CAS Latency (referred to as read latency (RL) in some SDRAM specs)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 7.--9. "ROWSIZE,Row Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4.--6. "IBANK,Internal Bank setup" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PAGESIZE,Page Size" "0,1,2,3,4,5,6,7" line.long 0x0C "EMIF_SDRAM_CONFIG_2,SDRAM Config Register 2 CAUTION: This register is loaded with values by control module at device reset" rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 27. "EBANK_POS,External bank position" "0,1" newline hexmask.long 0x0C 0.--26. 1. "RESERVED," line.long 0x10 "EMIF_SDRAM_REFRESH_CONTROL,SDRAM Refresh Control Register" bitfld.long 0x10 31. "INITREF_DIS,Initialization and Refresh disable" "0,1" newline rbitfld.long 0x10 30. "RESERVED," "0,1" newline bitfld.long 0x10 29. "SRT,DDR3 Self Refresh temperature range" "0,1" newline bitfld.long 0x10 28. "ASR,DDR3 Auto Self Refresh enable" "0,1" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "PASR,Partial Array Self Refresh" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 16.--23. 1. "RESERVED," newline hexmask.long.word 0x10 0.--15. 1. "REFRESH_RATE,Refresh Rate" line.long 0x14 "EMIF_SDRAM_REFRESH_CONTROL_SHADOW,SDRAM Refresh Control Shadow Register" hexmask.long.word 0x14 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x14 0.--15. 1. "REFRESH_RATE_SHDW,Shadow field for REFRESH_RATE" line.long 0x18 "EMIF_SDRAM_TIMING_1,SDRAM Timing 1 Register" bitfld.long 0x18 29.--31. "T_RTW,Minimum number of DDR clock cycles between Read to Write data phases minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 25.--28. "T_RP,Minimum number of DDR clock cycles from Precharge to Activate or Refresh minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 21.--24. "T_RCD,Minimum number of DDR clock cycles from Activate to Read or Write minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 17.--20. "T_WR,Minimum number of DDR clock cycles from last Write transfer to Precharge minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x18 12.--16. "T_RAS,Minimum number of DDR clock cycles from Activate to Precharge minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 6.--11. "T_RC,Minimum number of DDR clock cycles from Activate to Activate minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x18 3.--5. "T_RRD,Minimum number of DDR clock cycles from Activate to Activate for a different bank minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 0.--2. "T_WTR,Minimum number of DDR clock cycles from last Write to Read minus one" "0,1,2,3,4,5,6,7" line.long 0x1C "EMIF_SDRAM_TIMING_1_SHADOW,SDRAM Timing 1 Shadow Register" bitfld.long 0x1C 29.--31. "T_RTW_SHDW,Shadow field for T_RTW" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 25.--28. "T_RP_SHDW,Shadow field for T_RP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 21.--24. "T_RCD_SHDW,Shadow field for T_RCD" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 17.--20. "T_WR_SHDW,Shadow field for T_WR" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x1C 12.--16. "T_RAS_SHDW,Shadow field for T_RAS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 6.--11. "T_RC_SHDW,Shadow field for T_RC" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x1C 3.--5. "T_RRD_SHDW,Shadow field for T_RRD" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0.--2. "T_WTR_SHDW,Shadow field for T_WTR" "0,1,2,3,4,5,6,7" line.long 0x20 "EMIF_SDRAM_TIMING_2,SDRAM Timing 2 Register" rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1" newline bitfld.long 0x20 28.--30. "T_XP,Minimum number of DDR clock cycles from power-down exit to any command other than a read command minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 25.--27. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x20 16.--24. 1. "T_XSNR,Minimum number of DDR clock cycles from Self-Refresh exit to any command other than a Read command minus one" newline hexmask.long.word 0x20 6.--15. 1. "T_XSRD,Minimum number of DDR clock cycles from Self-Refresh exit to a Read command minus one" newline bitfld.long 0x20 3.--5. "T_RTP,Minimum number of DDR clock cycles for the last read command to a Precharge command minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 0.--2. "T_CKE,Minimum number of DDR clock cycles between CKE pin changes minus one" "0,1,2,3,4,5,6,7" line.long 0x24 "EMIF_SDRAM_TIMING_2_SHADOW,SDRAM Timing 2 Shadow Register" rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1" newline bitfld.long 0x24 28.--30. "T_XP_SHDW,Shadow field for T_XP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 25.--27. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x24 16.--24. 1. "T_XSNR_SHDW,Shadow field for T_XSNR" newline hexmask.long.word 0x24 6.--15. 1. "T_XSRD_SHDW,Shadow field for T_XSRD" newline bitfld.long 0x24 3.--5. "T_RTP_SHDW,Shadow field for T_RTP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0.--2. "T_CKE_SHDW,Shadow field for T_CKE" "0,1,2,3,4,5,6,7" line.long 0x28 "EMIF_SDRAM_TIMING_3,SDRAM Timing 3 Register" bitfld.long 0x28 28.--31. "T_PDLL_UL,Minimum number of DDR clock cycles for PHY DLL to unlock" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x28 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 21.--23. "T_CKESR,Minimum number of DDR clock cycles for which SDRAM must remain in Self Refresh minus one" "0,1,2,3,4,5,6,7" newline bitfld.long 0x28 15.--20. "ZQ_ZQCS,Number of DDR clock cycles for a ZQCS command minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x28 13.--14. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x28 4.--12. 1. "T_RFC,Minimum number of DDR clock cycles from Refresh or Load Mode to Refresh or Activate minus one" newline bitfld.long 0x28 0.--3. "T_RAS_MAX,Maximum number of REFRESH_RATE intervals from Activate to Precharge command" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "EMIF_SDRAM_TIMING_3_SHADOW,SDRAM Timing 3 Shadow Register" bitfld.long 0x2C 28.--31. "T_PDLL_UL_SHDW,Shadow field for T_PDLL_UL" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x2C 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x2C 21.--23. "T_CKESR_SHDW,Shadow field for T_CKESR" "0,1,2,3,4,5,6,7" newline bitfld.long 0x2C 15.--20. "ZQ_ZQCS_SHDW,Shadow field for ZQ_ZQCS" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x2C 13.--14. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x2C 4.--12. 1. "T_RFC_SHDW,Shadow field for T_RFC" newline bitfld.long 0x2C 0.--3. "T_RAS_MAX_SHDW,Shadow field for T_RAS_MAX" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x30 "EMIF_LPDDR2_NVM_TIMING,NOTE: This register is not supported" line.long 0x34 "EMIF_LPDDR2_NVM_TIMING_SHADOW,NOTE: This register is not supported" line.long 0x38 "EMIF_POWER_MANAGEMENT_CONTROL,Power Management Control Register" hexmask.long.word 0x38 16.--31. 1. "RESERVED," newline bitfld.long 0x38 12.--15. "PD_TIM,Power Management timer for Power-Down" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x38 11. "RESERVED," "0,1" newline bitfld.long 0x38 8.--10. "LP_MODE,Automatic Power Management enable" "Disable automatic power management,Reserved,Self Refresh mode,Disable automatic power management,Power-Down mode All other values disable..,?..." newline bitfld.long 0x38 4.--7. "SR_TIM,Power Management timer for Self Refresh" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x38 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x3C "EMIF_POWER_MANAGEMENT_CONTROL_SHADOW,Power Management Control Shadow Register" hexmask.long.word 0x3C 16.--31. 1. "RESERVED," newline bitfld.long 0x3C 12.--15. "PD_TIM_SHDW,Shadow field for PD_TIM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x3C 8.--11. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 4.--7. "SR_TIM_SHDW,Shadow field for SR_TIM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x3C 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x54++0x0F line.long 0x00 "EMIF_OCP_CONFIG,OCP Config Register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--27. "SYS_THRESH_MAX,System OCP Threshold Maximum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 20.--23. "MPU_THRESH_MAX,MPU Threshold Maximum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x00 0.--19. 1. "RESERVED," line.long 0x04 "EMIF_OCP_CONFIG_VALUE_1,OCP Config Value 1 Register" bitfld.long 0x04 30.--31. "SYS_BUS_WIDTH,System OCP data bus width" "32-bit wide,64-bit wide,128-bit wide,Reserved" newline hexmask.long.word 0x04 16.--29. 1. "RESERVED," newline hexmask.long.byte 0x04 8.--15. 1. "WR_FIFO_DEPTH,Write Data FIFO depth" newline hexmask.long.byte 0x04 0.--7. 1. "CMD_FIFO_DEPTH,Command FIFO depth" line.long 0x08 "EMIF_OCP_CONFIG_VALUE_2,OCP Config Value 2 Register" hexmask.long.byte 0x08 24.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x08 16.--23. 1. "RREG_FIFO_DEPTH,Register Read Data FIFO depth" newline hexmask.long.byte 0x08 8.--15. 1. "RSD_FIFO_DEPTH,SDRAM Read Data FIFO depth" newline hexmask.long.byte 0x08 0.--7. 1. "RCMD_FIFO_DEPTH,Read Command FIFO depth" line.long 0x0C "EMIF_IODFT_TLGC," hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x0C 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 14. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 13. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 12. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x0C 11. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 10. "RESET_PHY,Reset the DDR PHY" "0,1" newline rbitfld.long 0x0C 9. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 8. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x0C 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 0. "RESERVED,Reserved" "0,1" rgroup.long 0x80++0x27 line.long 0x00 "EMIF_PERFORMANCE_COUNTER_1,Performance Counter 1 Register" line.long 0x04 "EMIF_PERFORMANCE_COUNTER_2,Performance Counter 2 Register" line.long 0x08 "EMIF_PERFORMANCE_COUNTER_CONFIG,Performance Counter Config Register" bitfld.long 0x08 31. "CNTR2_MCONNID_EN,MConnID filter enable forEMIF_PERFORMANCE_COUNTER_2 register" "0,1" newline bitfld.long 0x08 30. "CNTR2_REGION_EN,Chip Select filter enable forEMIF_PERFORMANCE_COUNTER_2 register" "0,1" newline hexmask.long.word 0x08 20.--29. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 16.--19. "CNTR2_CFG,Filter configuration forEMIF_PERFORMANCE_COUNTER_2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 15. "CNTR1_MCONNID_EN,MConnID filter enable forEMIF_PERFORMANCE_COUNTER_1 register" "0,1" newline bitfld.long 0x08 14. "CNTR1_REGION_EN,Chip Select filter enable forEMIF_PERFORMANCE_COUNTER_1 register" "0,1" newline hexmask.long.word 0x08 4.--13. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 0.--3. "CNTR1_CFG,Filter configuration forEMIF_PERFORMANCE_COUNTER_1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "EMIF_PERFORMANCE_COUNTER_MASTER_REGION_SELECT,Performance Counter Master Region Select Register The values programmed into the MCONNIDx fields are those in the ConnID Values table in . Interconnect" hexmask.long.byte 0x0C 24.--31. 1. "MCONNID2,MConnID forEMIF_PERFORMANCE_COUNTER_2 register" newline rbitfld.long 0x0C 18.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 16.--17. "REGION_SEL2,MAddrSpace forEMIF_PERFORMANCE_COUNTER_2 register" "0,1,2,3" newline hexmask.long.byte 0x0C 8.--15. 1. "MCONNID1,MConnID forEMIF_PERFORMANCE_COUNTER_1 register" newline rbitfld.long 0x0C 2.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 0.--1. "REGION_SEL1,MAddrSpace forEMIF_PERFORMANCE_COUNTER_1 register" "0,1,2,3" line.long 0x10 "EMIF_PERFORMANCE_COUNTER_TIME,Performance Counter Time Register" line.long 0x14 "EMIF_MISC_REG," hexmask.long 0x14 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 0. "DLL_CALIB_OS,Phy_dll_calib one shot : Setting bit to 1 generates a phy_pll_calib pulse" "0,1" line.long 0x18 "EMIF_DLL_CALIB_CTRL,Control register to force idle window time to generate a phy_dll_calib that can be used for updating PHY DLLs during voltage ramps" hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline bitfld.long 0x18 16.--19. "ACK_WAIT,The ack_wait determines the required wait time after a phy_dll_calib is generated before another command can be sent" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x18 9.--15. 1. "RESERVED," newline hexmask.long.word 0x18 0.--8. 1. "DLL_CALIB_INTERVAL,This field determines the interval between phy_dll_calib generation" line.long 0x1C "EMIF_DLL_CALIB_CTRL_SHADOW,Read Idle Control Shadow Register" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," newline bitfld.long 0x1C 16.--19. "ACK_WAIT_SHDW,Shadow field for ACK_WAIT" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x1C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x1C 0.--8. 1. "DLL_CALIB_INTERVAL_SHDW,Shadow field for DLL_CALIB_INTERVAL" line.long 0x20 "EMIF_END_OF_INTERRUPT," hexmask.long 0x20 1.--31. 1. "RESERVED," newline bitfld.long 0x20 0. "EOI,Software End Of Interrupt (EOI) control" "0,1" line.long 0x24 "EMIF_SYSTEM_OCP_INTERRUPT_RAW_STATUS,System OCP Interrupt Raw Status Register" hexmask.long 0x24 6.--31. 1. "RESERVED," newline bitfld.long 0x24 5. "ONEBIT_ECC_ERR_SYS,Raw status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x24 4. "TWOBIT_ECC_ERR_SYS,Raw status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x24 3. "WR_ECC_ERR_SYS,Raw status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x24 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x24 0. "ERR_SYS,Raw status of system OCP interrupt for command or address error" "0,1" group.long 0xAC++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_STATUS,System OCP Interrupt Status Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "ERR_SYS,Enabled status of system OCP interrupt interrupt for command or address error" "0,1" group.long 0xB4++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_ENABLE_SET,System OCP Interrupt Enable Set Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of sysem ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "EN_ERR_SYS,Enable set for system OCP interrupt for command or address error" "0,1" group.long 0xBC++0x03 line.long 0x00 "EMIF_SYSTEM_OCP_INTERRUPT_ENABLE_CLEAR,System OCP Interrupt Enable Clear Register" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "ONEBIT_ECC_ERR_SYS,Enabled status of system ECC one bit error correction interrupt" "0,1" newline bitfld.long 0x00 4. "TWOBIT_ECC_ERR_SYS,Enabled status of system ECC two bit error detection interrupt" "0,1" newline bitfld.long 0x00 3. "WR_ECC_ERR_SYS,Enabled status of system ECC Error interrupt when a memory access is made to a non-quanta aligned location" "0,1" newline rbitfld.long 0x00 1.--2. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0. "EN_ERR_SYS,Enable clear for system OCP interrupt for command or address error" "0,1" group.long 0xC8++0x17 line.long 0x00 "EMIF_SDRAM_OUTPUT_IMPEDANCE_CALIBRATION_CONFIG,SDRAM Output Impedance Calibration Config Register" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 30. "ZQ_CS0EN,Writing a 1 enables ZQ calibration for CS0" "0,1" newline rbitfld.long 0x00 29. "RESERVED," "0,1" newline bitfld.long 0x00 28. "ZQ_SFEXITEN,Writing a 1 enables the issuing of ZQCL on Self-Refresh Active Power-Down and Precharge Power-Down exit" "0,1" newline hexmask.long.byte 0x00 20.--27. 1. "RESERVED," newline bitfld.long 0x00 18.--19. "ZQ_ZQINIT_MULT,Indicates the number of ZQCL durations that make up a ZQINIT duration minus one" "0,1,2,3" newline bitfld.long 0x00 16.--17. "ZQ_ZQCL_MULT,Indicates the number of ZQCS intervals that make up a ZQCL duration minus one" "0,1,2,3" newline hexmask.long.word 0x00 0.--15. 1. "ZQ_REFINTERVAL,Number of refresh periods between ZQCS commands" line.long 0x04 "EMIF_TEMP_ALERT_CONFIG,Temperature Alert Configuration Register.NOTE: This register is only applicable to LPDDR2 memories and cannot be used in this device" bitfld.long 0x04 31. "TA_CS1EN,Writing 1 enables temperature alert polling for CS1" "0,1" newline bitfld.long 0x04 30. "TA_CS0EN,Writing 1 enables temperature alert polling for CS0" "0,1" newline rbitfld.long 0x04 29. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 28. "TA_SFEXITEN,Temperature Alert Poll on Self-Refresh Active Power-Down and Precharge Power-Down exit enable" "0,1" newline bitfld.long 0x04 26.--27. "TA_DEVWDT,This field indicates how wide a physical device is" "8-bit wide,16-bit wide,32-bit wide,?..." newline bitfld.long 0x04 24.--25. "TA_DEVCNT,This field indicates which external byte lanes contain a device for temperature monitoring" "one device,two devices,four devices,?..." newline rbitfld.long 0x04 22.--23. "RESERVED,Reserved" "0,1,2,3" newline hexmask.long.tbyte 0x04 0.--21. 1. "TA_REFINTERVAL,Number of refresh periods between temperature alert polls" line.long 0x08 "EMIF_OCP_ERROR_LOG,OCP Error Log Register" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved for future use" newline bitfld.long 0x08 14.--15. "MADDRSPACE,Address space of the first errored transaction" "SDRAM,reserved,reserved,internal registers" newline bitfld.long 0x08 11.--13. "MBURSTSEQ,Addressing mode of the first errored transaction" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 8.--10. "MCMD,Command type of the first errored transaction" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 0.--7. 1. "MCONNID,Connection ID of the first errored transaction" line.long 0x0C "EMIF_READ_WRITE_LEVELING_RAMP_WINDOW,Read/write leveling ramp window register" hexmask.long.tbyte 0x0C 13.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--12. 1. "RDWRLVLINC_RMP_WIN,Incremental leveling ramp window in number of refresh periods" line.long 0x10 "EMIF_READ_WRITE_LEVELING_RAMP_CONTROL,Read/write leveling ramp control register" bitfld.long 0x10 31. "RDWRLVL_EN,Read-Write Leveling enable" "0,1" newline hexmask.long.byte 0x10 24.--30. 1. "RDWRLVLINC_RMP_PRE,Incremental leveling pre-scalar in number of refresh periods during ramp window" newline hexmask.long.byte 0x10 16.--23. 1. "RDLVLINC_RMP_INT,Incremental read data eye training interval during ramp window" newline hexmask.long.byte 0x10 8.--15. 1. "RDLVLGATEINC_RMP_INT,Incremental read DQS gate training interval during ramp window" newline hexmask.long.byte 0x10 0.--7. 1. "WRLVLINC_RMP_INT,Incremental write leveling interval during ramp window" line.long 0x14 "EMIF_READ_WRITE_LEVELING_CONTROL,Read/write leveling control register" bitfld.long 0x14 31. "RDWRLVLFULL_START,Full leveling trigger" "0,1" newline hexmask.long.byte 0x14 24.--30. 1. "RDWRLVLINC_PRE,Incremental leveling pre-scalar in number of refresh periods" newline hexmask.long.byte 0x14 16.--23. 1. "RDLVLINC_INT,Incremental read data eye training interval" newline hexmask.long.byte 0x14 8.--15. 1. "RDLVLGATEINC_INT,Incremental read DQS gate training interval" newline hexmask.long.byte 0x14 0.--7. 1. "WRLVLINC_INT,Incremental write leveling interval" group.long 0xE4++0x0B line.long 0x00 "EMIF_DDR_PHY_CONTROL_1,PHY control register 1" bitfld.long 0x00 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "RDLVL_MASK,Writing a 1 to this field will mask read data eye training during full leveling command plus drives reg_phy_use_rd_data_eye_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 26. "RDLVLGATE_MASK,Writing a 1 to this field will mask dqs gate training during full leveling command plus drives reg_phy_use_rd_dqs_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 25. "WRLVL_MASK,Writing a 1 to this field will mask write leveling training during full leveling command plus drives reg_phy_use_wr_level control low to allow user to use programmed ratio values" "0,1" newline bitfld.long 0x00 22.--24. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21. "PHY_HALF_DELAYS,Adjust slave delay line delays to support 2x mode" "1x mode (MDLL clock rate is same as PHY),2x mode (MDLL clock is half the rate of PHY)" newline bitfld.long 0x00 20. "PHY_CLK_STALL_LEVEL,Enable variable idle value for delay lines" "0,1" newline bitfld.long 0x00 19. "PHY_DIS_CALIB_RST,Disable the dll_calib (internally generated) signal from resetting the Read Capture FIFO pointers and portions of data PHYs" "0,1" newline bitfld.long 0x00 18. "PHY_INVERT_CLKOUT,Inverts the polarity of DRAM clock" "core clock is passed on to DRAM,inverted core clock is passed on to DRAM" newline hexmask.long.byte 0x00 10.--17. 1. "PHY_DLL_LOCK_DIFF,The maximum number of delay line taps variation while maintaining the master DLL lock" newline bitfld.long 0x00 9. "PHY_FAST_DLL_LOCK,Controls master DLL to lock fast or average logic must be part of locking process" "MDLL lock is asserted based on average of 16..,MDLL lock is asserted based on single sample" newline bitfld.long 0x00 5.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--4. "READ_LATENCY,This field defines the read latency for the read data from SDRAM in number of DDR clock cycles" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EMIF_DDR_PHY_CONTROL_1_SHADOW," bitfld.long 0x04 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 27. "RDLVL_MASK_SHDW,Shadow field for RDLVL_MASK" "0,1" newline bitfld.long 0x04 26. "RDLVLGATE_MASK_SHDW,Shadow field for RDLVLGATE_MASK" "0,1" newline bitfld.long 0x04 25. "WRLVL_MASK_SHDW,Shadow field for WRLVL_MASK" "0,1" newline bitfld.long 0x04 22.--24. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "PHY_HALF_DELAYS_SHDW,Shadow field for PHY_HALF_DELAYS" "0,1" newline bitfld.long 0x04 20. "PHY_CLK_STALL_LEVEL_SHDW,Shadow field for PHY_CLK_STALL_LEVEL" "0,1" newline bitfld.long 0x04 19. "PHY_DIS_CALIB_RST_SHDW,Shadow field for PHY_DIS_CALIB_RST" "0,1" newline bitfld.long 0x04 18. "PHY_INVERT_CLKOUT_SHDW,Shadow field for PHY_INVERT_CLKOUT" "0,1" newline hexmask.long.byte 0x04 10.--17. 1. "PHY_DLL_LOCK_DIFF_SHDW,Shadow field for PHY_DLL_LOCK_DIFF" newline bitfld.long 0x04 9. "PHY_FAST_DLL_SHDW,Shadow field for PHY_FAST_DLL" "0,1" newline bitfld.long 0x04 5.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--4. "READ_LATENCY_SHDW,Shadow field for READ_LATENCY" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "EMIF_DDR_PHY_CONTROL_2," group.long 0x100++0x0B line.long 0x00 "EMIF_PRIORITY_TO_CLASS_OF_SERVICE_MAPPING," bitfld.long 0x00 31. "PRI_COS_MAP_EN,Set 1 to enable priority to class of service mapping" "0,1" newline hexmask.long.word 0x00 16.--30. 1. "RESERVED," newline bitfld.long 0x00 14.--15. "PRI_7_COS,Class of service for commands with priority of 7" "0,1,2,3" newline bitfld.long 0x00 12.--13. "PRI_6_COS,Class of service for commands with priority of 6" "0,1,2,3" newline bitfld.long 0x00 10.--11. "PRI_5_COS,Class of service for commands with priority of 5" "0,1,2,3" newline bitfld.long 0x00 8.--9. "PRI_4_COS,Class of service for commands with priority of 4" "0,1,2,3" newline bitfld.long 0x00 6.--7. "PRI_3_COS,Class of service for commands with priority of 3" "0,1,2,3" newline bitfld.long 0x00 4.--5. "PRI_2_COS,Class of service for commands with priority of 2" "0,1,2,3" newline bitfld.long 0x00 2.--3. "PRI_1_COS,Class of service for commands with priority of 1" "0,1,2,3" newline bitfld.long 0x00 0.--1. "PRI_0_COS,Class of service for commands with priority of 0" "0,1,2,3" line.long 0x04 "EMIF_CONNECTION_ID_TO_CLASS_OF_SERVICE_1_MAPPING," bitfld.long 0x04 31. "CONNID_COS_1_MAP_EN,Set 1 to enable Connection ID to class of service 1 mapping" "0,1" newline hexmask.long.byte 0x04 23.--30. 1. "CONNID_1_COS_1,Connection ID value 1 for class of service 1" newline bitfld.long 0x04 20.--22. "MSK_1_COS_1,Mask for Connection ID value 1 for class of service 1" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 12.--19. 1. "CONNID_2_COS_1,Connection ID value 2 for class of service 1" newline bitfld.long 0x04 10.--11. "MSK_2_COS_1,Mask for Connection ID value 2 for class of service 1" "0,1,2,3" newline hexmask.long.byte 0x04 2.--9. 1. "CONNID_3_COS_1,Connection ID value 3 for class of service 1" newline bitfld.long 0x04 0.--1. "MSK_3_COS_1,Mask for Connection ID value 3 for class of service 1" "0,1,2,3" line.long 0x08 "EMIF_CONNECTION_ID_TO_CLASS_OF_SERVICE_2_MAPPING," bitfld.long 0x08 31. "CONNID_COS_2_MAP_EN,Set 1 to enable Connection ID to class of service 2 mapping" "0,1" newline hexmask.long.byte 0x08 23.--30. 1. "CONNID_1_COS_2,Connection ID value 1 for class of service 2" newline bitfld.long 0x08 20.--22. "MSK_1_COS_2,Mask for Connection ID value 1 for class of service 2" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 12.--19. 1. "CONNID_2_COS_2,Connection ID value 2 for class of service 2" newline bitfld.long 0x08 10.--11. "MSK_2_COS_2,Mask for Connection ID value 2 for class of service 2" "0,1,2,3" newline hexmask.long.byte 0x08 2.--9. 1. "CONNID_3_COS_2,Connection ID value 3 for class of service 2" newline bitfld.long 0x08 0.--1. "MSK_3_COS_2,Mask for Connection ID value 3 for class of service 2" "0,1,2,3" group.long 0x120++0x07 line.long 0x00 "EMIF_READ_WRITE_EXECUTION_THRESHOLD," bitfld.long 0x00 31. "MFLAG_OVERRIDE,Mflag override" "MFLAG_OVERRIDE_0,MFLAG_OVERRIDE_1" newline hexmask.long.tbyte 0x00 13.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x00 8.--12. "WR_THRSH,Write Threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 0.--4. "RD_THRSH,Read threshold" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EMIF_COS_CONFIG,Priority Raise Counter Register" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x04 16.--23. 1. "COS_COUNT_1,Priority Raise Counter for class of service 1" newline hexmask.long.byte 0x04 8.--15. 1. "COS_COUNT_2,Priority Raise Counter for class of service 2" newline hexmask.long.byte 0x04 0.--7. 1. "PR_OLD_COUNT,Priority Raise Old Counter" rgroup.long 0x144++0x17 line.long 0x00 "EMIF_PHY_STATUS_1," bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.tbyte 0x00 12.--29. 1. "PHY_REG_PHY_CTRL_DLL_SLAVE_VALUE,DLL Slave Value" newline bitfld.long 0x00 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 4.--8. "PHY_REG_STATUS_DLL_LOCK,Lock Status for Data DLLs" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "PHY_REG_PHY_CTRL_DLL_LOCK,Lock Status for Command DLLs" "0,1,2,3" line.long 0x04 "EMIF_PHY_STATUS_2," line.long 0x08 "EMIF_PHY_STATUS_3," bitfld.long 0x08 31. "RESERVED," "0,1" newline hexmask.long.word 0x08 16.--30. 1. "PHY_REG_RDFIFO_RDPTR,Read FIFO Read Pointer" newline bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 0.--12. 1. "PHY_REG_STATUS_DLL_SLAVE_VALUE_HI,Bits 44:32 of Phy_reg_status_dll_slave_value" line.long 0x0C "EMIF_PHY_STATUS_4," bitfld.long 0x0C 31. "RESERVED," "0,1" newline hexmask.long.word 0x0C 16.--30. 1. "PHY_REG_GATELVL_FSM,Gate Leveling FSM" newline bitfld.long 0x0C 15. "RESERVED," "0,1" newline hexmask.long.word 0x0C 0.--14. 1. "PHY_REG_RDFIFO_WRPTR,Read FIFO Write Pointer" line.long 0x10 "EMIF_PHY_STATUS_5," hexmask.long.word 0x10 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x10 0.--19. 1. "PHY_REG_RD_LEVEL_FSM,Read Leveling FSM" line.long 0x14 "EMIF_PHY_STATUS_6," hexmask.long.tbyte 0x14 15.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--14. 1. "PHY_REG_WR_LEVEL_FSM,Write Leveling FSM" rgroup.long 0x164++0x03 line.long 0x00 "EMIF_PHY_STATUS_9," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_RDLVL_DQS_RATIO5,Read Leveling DQS ratio5" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_RDLVL_DQS_RATIO4,Read Leveling DQS ratio4" rgroup.long 0x17C++0x03 line.long 0x00 "EMIF_PHY_STATUS_15," bitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO7,Read leveling FIFO Wrie Enable Ratio7" newline bitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO6,Read leveling FIFO Wrie Enable Ratio6" rgroup.long 0x1AC++0x07 line.long 0x00 "EMIF_PHY_STATUS_27," bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 28.--29. "PHY_REG_PHY_CONTROL_MDLL_UNLOCK_STICKY,Phy control MDLL unlock sticky" "0,1,2,3" newline bitfld.long 0x00 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 20.--24. "PHY_REG_STATUS_MDLL_UNLOCK_STICKY,Phy data MDLL unlock sticky" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.tbyte 0x00 0.--19. 1. "PHY_REG_RDC_FIFO_RST_ERR_CNT,RDC FIFO reset error count" line.long 0x04 "EMIF_PHY_STATUS_28," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 24.--28. "PHY_REG_GATELVL_INC_FAIL,Gate leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "PHY_REG_WRLVL_INC_FAIL,Write leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 8.--12. "PHY_REG_RDLVL_INC_FAIL,Read leveling failure.NOTE: Incremental leveling is not supported on this device" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--4. "PHY_REG_FIFO_WE_IN_MIASALIGNED_STICKY,FIFO write enable in misaligned sticky" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x200++0x27 line.long 0x00 "EMIF_EXT_PHY_CONTROL_1,Control DLL Slave Ratio Register" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 20.--29. 1. "PHY_REG_CTRL_SLAVE_RATIO2,The user programmable ratio value for address/command launch timing in PHY control macro 2" newline hexmask.long.word 0x00 10.--19. 1. "PHY_REG_CTRL_SLAVE_RATIO1,The user programmable ratio value for address/command launch timing in PHY control macro 1" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_CTRL_SLAVE_RATIO0,The user programmable ratio value for address/command launch timing in PHY control macro 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_1_SHADOW,Control DLL Slave Ratio Shadow Register" rbitfld.long 0x04 30.--31. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 20.--29. 1. "PHY_REG_CTRL_SLAVE_RATIO2,The user programmable ratio value for address/command launch timing in PHY control macro 2" newline hexmask.long.word 0x04 10.--19. 1. "PHY_REG_CTRL_SLAVE_RATIO1,The user programmable ratio value for address/command launch timing in PHY control macro 1" newline hexmask.long.word 0x04 0.--9. 1. "PHY_REG_CTRL_SLAVE_RATIO0,The user programmable ratio value for address/command launch timing in PHY control macro 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_2,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO1,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x08 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x08 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO0,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_2_SHADOW,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x0C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO1,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x0C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x0C 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO0,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_3,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x10 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO3,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x10 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x10 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO2,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_3_SHADOW,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO3,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO2,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_4,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x18 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x18 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO5,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x18 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x18 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO4,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_4_SHADOW,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Ratio Shadow Register" rbitfld.long 0x1C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x1C 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO5,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x1C 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO4,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x20 "EMIF_EXT_PHY_CONTROL_5,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x20 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO7,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x20 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO6,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0x24 "EMIF_EXT_PHY_CONTROL_5_SHADOW,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Ratio Register" rbitfld.long 0x24 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 16.--26. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO7,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x24 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 0.--10. 1. "PHY_REG_FIFO_WE_SLAVE_RATIO6,The user programmable ratio value for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" group.long 0x230++0x1F line.long 0x00 "EMIF_EXT_PHY_CONTROL_7,Data macro 0. read DQS DLL Slave Ratio Register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO1,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO0,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_7_SHADOW,Data macro 0. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO1,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x04 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO0,The user programmable ratio value for the read DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_8,Data macro 1. read DQS DLL Slave Ratio Register" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO3,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO2,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_8_SHADOW,Data macro 1. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO3,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x0C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO2,The user programmable ratio value for the read DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_9,Data macro 2. read DQS DLL Slave Ratio Register" rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO5,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x10 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO4,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_9_SHADOW,Data macro 2. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO5,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO4,The user programmable ratio value for the read DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_10,Data macro 3. read DQS DLL Slave Ratio Register" rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO7,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x18 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO6,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_10_SHADOW,Data macro 3. read DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 16.--25. 1. "PHY_REG_RD_DQS_SLAVE_RATIO7,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x1C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 0.--9. 1. "PHY_REG_RD_DQS_SLAVE_RATIO6,The user programmable ratio value for the read DQS slave DLL in PHY data macro 3 chip select 0" group.long 0x258++0x1F line.long 0x00 "EMIF_EXT_PHY_CONTROL_12,Data macro 0. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO1,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO0,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_12_SHADOW,Data macro 0. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO1,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x04 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO0,The user programmable ratio value for the write DQ slave DLL in PHY data macro 0 chip select 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_13,Data macro 1. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO3,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO2,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_13_SHADOW,Data macro 1. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO3,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x0C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO2,The user programmable ratio value for the write DQ slave DLL in PHY data macro 1 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_14,Data macro 2. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO5,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x10 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO4,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_14_SHADOW,Data macro 2. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO5,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO4,The user programmable ratio value for the write DQ slave DLL in PHY data macro 2 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_15,Data macro 3. write DQ (data) DLL Slave Ratio Register" rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO7,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x18 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO6,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_15_SHADOW,Data macro 3. write DQ (data) DLL Slave Ratio Shadow Register" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 16.--25. 1. "PHY_REG_WR_DATA_SLAVE_RATIO7,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x1C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 0.--9. 1. "PHY_REG_WR_DATA_SLAVE_RATIO6,The user programmable ratio value for the write DQ slave DLL in PHY data macro 3 chip select 0" group.long 0x280++0x1F line.long 0x00 "EMIF_EXT_PHY_CONTROL_17,Data macro 0. write DQS DLL Slave Ratio Register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO1,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO0,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_17_SHADOW,Data macro 0. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO1,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x04 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO0,The user programmable ratio value for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_18,Data macro 1. write DQS DLL Slave Ratio Register" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO3,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO2,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_18_SHADOW,Data macro 1. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO3,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x0C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO2,The user programmable ratio value for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_19,Data macro 2. write DQS DLL Slave Ratio Register" rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO5,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x10 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO4,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_19_SHADOW,Data macro 2. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO5,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO4,The user programmable ratio value for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_20,Data macro 3. write DQS DLL Slave Ratio Register" rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO7,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x18 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO6,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_20_SHADOW,Data macro 3. write DQS DLL Slave Ratio Shadow Register" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 16.--25. 1. "PHY_REG_WR_DQS_SLAVE_RATIO7,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x1C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 0.--9. 1. "PHY_REG_WR_DQS_SLAVE_RATIO6,The user programmable ratio value for the write DQS slave DLL in PHY data macro 3 chip select 0" group.long 0x2A8++0x3F line.long 0x00 "EMIF_EXT_PHY_CONTROL_22," hexmask.long.byte 0x00 25.--31. 1. "RESERVED," newline hexmask.long.word 0x00 16.--24. 1. "PHY_REG_FIFO_WE_IN_DELAY,The user programmable FIFO write enable delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "PHY_REG_CTRL_SLAVE_DELAY,The user programmable command delay value used when DLL_OVERRIDE = 1" line.long 0x04 "EMIF_EXT_PHY_CONTROL_22_SHADOW," hexmask.long.byte 0x04 25.--31. 1. "RESERVED," newline hexmask.long.word 0x04 16.--24. 1. "PHY_REG_FIFO_WE_IN_DELAY,The user programmable FIFO write enable delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0x04 9.--15. 1. "RESERVED," newline hexmask.long.word 0x04 0.--8. 1. "PHY_REG_CTRL_SLAVE_DELAY,The user programmable command delay value used when DLL_OVERRIDE = 1" line.long 0x08 "EMIF_EXT_PHY_CONTROL_23," hexmask.long.byte 0x08 25.--31. 1. "RESERVED," newline hexmask.long.word 0x08 16.--24. 1. "PHY_REG_WR_DQS_SLAVE_DELAY,The user programmable write DQS delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0x08 9.--15. 1. "RESERVED," newline hexmask.long.word 0x08 0.--8. 1. "PHY_REG_RD_DQS_SLAVE_DELAY,The user programmable read DQS delay value used when DLL_OVERRIDE = 1" line.long 0x0C "EMIF_EXT_PHY_CONTROL_23_SHADOW," hexmask.long.byte 0x0C 25.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 16.--24. 1. "PHY_REG_WR_DQS_SLAVE_DELAY,The user programmable write DQS delay value used when DLL_OVERRIDE = 1" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "PHY_REG_RD_DQS_SLAVE_DELAY,The user programmable read DQS delay value used when DLL_OVERRIDE = 1" line.long 0x10 "EMIF_EXT_PHY_CONTROL_24," rbitfld.long 0x10 31. "RESERVED," "0,1" newline hexmask.long.byte 0x10 24.--30. 1. "REG_PHY_DQ_OFFSET_HI,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x10 17.--23. 1. "RESERVED," newline bitfld.long 0x10 16. "REG_PHY_GATELVL_INIT_MODE,The user programmable init ratio selection mode" "0,1" newline rbitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 12. "REG_PHY_USE_RANK0_DELAYS,Delay selection" "0,1" newline rbitfld.long 0x10 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 0.--8. 1. "REG_PHY_WR_DATA_SLAVE_DELAY,The user programmable write DQ delay value used when DLL_OVERRIDE = 1" line.long 0x14 "EMIF_EXT_PHY_CONTROL_24_SHADOW," rbitfld.long 0x14 31. "RESERVED," "0,1" newline hexmask.long.byte 0x14 24.--30. 1. "REG_PHY_DQ_OFFSET_HI,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x14 17.--23. 1. "RESERVED," newline bitfld.long 0x14 16. "REG_PHY_GATELVL_INIT_MODE,The user programmable init ratio selection mode" "0,1" newline rbitfld.long 0x14 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 12. "REG_PHY_USE_RANK0_DELAYS,Delay selection" "0,1" newline rbitfld.long 0x14 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 0.--8. 1. "REG_PHY_WR_DATA_SLAVE_DELAY,The user programmable write DQ delay value used when DLL_OVERRIDE = 1" line.long 0x18 "EMIF_EXT_PHY_CONTROL_25,DQ DLL Slave Ratio Offset Register" rbitfld.long 0x18 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x18 21.--27. 1. "REG_PHY_DQ_OFFSET3,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x18 14.--20. 1. "REG_PHY_DQ_OFFSET2,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x18 7.--13. 1. "REG_PHY_DQ_OFFSET1,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x18 0.--6. 1. "REG_PHY_DQ_OFFSET0,The user programmable offset ratio value from write DQS to write DQ" line.long 0x1C "EMIF_EXT_PHY_CONTROL_25_SHADOW,DQ DLL Slave Ratio Offset Shadow Register" rbitfld.long 0x1C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x1C 21.--27. 1. "REG_PHY_DQ_OFFSET3,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x1C 14.--20. 1. "REG_PHY_DQ_OFFSET2,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x1C 7.--13. 1. "REG_PHY_DQ_OFFSET1,The user programmable offset ratio value from write DQS to write DQ" newline hexmask.long.byte 0x1C 0.--6. 1. "REG_PHY_DQ_OFFSET0,The user programmable offset ratio value from write DQS to write DQ" line.long 0x20 "EMIF_EXT_PHY_CONTROL_26,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0x20 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO1,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x20 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x20 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO0,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x24 "EMIF_EXT_PHY_CONTROL_26_SHADOW,Data macro 0. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0x24 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO1,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x24 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x24 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO0,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 0 chip select 0" line.long 0x28 "EMIF_EXT_PHY_CONTROL_27,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0x28 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x28 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO3,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x28 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x28 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO2,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x2C "EMIF_EXT_PHY_CONTROL_27_SHADOW,Data macro 1. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0x2C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO3,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x2C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x2C 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO2,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 1 chip select 0" line.long 0x30 "EMIF_EXT_PHY_CONTROL_28,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO5,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO4,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x34 "EMIF_EXT_PHY_CONTROL_28_SHADOW,Data macro 2. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO5,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO4,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 2 chip select 0" line.long 0x38 "EMIF_EXT_PHY_CONTROL_29,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Init Ratio Register" rbitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO7,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO6,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" line.long 0x3C "EMIF_EXT_PHY_CONTROL_29_SHADOW,Data macro 3. FIFO write enable (read DQS gate) DLL Slave Init Ratio Shadow Register" rbitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "REG_PHY_GATELVL_INIT_RATIO7,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "REG_PHY_GATELVL_INIT_RATIO6,The user programmable initialization ratio value used by the gate training finite state machine (hardware leveling) for the FIFO write enable slave DLL in PHY data macro 3 chip select 0" group.long 0x2F0++0x1F line.long 0x00 "EMIF_EXT_PHY_CONTROL_31,Data macro 0. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO1,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO0,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x04 "EMIF_EXT_PHY_CONTROL_31_SHADOW,Data macro 0. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO1,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 1" newline rbitfld.long 0x04 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x04 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO0,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 0 chip select 0" line.long 0x08 "EMIF_EXT_PHY_CONTROL_32,Data macro 1. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x08 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO3,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x08 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x08 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO2,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x0C "EMIF_EXT_PHY_CONTROL_32_SHADOW,Data macro 1. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO3,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 1" newline rbitfld.long 0x0C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x0C 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO2,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 1 chip select 0" line.long 0x10 "EMIF_EXT_PHY_CONTROL_33,Data macro 2. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x10 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO5,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x10 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x10 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO4,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x14 "EMIF_EXT_PHY_CONTROL_33_SHADOW,Data macro 2. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO5,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 1" newline rbitfld.long 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO4,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 2 chip select 0" line.long 0x18 "EMIF_EXT_PHY_CONTROL_34,Data macro 3. write DQS DLL Slave Init Ratio Register" rbitfld.long 0x18 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO7,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x18 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x18 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO6,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 0" line.long 0x1C "EMIF_EXT_PHY_CONTROL_34_SHADOW,Data macro 3. write DQS DLL Slave Init Ratio Shadow Register" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 16.--25. 1. "REG_PHY_WRLVL_INIT_RATIO7,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 1" newline rbitfld.long 0x1C 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x1C 0.--9. 1. "REG_PHY_WRLVL_INIT_RATIO6,The user programmable initialization ratio value used by the write leveling finite state machine (hardware leveling) for the write DQS slave DLL in PHY data macro 3 chip select 0" group.long 0x318++0x07 line.long 0x00 "EMIF_EXT_PHY_CONTROL_36," hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," newline bitfld.long 0x00 10. "REG_PHY_RDC_FIFO_RST_ERR_CNT_CLR,Clear/reset the phy_reg_rdc_fifo_rst_err_cnt phy_reg_rdfifo_wrptr and phy_reg_rdfifo_rdptr status flags" "0,1" newline bitfld.long 0x00 9. "REG_PHY_MDLL_UNLOCK_CLR,Clears the phy_reg_status_mdll_unlock_sticky flag" "0,1" newline bitfld.long 0x00 8. "REG_PHY_FIFO_WE_IN_MISALIGNED_CLR,Clears the phy_reg_fifo_we_in_misaligned_sticky status flag" "0,1" newline bitfld.long 0x00 4.--7. "REG_PHY_WRLVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the write leveling finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "REG_PHY_GATELVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the gate training finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "EMIF_EXT_PHY_CONTROL_36_SHADOW," hexmask.long.tbyte 0x04 11.--31. 1. "RESERVED," newline bitfld.long 0x04 10. "REG_PHY_RDC_FIFO_RST_ERR_CNT_CLR,Clear/reset the phy_reg_rdc_fifo_rst_err_cnt phy_reg_rdfifo_wrptr and phy_reg_rdfifo_rdptr status flags" "0,1" newline bitfld.long 0x04 9. "REG_PHY_MDLL_UNLOCK_CLR,Clears the phy_reg_status_mdll_unlock_sticky flag" "0,1" newline bitfld.long 0x04 8. "REG_PHY_FIFO_WE_IN_MISALIGNED_CLR,Clears the phy_reg_fifo_we_in_misaligned_sticky status flag" "0,1" newline bitfld.long 0x04 4.--7. "REG_PHY_WRLVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the write leveling finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. "REG_PHY_GATELVL_NUM_OF_DQ0,Determines the number of samples fordq0_in for each ratio increment by the gate training finite state machine (hardware leveling)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat 4. (list 22. 23. 24. 25. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x198)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WRLVL_DQS_RATIO1,Write leveling DQS ratio 1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WRLVL_DQS_RATIO0,Write leveling DQS ratio 0" repeat.end repeat 4. (list 17. 18. 19. 20. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0x184)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_WRLVL_DQ_RATIO1,Write leveling DQ ratio1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_WRLVL_DQ_RATIO0,Write leveling DQ ratio0" repeat.end repeat 3. (list 12. 13. 14. )(list 0x00 0x04 0x08 ) rgroup.long ($2+0x170)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO1,Read leveling FIFO Write Enable Ratio1" newline bitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "PHY_REG_RDLVL_FIFOWEIN_RATIO0,Read leveling FIFO Write Enable Ratio0" repeat.end repeat 3. (list 7. 8. 10. )(list 0x00 0x04 0x0C ) rgroup.long ($2+0x15C)++0x03 line.long 0x00 "EMIF_PHY_STATUS_$1," bitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 16.--25. 1. "PHY_REG_RDLVL_DQS_RATIO1,Read leveling DQS ratio1" newline bitfld.long 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 0.--9. 1. "PHY_REG_RDLVL_DQS_RATIO0,Read leveling DQS ratio0" repeat.end tree.end tree "EMIF_FW" base ad:0x4A20C000 group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) rgroup.long ($2+0x04)++0x03 line.long 0x00 "LOGICAL_ADDR_ERRLOG_k_$1,Logical Physical Address Error log register for port k" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) group.long ($2+0x00)++0x03 line.long 0x00 "ERROR_LOG_k_$1,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" newline rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" repeat.end tree.end tree "EMIF_OCP_FW_CFG_TARG" base ad:0x4A20D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "EMU_CM" base ad:0x4AE07A00 group.long 0x00++0x0F line.long 0x00 "CM_EMU_CLKSTCTRL,This register enables the EMU domain power state transition" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," rbitfld.long 0x00 8. "CLKACTIVITY_EMU_SYS_CLK,This field indicates the state of the EMU_SYS_CLK clock in the domain" "CLKACTIVITY_EMU_SYS_CLK_0,CLKACTIVITY_EMU_SYS_CLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the EMU clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" line.long 0x04 "CM_EMU_DEBUGSS_CLKCTRL,This register manages the DEBUGSS clocks" hexmask.long.word 0x04 19.--31. 1. "RESERVED," bitfld.long 0x04 18. "STBYST,Module standby status" "STBYST_0,STBYST_1" newline bitfld.long 0x04 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x04 2.--15. 1. "RESERVED," newline bitfld.long 0x04 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" line.long 0x08 "CM_EMU_DYNAMICDEP,This register controls the dynamic domain depedencies from EMU domain towards 'target' domains" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "WINDOWSIZE,Size of sliding window used to monitor OCP interface activity for determination of auto-sleep feature" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.tbyte 0x08 6.--23. 1. "RESERVED," rbitfld.long 0x08 5. "L3MAIN1_DYNDEP,Dynamic dependency towards L3MAIN1 clock domain" "?,L3MAIN1_DYNDEP_1" newline rbitfld.long 0x08 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x0C "CM_EMU_MPU_EMU_DBG_CLKCTRL,This register manages the MPU_EMU_DBG clocks" hexmask.long.word 0x0C 18.--31. 1. "RESERVED," bitfld.long 0x0C 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x0C 2.--15. 1. "RESERVED," bitfld.long 0x0C 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" tree.end tree "EVE1_EDMA_TPCC" base ad:0x420A0000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x42086000 ad:0x42087000 ) tree "EVE1_EDMA_TPTC$1" base $2 group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x0B line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" rgroup.long 0x250++0x13 line.long 0x00 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x04 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x04 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x08 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x0C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x10 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x07 line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "EVE1_FW" base ad:0x4A151000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "EVE1_FW_CFG_TARG" base ad:0x4A152000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 5. (list 0. 1. 2. 3. 4. )(list ad:0x4208B000 ad:0x4208C000 ad:0x4208D000 ad:0x4208E000 ad:0x4208F000 ) tree "EVE1_MBOX$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end repeat.end tree "EVE1_PRM" base ad:0x4AE07B40 group.long 0x00++0x07 line.long 0x00 "PM_EVE1_PWRSTCTRL,This register controls the EVE1 power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "EVE1_BANK_ONSTATE,EVE1 state when domain is ON" "?,?,?,EVE1_BANK_ONSTATE_3" newline hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_EVE1_PWRSTST,This register provides a status on the EVE1 domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 10.--19. 1. "RESERVED," rbitfld.long 0x04 6.--9. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 4.--5. "EVE1_BANK_STATEST,EVE1 memory state status" "EVE1_BANK_STATEST_0,EVE1_BANK_STATEST_1,EVE1_BANK_STATEST_2,EVE1_BANK_STATEST_3" rbitfld.long 0x04 3. "RESERVED," "0,1" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_EVE1_RSTCTRL,This register controls the release of the EVE1 sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_EVE1,EVE1 reset control" "RST_EVE1_0,RST_EVE1_1" newline bitfld.long 0x00 0. "RST_EVE1_LRST,EVE1 Local reset control" "RST_EVE1_LRST_0,RST_EVE1_LRST_1" line.long 0x04 "RM_EVE1_RSTST,This register logs the different reset sources of the EVE1 domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_EVE1_EMU_REQ,EVE1 processor has been reset due to EVE emulation reset request driven from EVE1-SS" "RST_EVE1_EMU_REQ_0,RST_EVE1_EMU_REQ_1" newline bitfld.long 0x04 2. "RST_EVE1_EMU,EVE1 domain has been reset due to emulation reset source e.g" "RST_EVE1_EMU_0,RST_EVE1_EMU_1" bitfld.long 0x04 1. "RST_EVE1,EVE1 SW reset status" "RST_EVE1_0,RST_EVE1_1" newline bitfld.long 0x04 0. "RST_EVE1_LRST,EVE1 Local SW reset" "RST_EVE1_LRST_0,RST_EVE1_LRST_1" group.long 0x20++0x07 line.long 0x00 "PM_EVE1_EVE1_WKDEP,This register controls wakeup dependency based on EVE1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_EVE1_EVE4,Wakeup dependency from EVE1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_EVE4_0,WKUPDEP_EVE1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_EVE1_EVE3,Wakeup dependency from EVE1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_EVE3_0,WKUPDEP_EVE1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_EVE1_EVE2,Wakeup dependency from EVE1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_EVE2_0,WKUPDEP_EVE1_EVE2_1" newline rbitfld.long 0x00 6. "RESERVED," "0,1" bitfld.long 0x00 5. "WKUPDEP_EVE1_DSP2,Wakeup dependency from EVE1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_DSP2_0,WKUPDEP_EVE1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_EVE1_IPU1,Wakeup dependency from EVE1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_IPU1_0,WKUPDEP_EVE1_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_EVE1_SDMA,Wakeup dependency from EVE1 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_SDMA_0,WKUPDEP_EVE1_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_EVE1_DSP1,Wakeup dependency from EVE1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_DSP1_0,WKUPDEP_EVE1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_EVE1_IPU2,Wakeup dependency from EVE1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_IPU2_0,WKUPDEP_EVE1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_EVE1_MPU,Wakeup dependency from EVE1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE1_MPU_0,WKUPDEP_EVE1_MPU_1" line.long 0x04 "RM_EVE1_EVE1_CONTEXT,This register contains dedicated EVE1 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_EVE_BANK,Specify if memory-based context in EVE1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_EVE_BANK_0,LOSTMEM_EVE_BANK_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "EVE1_TARG" base ad:0x44000A00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "EVE2_EDMA_TPCC" base ad:0x421A0000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x42186000 ad:0x42187000 ) tree "EVE2_EDMA_TPTC$1" base $2 group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x0B line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" rgroup.long 0x250++0x13 line.long 0x00 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x04 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x04 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x08 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x0C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x10 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x07 line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "EVE2_FW" base ad:0x4A153000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "EVE2_FW_CFG_TARG" base ad:0x4A154000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 5. (list 0. 1. 2. 3. 4. )(list ad:0x4218B000 ad:0x4218C000 ad:0x4218D000 ad:0x4218E000 ad:0x4218F000 ) tree "EVE2_MBOX$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end repeat.end tree "EVE2_PRM" base ad:0x4AE07B80 group.long 0x00++0x07 line.long 0x00 "PM_EVE2_PWRSTCTRL,This register controls the EVE2 power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "EVE2_BANK_ONSTATE,EVE2 state when domain is ON" "?,?,?,EVE2_BANK_ONSTATE_3" newline hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_EVE2_PWRSTST,This register provides a status on the EVE2 domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "EVE2_BANK_STATEST,EVE2 memory state status" "EVE2_BANK_STATEST_0,EVE2_BANK_STATEST_1,EVE2_BANK_STATEST_2,EVE2_BANK_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_EVE2_RSTCTRL,This register controls the release of the EVE2 sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_EVE2,EVE2 SW reset control" "RST_EVE2_0,RST_EVE2_1" newline bitfld.long 0x00 0. "RST_EVE2_LRST,EVE2 Local reset control" "RST_EVE2_LRST_0,RST_EVE2_LRST_1" line.long 0x04 "RM_EVE2_RSTST,This register logs the different reset sources of the EVE2 domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_EVE2_EMU_REQ,EVE2 processor has been reset due to EVE emulation reset request driven from EVE2-SS" "RST_EVE2_EMU_REQ_0,RST_EVE2_EMU_REQ_1" newline bitfld.long 0x04 2. "RST_EVE2_EMU,EVE2 domain has been reset due to emulation reset source e.g" "RST_EVE2_EMU_0,RST_EVE2_EMU_1" bitfld.long 0x04 1. "RST_EVE2,EVE2 SW reset status" "RST_EVE2_0,RST_EVE2_1" newline bitfld.long 0x04 0. "RST_EVE2_LRST,EVE2 Local SW reset" "RST_EVE2_LRST_0,RST_EVE2_LRST_1" group.long 0x20++0x07 line.long 0x00 "PM_EVE2_EVE2_WKDEP,This register controls wakeup dependency based on EVE2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_EVE2_EVE4,Wakeup dependency from EVE2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_EVE4_0,WKUPDEP_EVE2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_EVE2_EVE3,Wakeup dependency from EVE2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_EVE3_0,WKUPDEP_EVE2_EVE3_1" rbitfld.long 0x00 7. "RESERVED," "0,1" newline bitfld.long 0x00 6. "WKUPDEP_EVE2_EVE1,Wakeup dependency from EVE2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_EVE1_0,WKUPDEP_EVE2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_EVE2_DSP2,Wakeup dependency from EVE2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_DSP2_0,WKUPDEP_EVE2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_EVE2_IPU1,Wakeup dependency from EVE2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_IPU1_0,WKUPDEP_EVE2_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_EVE2_SDMA,Wakeup dependency from EVE2 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_SDMA_0,WKUPDEP_EVE2_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_EVE2_DSP1,Wakeup dependency from EVE2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_DSP1_0,WKUPDEP_EVE2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_EVE2_IPU2,Wakeup dependency from EVE2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_IPU2_0,WKUPDEP_EVE2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_EVE2_MPU,Wakeup dependency from EVE2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE2_MPU_0,WKUPDEP_EVE2_MPU_1" line.long 0x04 "RM_EVE2_EVE2_CONTEXT,This register contains dedicated EVE2 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_EVE_BANK,Specify if memory-based context in EVE2 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_EVE_BANK_0,LOSTMEM_EVE_BANK_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "EVE2_TARG" base ad:0x44000B00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "EVE3_EDMA_TPCC" base ad:0x422A0000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" rbitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "0,1,2,3" bitfld.long 0x04 19. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "0,1,2,3,4,5,6,7" bitfld.long 0x04 15. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "0,1,2,3,4,5,6,7" bitfld.long 0x04 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "0,1,2,3,4,5,6,7" bitfld.long 0x04 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "0,1,2,3,4,5,6,7" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x42286000 ad:0x42287000 ) tree "EVE3_EDMA_TPTC$1" base $2 group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x0B line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" rgroup.long 0x250++0x13 line.long 0x00 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x04 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x04 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x08 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x0C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x10 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x07 line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "EVE3_FW" base ad:0x4A155000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "EVE3_FW_CFG_TARG" base ad:0x4A156000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 5. (list 0. 1. 2. 3. 4. )(list ad:0x4228B000 ad:0x4228C000 ad:0x4228D000 ad:0x4228E000 ad:0x4228F000 ) tree "EVE3_MBOX$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end repeat.end tree "EVE3_PRM" base ad:0x4AE07BC0 group.long 0x00++0x07 line.long 0x00 "PM_EVE3_PWRSTCTRL,This register controls the EVE3 power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "EVE3_BANK_ONSTATE,EVE3 state when domain is ON" "?,?,?,EVE3_BANK_ONSTATE_3" newline hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_EVE3_PWRSTST,This register provides a status on the EVE3 domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "EVE3_BANK_STATEST,EVE3 memory state status" "EVE3_BANK_STATEST_0,EVE3_BANK_STATEST_1,EVE3_BANK_STATEST_2,EVE3_BANK_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_EVE3_RSTCTRL,This register controls the release of the EVE3 sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_EVE3,EVE3 SW reset control" "RST_EVE3_0,RST_EVE3_1" newline bitfld.long 0x00 0. "RST_EVE3_LRST,EVE3 Local reset control" "RST_EVE3_LRST_0,RST_EVE3_LRST_1" line.long 0x04 "RM_EVE3_RSTST,This register logs the different reset sources of the EVE3 domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_EVE3_EMU_REQ,EVE3 processor has been reset due to EVE emulation reset request driven from EVE3-SS" "RST_EVE3_EMU_REQ_0,RST_EVE3_EMU_REQ_1" newline bitfld.long 0x04 2. "RST_EVE3_EMU,EVE3 domain has been reset due to emulation reset source e.g" "RST_EVE3_EMU_0,RST_EVE3_EMU_1" bitfld.long 0x04 1. "RST_EVE3,EVE3 SW reset status" "RST_EVE3_0,RST_EVE3_1" newline bitfld.long 0x04 0. "RST_EVE3_LRST,EVE3 Local SW reset" "RST_EVE3_LRST_0,RST_EVE3_LRST_1" group.long 0x20++0x07 line.long 0x00 "PM_EVE3_EVE3_WKDEP,This register controls wakeup dependency based on EVE3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_EVE3_EVE4,Wakeup dependency from EVE3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_EVE4_0,WKUPDEP_EVE3_EVE4_1" newline rbitfld.long 0x00 8. "RESERVED," "0,1" bitfld.long 0x00 7. "WKUPDEP_EVE3_EVE2,Wakeup dependency from EVE3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_EVE2_0,WKUPDEP_EVE3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_EVE3_EVE1,Wakeup dependency from EVE3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_EVE1_0,WKUPDEP_EVE3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_EVE3_DSP2,Wakeup dependency from EVE3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_DSP2_0,WKUPDEP_EVE3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_EVE3_IPU1,Wakeup dependency from EVE3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_IPU1_0,WKUPDEP_EVE3_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_EVE3_SDMA,Wakeup dependency from EVE3 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_SDMA_0,WKUPDEP_EVE3_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_EVE3_DSP1,Wakeup dependency from EVE3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_DSP1_0,WKUPDEP_EVE3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_EVE3_IPU2,Wakeup dependency from EVE3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_IPU2_0,WKUPDEP_EVE3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_EVE3_MPU,Wakeup dependency from EVE3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE3_MPU_0,WKUPDEP_EVE3_MPU_1" line.long 0x04 "RM_EVE3_EVE3_CONTEXT,This register contains dedicated EVE3 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_EVE_BANK,Specify if memory-based context in EVE3 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_EVE_BANK_0,LOSTMEM_EVE_BANK_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "EVE3_TARG" base ad:0x44000C00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "EVE4_EDMA_TPCC" base ad:0x423A0000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x42386000 ad:0x42387000 ) tree "EVE4_EDMA_TPTC$1" base $2 group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x0B line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" rgroup.long 0x250++0x13 line.long 0x00 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x04 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x04 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x08 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x08 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x0C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x10 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x07 line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "EVE4_FW" base ad:0x4A157000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "EVE4_FW_CFG_TARG" base ad:0x4A158000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 5. (list 0. 1. 2. 3. 4. )(list ad:0x4238B000 ad:0x4238C000 ad:0x4238D000 ad:0x4238E000 ad:0x4238F000 ) tree "EVE4_MBOX$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end repeat.end tree "EVE4_PRM" base ad:0x4AE07C00 group.long 0x00++0x07 line.long 0x00 "PM_EVE4_PWRSTCTRL,This register controls the EVE4 power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "EVE4_BANK_ONSTATE,EVE4 state when domain is ON" "?,?,?,EVE4_BANK_ONSTATE_3" newline hexmask.long.word 0x00 5.--15. 1. "RESERVED," bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_EVE4_PWRSTST,This register provides a status on the EVE4 domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "EVE4_BANK_STATEST,EVE4 memory state status" "EVE4_BANK_STATEST_0,EVE4_BANK_STATEST_1,EVE4_BANK_STATEST_2,EVE4_BANK_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_EVE4_RSTCTRL,This register controls the release of the EVE4 sub-system resets" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_EVE4,EVE4 SW reset control" "RST_EVE4_0,RST_EVE4_1" newline bitfld.long 0x00 0. "RST_EVE4_LRST,EVE4 Local reset control" "RST_EVE4_LRST_0,RST_EVE4_LRST_1" line.long 0x04 "RM_EVE4_RSTST,This register logs the different reset sources of the EVE4 domain" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "RST_EVE4_EMU_REQ,EVE4 processor has been reset due to EVE emulation reset request driven from EVE4-SS" "RST_EVE4_EMU_REQ_0,RST_EVE4_EMU_REQ_1" newline bitfld.long 0x04 2. "RST_EVE4_EMU,EVE4 domain has been reset due to emulation reset source e.g" "RST_EVE4_EMU_0,RST_EVE4_EMU_1" bitfld.long 0x04 1. "RST_EVE4,EVE4 SW reset status" "RST_EVE4_0,RST_EVE4_1" newline bitfld.long 0x04 0. "RST_EVE4_LRST,EVE4 Local SW reset" "RST_EVE4_LRST_0,RST_EVE4_LRST_1" group.long 0x20++0x07 line.long 0x00 "PM_EVE4_EVE4_WKDEP,This register controls wakeup dependency based on EVE4 service requests" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "WKUPDEP_EVE4_EVE3,Wakeup dependency from EVE4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_EVE3_0,WKUPDEP_EVE4_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_EVE4_EVE2,Wakeup dependency from EVE4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_EVE2_0,WKUPDEP_EVE4_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_EVE4_EVE1,Wakeup dependency from EVE4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_EVE1_0,WKUPDEP_EVE4_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_EVE4_DSP2,Wakeup dependency from EVE4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_DSP2_0,WKUPDEP_EVE4_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_EVE4_IPU1,Wakeup dependency from EVE4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_IPU1_0,WKUPDEP_EVE4_IPU1_1" newline bitfld.long 0x00 3. "WKUPDEP_EVE4_SDMA,Wakeup dependency from EVE4 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_SDMA_0,WKUPDEP_EVE4_SDMA_1" bitfld.long 0x00 2. "WKUPDEP_EVE4_DSP1,Wakeup dependency from EVE4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_DSP1_0,WKUPDEP_EVE4_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_EVE4_IPU2,Wakeup dependency from EVE4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_IPU2_0,WKUPDEP_EVE4_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_EVE4_MPU,Wakeup dependency from EVE4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_EVE4_MPU_0,WKUPDEP_EVE4_MPU_1" line.long 0x04 "RM_EVE4_EVE4_CONTEXT,This register contains dedicated EVE4 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_EVE_BANK,Specify if memory-based context in EVE4 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_EVE_BANK_0,LOSTMEM_EVE_BANK_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "EVE4_TARG" base ad:0x44000D00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "GMAC_TARG" base ad:0x48488000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 8. (list 7. 8. 2. 3. 4. 5. 6. 1. )(list ad:0x48051000 ad:0x48053000 ad:0x48055000 ad:0x48057000 ad:0x48059000 ad:0x4805B000 ad:0x4805D000 ad:0x4AE10000 ) tree "GPIO$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "GPIO_REVISION,IP revision identifier (X.Y.R)" group.long 0x10++0x03 line.long 0x00 "GPIO_SYSCONFIG,System configuration register" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 3.--4. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 2. "ENAWAKEUP,Wake-up control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,OCP clock gating control" "AUTOIDLE_0,AUTOIDLE_1" group.long 0x20++0x2B line.long 0x00 "GPIO_EOI,Software end of interrupt" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "LINE_NUMBER,Software End Of Interrupt (EOI) control" "LINE_NUMBER_0,LINE_NUMBER_1" line.long 0x04 "GPIO_IRQSTATUS_RAW_0,Per-event raw interrupt status vector. showing all active events (enabled and not enabled). (corresponding to first line of interrupt)" line.long 0x08 "GPIO_IRQSTATUS_RAW_1,Per-event raw interrupt status vector. showing all active events (enabled and not enabled). (corresponding to second line of interrupt)" line.long 0x0C "GPIO_IRQSTATUS_0,Per-event interrupt status vector. showing all active and enabled events (corresponding to first line of interrupt)" line.long 0x10 "GPIO_IRQSTATUS_1,Per-event enabled interrupt status vector. showing all active and enabled events (corresponding to second line of interrupt)" line.long 0x14 "GPIO_IRQSTATUS_SET_0,Per-event interrupt-enable set vector (corresponding to first line of interrupt)" line.long 0x18 "GPIO_IRQSTATUS_SET_1,Per-event enable set interrupt vector (corresponding to second line of interrupt)" line.long 0x1C "GPIO_IRQSTATUS_CLR_0,Per-event interrupt-enable clear vector (corresponding to first line of interrupt)" line.long 0x20 "GPIO_IRQSTATUS_CLR_1,Per-event enable clear interrupt vector (corresponding to second line of interrupt)" line.long 0x24 "GPIO_IRQWAKEN_0,Per-event wake-up enable set vector (corresponding to first line of interrupt)" line.long 0x28 "GPIO_IRQWAKEN_1,Per-event wake-up enable set vector (corresponding to second line of interrupt)" rgroup.long 0x114++0x03 line.long 0x00 "GPIO_SYSSTATUS,System status register" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "RESETDONE," "RESETDONE_0_r,RESETDONE_1_r" group.long 0x130++0x27 line.long 0x00 "GPIO_CTRL,GPIO control register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1.--2. "GATINGRATIO,Clock gating ratio for event detection" "GATINGRATIO_0,GATINGRATIO_1,GATINGRATIO_2,GATINGRATIO_3" bitfld.long 0x00 0. "DISABLEMODULE," "DISABLEMODULE_0,DISABLEMODULE_1" line.long 0x04 "GPIO_OE,Output enable register" line.long 0x08 "GPIO_DATAIN,Data input register (with sampled input data)" line.long 0x0C "GPIO_DATAOUT,Data-output register (data to set on output pins)" line.long 0x10 "GPIO_LEVELDETECT0,Detect low-level register" line.long 0x14 "GPIO_LEVELDETECT1,Detect high-level register" line.long 0x18 "GPIO_RISINGDETECT,Detect rising edge register" line.long 0x1C "GPIO_FALLINGDETECT,Detect falling edge register" line.long 0x20 "GPIO_DEBOUNCENABLE,Debouncing enable register" line.long 0x24 "GPIO_DEBOUNCINGTIME,Debouncing value register" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x24 0.--7. 1. "DEBOUNCETIME,8-bit values specifying the debouncing time" group.long 0x190++0x07 line.long 0x00 "GPIO_CLEARDATAOUT,Clear data-output register" line.long 0x04 "GPIO_SETDATAOUT,Set data-output register" tree.end repeat.end tree "GPIO1_TARG" base ad:0x4AE11000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO2_TARG" base ad:0x48056000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO3_TARG" base ad:0x48058000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO4_TARG" base ad:0x4805A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO5_TARG" base ad:0x4805C000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO6_TARG" base ad:0x4805E000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO7_TARG" base ad:0x48052000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPIO8_TARG" base ad:0x48054000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPMC" base ad:0x50000000 rgroup.long 0x00++0x03 line.long 0x00 "GPMC_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "GPMC_SYSCONFIG,This register controls the various parameters of the interconnect" hexmask.long 0x00 5.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x00 3.--4. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x00 2. "RESERVED,Write 0 for future compatibility Read returns 0" "0,1" newline bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,Internal interface clock-gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "GPMC_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED,Read returns 0 (reserved for interconnect-socket status information)" newline bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0,RESETDONE_1" line.long 0x08 "GPMC_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x08 9. "WAIT1EDGEDETECTIONSTATUS,Status of the Wait1 Edge Detection interrupt" "WAIT1EDGEDETECTIONSTATUS_0_w,WAIT1EDGEDETECTIONSTATUS_1_w" newline bitfld.long 0x08 8. "WAIT0EDGEDETECTIONSTATUS,Status of the Wait0 Edge Detection interrupt" "WAIT0EDGEDETECTIONSTATUS_0_w,WAIT0EDGEDETECTIONSTATUS_1_w" newline bitfld.long 0x08 2.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 1. "TERMINALCOUNTSTATUS,Status of the TerminalCountEvent interrupt" "TERMINALCOUNTSTATUS_0_w,TERMINALCOUNTSTATUS_1_w" newline bitfld.long 0x08 0. "FIFOEVENTSTATUS,Status of the FIFOEvent interrupt" "FIFOEVENTSTATUS_0_w,FIFOEVENTSTATUS_1_w" line.long 0x0C "GPMC_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x0C 9. "WAIT1EDGEDETECTIONENABLE,Enables the Wait1 Edge Detection interrupt" "WAIT1EDGEDETECTIONENABLE_0,WAIT1EDGEDETECTIONENABLE_1" newline bitfld.long 0x0C 8. "WAIT0EDGEDETECTIONENABLE,Enables the Wait0 Edge Detection interrupt" "WAIT0EDGEDETECTIONENABLE_0,WAIT0EDGEDETECTIONENABLE_1" newline bitfld.long 0x0C 2.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 1. "TERMINALCOUNTEVENTENABLE,Enables TerminalCountEvent interrupt issuing in prefetch or write-posting mode" "TERMINALCOUNTEVENTENABLE_0,TERMINALCOUNTEVENTENABLE_1" newline bitfld.long 0x0C 0. "FIFOEVENTENABLE,Enables the FIFOEvent interrupt" "FIFOEVENTENABLE_0,FIFOEVENTENABLE_1" group.long 0x40++0x0B line.long 0x00 "GPMC_TIMEOUT_CONTROL,The register allows the user to set the start value of the timeout counter" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Write 0s for future compatibility" newline abitfld.long 0x00 4.--12. "TIMEOUTSTARTVALUE,Start value of the time-out counter" "0x000=Zero GPMC_FCLK cycle,0x001=One GPMC_FCLK cycle,0x1FF=511 GPMC_FCLK cycles" newline bitfld.long 0x00 1.--3. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "TIMEOUTENABLE,Enable bit of the TimeOut feature" "TIMEOUTENABLE_0,TIMEOUTENABLE_1" line.long 0x04 "GPMC_ERR_ADDRESS,The register stores the address of the illegal access when an error occurs" bitfld.long 0x04 31. "RESERVED,Write 0s for future compatibility" "0,1" newline hexmask.long 0x04 0.--30. 1. "ILLEGALADD,Address of illegal access A30: 0 for memory region 1 for GPMC register region A29-A0: 1 GiB maximum" line.long 0x08 "GPMC_ERR_TYPE,The register stores the type of error when an error occurs" hexmask.long.tbyte 0x08 11.--31. 1. "RESERVED,Write 0s for future compatibility" newline rbitfld.long 0x08 8.--10. "ILLEGALMCMD,System command of the transaction that caused the error" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 4. "ERRORNOTSUPPADD,Not supported address error" "ERRORNOTSUPPADD_0,ERRORNOTSUPPADD_1" newline rbitfld.long 0x08 3. "ERRORNOTSUPPMCMD,Not supported command error" "ERRORNOTSUPPMCMD_0,ERRORNOTSUPPMCMD_1" newline rbitfld.long 0x08 2. "ERRORTIMEOUT,Time-out error" "ERRORTIMEOUT_0,ERRORTIMEOUT_1" newline bitfld.long 0x08 1. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x08 0. "ERRORVALID,Error validity status - Must be explicitly cleared with a write 1 transaction" "ERRORVALID_0,ERRORVALID_1" group.long 0x50++0x07 line.long 0x00 "GPMC_CONFIG,The configuration register allows global configuration of the GPMC" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x00 9. "WAIT1PINPOLARITY,Selects the polarity of input pin WAIT1" "WAIT1PINPOLARITY_0,WAIT1PINPOLARITY_1" newline bitfld.long 0x00 8. "WAIT0PINPOLARITY,Selects the polarity of input pin WAIT0" "WAIT0PINPOLARITY_0,WAIT0PINPOLARITY_1" newline bitfld.long 0x00 2.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "RESERVED,Write 0 for future compatibility" "0,1" newline bitfld.long 0x00 0. "NANDFORCEPOSTEDWRITE,Enables the Force Posted Write feature to NAND Cmd/Add/Data location" "NANDFORCEPOSTEDWRITE_0,NANDFORCEPOSTEDWRITE_1" line.long 0x04 "GPMC_STATUS,The status register provides global status bits of the GPMC" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED,Write 0s for future compatibility" newline rbitfld.long 0x04 9. "WAIT1STATUS,Is a copy of input pin WAIT1" "WAIT1STATUS_0,WAIT1STATUS_1" newline rbitfld.long 0x04 8. "WAIT0STATUS,Is a copy of input pin WAIT0" "WAIT0STATUS_0,WAIT0STATUS_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED,Write 0s for future compatibility" newline rbitfld.long 0x04 0. "EMPTYWRITEBUFFERSTATUS,Stores the empty status of the write buffer" "EMPTYWRITEBUFFERSTATUS_0,EMPTYWRITEBUFFERSTATUS_1" group.long 0x1E0++0x07 line.long 0x00 "GPMC_PREFETCH_CONFIG1,Prefetch engine configuration 1" bitfld.long 0x00 31. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 28.--30. "CYCLEOPTIMIZATION,Define the number of GPMC_FCLK cycles to be subtracted from RDCYCLETIME WRCYCLETIME RDACCESSTIME CSRDOFFTIME CSWROFFTIME ADVRDOFFTIME ADVWROFFTIME OEOFFTIME WEOFFTIME" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" newline bitfld.long 0x00 27. "ENABLEOPTIMIZEDACCESS,Enables access cycle optimization" "ENABLEOPTIMIZEDACCESS_0,ENABLEOPTIMIZEDACCESS_1" newline bitfld.long 0x00 24.--26. "ENGINECSSELECTOR,Selects the chip-select where Prefetch Postwrite engine is active" "CS0,CS1,CS2,CS3,CS4,CS5,CS6,CS7" newline bitfld.long 0x00 23. "PFPWENROUNDROBIN,Enables the PFPW RoundRobin arbitration" "PFPWENROUNDROBIN_0,PFPWENROUNDROBIN_1" newline bitfld.long 0x00 20.--22. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "PFPWWEIGHTEDPRIO,When an arbitration occurs between a DMA and a PFPW engine access the DMA is always serviced" "The next access is granted to the PFPW engine,The next two accesses are granted to the PFPW..,?,?,?,?,?,?,?,?,?,?,?,?,?,The next 16 accesses are granted to the PFPW.." newline bitfld.long 0x00 15. "RESERVED,Write 0s for future compatibility" "0,1" newline abitfld.long 0x00 8.--14. "FIFOTHRESHOLD,Selects the maximum number of bytes read from the FIFO or written to the FIFO by the host on a DMA or interrupt request" "0x00=0 byte,0x01=1 byte,0x40=64 bytes" newline bitfld.long 0x00 7. "ENABLEENGINE,Enables the Prefetch Postwite engine" "ENABLEENGINE_0,ENABLEENGINE_1" newline bitfld.long 0x00 6. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 4.--5. "WAITPINSELECTOR,Select which wait pin edge detector should start the engine in synchronized mode0x2 " "WAITPINSELECTOR_0,WAITPINSELECTOR_1,?,?" newline bitfld.long 0x00 3. "SYNCHROMODE,Selects when the engine starts the access to chip-select" "SYNCHROMODE_0,SYNCHROMODE_1" newline bitfld.long 0x00 2. "DMAMODE,Selects interrupt synchronization or DMA request synchronization" "DMAMODE_0,DMAMODE_1" newline bitfld.long 0x00 1. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 0. "ACCESSMODE,Selects prefetch read or write-posting accesses" "ACCESSMODE_0,ACCESSMODE_1" line.long 0x04 "GPMC_PREFETCH_CONFIG2,Prefetch engine configuration 2" hexmask.long.tbyte 0x04 14.--31. 1. "RESERVED,Write 0s for future compatibility" newline abitfld.long 0x04 0.--13. "TRANSFERCOUNT,Selects the number of bytes to be read or written by the engine to the selected chip-select" "0x0000=0 byte,0x0001=1 byte,0x2000=8 Kbytes" group.long 0x1EC++0x13 line.long 0x00 "GPMC_PREFETCH_CONTROL,Prefetch engine control" hexmask.long 0x00 1.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x00 0. "STARTENGINE,Resets the FIFO pointer and starts the engine" "STARTENGINE_0_w,STARTENGINE_1_w" line.long 0x04 "GPMC_PREFETCH_STATUS,Prefetch engine status" bitfld.long 0x04 31. "RESERVED,Write 0s for future compatibility" "0,1" newline abitfld.long 0x04 24.--30. "FIFOPOINTER,Number of available bytes to be read or number of free empty byte places to be written" "0x00=0 byte available to be read or 0 free empty..,0x40=64 bytes available to be read or 64 empty.." newline hexmask.long.byte 0x04 17.--23. 1. "RESERVED,Write 0s for future compatibility" newline rbitfld.long 0x04 16. "FIFOTHRESHOLDSTATUS,Set when FIFOPointer exceeds FIFOThreshold value" "FIFOTHRESHOLDSTATUS_0,FIFOTHRESHOLDSTATUS_1" newline bitfld.long 0x04 14.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline abitfld.long 0x04 0.--13. "COUNTVALUE,Number of remaining bytes to be read or to be written by the engine according to the TransferCount value" "0x0000=0 byte remaining to be read or to be..,0x0001=1 byte remaining to be read or to be..,0x2000=8 KiB remaining to be read or to be written" line.long 0x08 "GPMC_ECC_CONFIG,ECC configuration" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x08 16. "ECCALGORITHM,ECC algorithm used" "ECCALGORITHM_0,ECCALGORITHM_1" newline bitfld.long 0x08 14.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x08 12.--13. "ECCBCHTSEL,Error correction capability used for BCH" "ECCBCHTSEL_0,ECCBCHTSEL_1,ECCBCHTSEL_2,ECCBCHTSEL_3" newline bitfld.long 0x08 8.--11. "ECCWRAPMODE,Spare area organization definition for the BCH algorithm" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 7. "ECC16B,Selects an ECC calculated on 16 columns" "ECC16B_0,ECC16B_1" newline bitfld.long 0x08 4.--6. "ECCTOPSECTOR,Number of sectors to process with the BCH algorithm" "1 sector (512-kB page),2 sectors,?,4 sectors (2-kB page),?,?,?,8 sectors (4-kB page)" newline bitfld.long 0x08 1.--3. "ECCCS,Selects the CS where ECC is" "ECCCS_0,ECCCS_1,ECCCS_2,ECCCS_3,?,?,?,?" newline bitfld.long 0x08 0. "ECCENABLE,Enables the ECC feature" "ECCENABLE_0,ECCENABLE_1" line.long 0x0C "GPMC_ECC_CONTROL,ECC control" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED,Write 0s for future compatibility" newline bitfld.long 0x0C 8. "ECCCLEAR,Clear all ECC result registers Reads return 0" "0,1" newline bitfld.long 0x0C 4.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 0.--3. "ECCPOINTER,Selects ECC result register (Reads to this field give the dynamic position of the ECC pointer - Writes to this field select the ECC result register where the first ECC computation will be stored.); Other enums: writing other values disables.." "ECCPOINTER_0,ECCPOINTER_1,ECCPOINTER_2,ECCPOINTER_3,ECCPOINTER_4,ECCPOINTER_5,ECCPOINTER_6,ECCPOINTER_7,ECCPOINTER_8,ECCPOINTER_9,?,?,?,?,?,?" line.long 0x10 "GPMC_ECC_SIZE_CONFIG,ECC size" bitfld.long 0x10 30.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline abitfld.long 0x10 22.--29. "ECCSIZE1,Defines Hamming code ECC size 1 in bytes" "0x00=2 bytes,0x01=4 bytes,0x02=6 bytes,0x03=8 bytes,0xFF=512 bytes For BCH.." newline bitfld.long 0x10 20.--21. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline abitfld.long 0x10 12.--19. "ECCSIZE0,Defines Hamming code ECC size 0 in bytes" "0x00=2 bytes,0x01=4 bytes,0x02=6 bytes,0x03=8 bytes,0xFF=512 bytes For BCH.." newline bitfld.long 0x10 9.--11. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "ECC9RESULTSIZE,Selects ECC size for ECC 9 result register" "ECC9RESULTSIZE_0,ECC9RESULTSIZE_1" newline bitfld.long 0x10 7. "ECC8RESULTSIZE,Selects ECC size for ECC 8 result register" "ECC8RESULTSIZE_0,ECC8RESULTSIZE_1" newline bitfld.long 0x10 6. "ECC7RESULTSIZE,Selects ECC size for ECC 7 result register" "ECC7RESULTSIZE_0,ECC7RESULTSIZE_1" newline bitfld.long 0x10 5. "ECC6RESULTSIZE,Selects ECC size for ECC 6 result register" "ECC6RESULTSIZE_0,ECC6RESULTSIZE_1" newline bitfld.long 0x10 4. "ECC5RESULTSIZE,Selects ECC size for ECC 5 result register" "ECC5RESULTSIZE_0,ECC5RESULTSIZE_1" newline bitfld.long 0x10 3. "ECC4RESULTSIZE,Selects ECC size for ECC 4 result register" "ECC4RESULTSIZE_0,ECC4RESULTSIZE_1" newline bitfld.long 0x10 2. "ECC3RESULTSIZE,Selects ECC size for ECC 3 result register" "ECC3RESULTSIZE_0,ECC3RESULTSIZE_1" newline bitfld.long 0x10 1. "ECC2RESULTSIZE,Selects ECC size for ECC 2 result register" "ECC2RESULTSIZE_0,ECC2RESULTSIZE_1" newline bitfld.long 0x10 0. "ECC1RESULTSIZE,Selects ECC size for ECC 1 result register" "ECC1RESULTSIZE_0,ECC1RESULTSIZE_1" group.long 0x2D0++0x03 line.long 0x00 "GPMC_BCH_SWDATA,This register is used to directly pass data to the BCH ECC calculator without accessing the actual NAND flash interface" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" newline hexmask.long.word 0x00 0.--15. 1. "BCH_DATA,Data to be included in the BCH calculation Only bits 0 to 7 are considered if the calculator is configured to use 8-bit data (GPMC_ECC_CONFIG[7] ECC16B = 0)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x308)++0x03 line.long 0x00 "GPMC_BCH_RESULT6_i_$1,BCH ECC result (bits 192 to 207)" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Write 0s for future compatibility" hexmask.long.word 0x00 0.--15. 1. "BCH_RESULT_6,BCH ECC result (bits 192 to 207)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x304)++0x03 line.long 0x00 "GPMC_BCH_RESULT5_i_$1,BCH ECC result (bits 160 to 191)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x300)++0x03 line.long 0x00 "GPMC_BCH_RESULT4_i_$1,BCH ECC result (bits 128 to 159)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x24C)++0x03 line.long 0x00 "GPMC_BCH_RESULT3_i_$1,BCH ECC result (bits 96 to 127)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x248)++0x03 line.long 0x00 "GPMC_BCH_RESULT2_i_$1,BCH ECC result (bits 64 to 95)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x244)++0x03 line.long 0x00 "GPMC_BCH_RESULT1_i_$1,BCH ECC result (bits 32 to 63)" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x240)++0x03 line.long 0x00 "GPMC_BCH_RESULT0_i_$1,BCH ECC result (bits 0 to 31)" repeat.end repeat 9. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 ) group.long ($2+0x200)++0x03 line.long 0x00 "GPMC_ECCj_RESULT_$1,ECC result register" bitfld.long 0x00 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "P2048O,Odd row parity bit 2048 only used for ECC computed on 512 bytes" "0,1" newline rbitfld.long 0x00 26. "P1024O,Odd row parity bit 1024" "0,1" rbitfld.long 0x00 25. "P512O,Odd row parity bit 512" "0,1" newline rbitfld.long 0x00 24. "P256O,Odd row parity bit 256" "0,1" rbitfld.long 0x00 23. "P128O,Odd row parity bit 128" "0,1" newline rbitfld.long 0x00 22. "P64O,Odd row parity bit 64" "0,1" rbitfld.long 0x00 21. "P32O,Odd row parity bit 32" "0,1" newline rbitfld.long 0x00 20. "P16O,Odd row parity bit 16" "0,1" rbitfld.long 0x00 19. "P8O,Odd row parity bit 8" "0,1" newline rbitfld.long 0x00 18. "P4O,Odd Column Parity bit 4" "0,1" rbitfld.long 0x00 17. "P2O,Odd Column Parity bit 2" "0,1" newline rbitfld.long 0x00 16. "P1O,Odd Column Parity bit 1" "0,1" bitfld.long 0x00 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 11. "P2048E,Even row parity bit 2048 only used for ECC computed on 512 bytes" "0,1" rbitfld.long 0x00 10. "P1024E,Even row parity bit 1024" "0,1" newline rbitfld.long 0x00 9. "P512E,Even row parity bit 512" "0,1" rbitfld.long 0x00 8. "P256E,Even row parity bit 256" "0,1" newline rbitfld.long 0x00 7. "P128E,Even row parity bit 128" "0,1" rbitfld.long 0x00 6. "P64E,Even row parity bit 64" "0,1" newline rbitfld.long 0x00 5. "P32E,Even row parity bit 32" "0,1" rbitfld.long 0x00 4. "P16E,Even row parity bit 16" "0,1" newline rbitfld.long 0x00 3. "P8E,Even row parity bit 8" "0,1" rbitfld.long 0x00 2. "P4E,Even column parity bit 4" "0,1" newline rbitfld.long 0x00 1. "P2E,Even column parity bit 2" "0,1" rbitfld.long 0x00 0. "P1E,Even column parity bit 1" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x84)++0x03 line.long 0x00 "GPMC_NAND_DATA_i_$1,This register is not a true register.only an address location" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x80)++0x03 line.long 0x00 "GPMC_NAND_ADDRESS_i_$1,This register is not a true register. only an address location" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x7C)++0x03 line.long 0x00 "GPMC_NAND_COMMAND_i_$1,This register is not a true register. only an address location" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x78)++0x03 line.long 0x00 "GPMC_CONFIG7_i_$1,CS address mapping configuration" hexmask.long.tbyte 0x00 12.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x00 8.--11. "MASKADDRESS,CS mask address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 7. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.long 0x00 6. "CSVALID,CS enable" "CSVALID_0,CSVALID_1" newline bitfld.long 0x00 0.--5. "BASEADDRESS,CSi base address where i = 0 to 7 (16-MiB minimum granularity) bits [5:0] corresponds to A29 A28 A27 A26 A25 and A24" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x74)++0x03 line.long 0x00 "GPMC_CONFIG6_i_$1,WrAccessTime. WrDataOnADmuxBus. Cycle2Cycle and BusTurnAround parameters configuration" bitfld.long 0x00 31. "RESERVED,TI Internal use - Do not modify" "0,1" bitfld.long 0x00 29.--30. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x00 24.--28. "WRACCESSTIME,Delay from start access time to the GPMC_FCLK rising edge corresponding the GPMC_CLK rising edge used by the attached memory for the first data capture" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" bitfld.long 0x00 20.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 16.--19. "WRDATAONADMUXBUS,Specifies on which GPMC_FCLK rising edge the first data of the write is driven in the add/data mux bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 12.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 8.--11. "CYCLE2CYCLEDELAY,Chip-select high pulse delay between successive accesses" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" bitfld.long 0x00 7. "CYCLE2CYCLESAMECSEN,Add CYCLE2CYCLEDELAY between successive accesses to the same chip-select (any access type)" "CYCLE2CYCLESAMECSEN_0,CYCLE2CYCLESAMECSEN_1" newline bitfld.long 0x00 6. "CYCLE2CYCLEDIFFCSEN,Add CYCLE2CYCLEDELAY between successive accesses to a different chip-select (any access type)" "CYCLE2CYCLEDIFFCSEN_0,CYCLE2CYCLEDIFFCSEN_1" bitfld.long 0x00 4.--5. "RESERVED,Write 0s for future compatibility" "0,1,2,3" newline bitfld.long 0x00 0.--3. "BUSTURNAROUND,Bus turnaround latency between successive accesses to the same chip-select (read to write) or to a different chip-select (read to read and read to write)" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x70)++0x03 line.long 0x00 "GPMC_CONFIG5_i_$1,RdAccessTime and CycleTime timing parameters configuration" bitfld.long 0x00 28.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "PAGEBURSTACCESSTIME,Delay between successive words in a multiple access" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" newline bitfld.long 0x00 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--20. "RDACCESSTIME,Delay between start cycle time and first data valid" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "WRCYCLETIME,Total write cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "RDCYCLETIME,Total read cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x6C)++0x03 line.long 0x00 "GPMC_CONFIG4_i_$1,nWE and nOE signals timing parameter configuration" bitfld.long 0x00 29.--31. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "WEOFFTIME,nWE deassertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 23. "WEEXTRADELAY,nWE add extra half-GPMC_FCLK cycle" "WEEXTRADELAY_0,WEEXTRADELAY_1" bitfld.long 0x00 20.--22. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--19. "WEONTIME,nWE assertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" bitfld.long 0x00 13.--15. "OEAADMUX_OFFTIME,nOE deassertion time for the first address phase in an AAD-multiplexed access" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" newline bitfld.long 0x00 8.--12. "OEOFFTIME,nOE deassertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" bitfld.long 0x00 7. "OEEXTRADELAY,nOE add extra half-GPMC_FCLK cycle" "OEEXTRADELAY_0,OEEXTRADELAY_1" newline bitfld.long 0x00 4.--6. "OEAADMUX_ONTIME,nOE assertion time for the first address phase in an AAD-mux access" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" bitfld.long 0x00 0.--3. "OEONTIME,nOE assertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x68)++0x03 line.long 0x00 "GPMC_CONFIG3_i_$1,nADV signal timing parameter configuration" bitfld.long 0x00 31. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.long 0x00 28.--30. "ADVAADMUXWROFFTIME,nADV deassertion for first address phase when using the AAD-multiplexed protocol" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" newline bitfld.long 0x00 27. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.long 0x00 24.--26. "ADVAADMUXRDOFFTIME,nADV assertion for first address phase when using the AAD-multiplexed protocol" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" newline bitfld.long 0x00 21.--23. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--20. "ADVWROFFTIME,nADV deassertion time from start cycle time for write accesses" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "ADVRDOFFTIME,nADV deassertion time from start cycle time for read accesses" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 7. "ADVEXTRADELAY,nADV add extra half-GPMC_FCLK cycle" "ADVEXTRADELAY_0,ADVEXTRADELAY_1" bitfld.long 0x00 4.--6. "ADVAADMUXONTIME,nADV assertion for first address phase when using the AAD-multiplexed protocol" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,7 GPMC_FCLK cycles" newline bitfld.long 0x00 0.--3. "ADVONTIME,nADV assertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x64)++0x03 line.long 0x00 "GPMC_CONFIG2_i_$1,CS signal timing parameter configuration" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x00 16.--20. "CSWROFFTIME,CS i deassertion time from start cycle time for write accesses" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 13.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "CSRDOFFTIME,CS i de-assertion time from start cycle time for read accesses" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,31 GPMC_FCLK cycles" newline bitfld.long 0x00 7. "CSEXTRADELAY,CS i Add extra half-GPMC_FCLK cycle" "CSEXTRADELAY_0,CSEXTRADELAY_1" bitfld.long 0x00 4.--6. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--3. "CSONTIME,CS i assertion time from start cycle time" "0 GPMC_FCLK cycle,1 GPMC_FCLK cycle,?,?,?,?,?,?,?,?,?,?,?,?,?,15 GPMC_FCLK cycles" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x30 0x60 0x90 0xC0 0xF0 0x120 0x150 ) group.long ($2+0x60)++0x03 line.long 0x00 "GPMC_CONFIG1_i_$1,The configuration register 1 sets signal control parameters per chip-select" bitfld.long 0x00 31. "WRAPBURST,Enables the wrapping burst capability" "WRAPBURST_0,WRAPBURST_1" bitfld.long 0x00 30. "READMULTIPLE,Selects the read single or multiple access" "READMULTIPLE_0,READMULTIPLE_1" newline bitfld.long 0x00 29. "READTYPE,Selects the read mode operation" "READTYPE_0,READTYPE_1" bitfld.long 0x00 28. "WRITEMULTIPLE,Selects the write single or multiple access" "WRITEMULTIPLE_0,WRITEMULTIPLE_1" newline bitfld.long 0x00 27. "WRITETYPE,Selects the write mode operation" "WRITETYPE_0,WRITETYPE_1" bitfld.long 0x00 25.--26. "CLKACTIVATIONTIME,Output GPMC_CLK activation time" "CLKACTIVATIONTIME_0,CLKACTIVATIONTIME_1,CLKACTIVATIONTIME_2,CLKACTIVATIONTIME_3" newline bitfld.long 0x00 23.--24. "ATTACHEDDEVICEPAGELENGTH,Specifies the attached device page (burst) length" "ATTACHEDDEVICEPAGELENGTH_0,ATTACHEDDEVICEPAGELENGTH_1,ATTACHEDDEVICEPAGELENGTH_2,ATTACHEDDEVICEPAGELENGTH_3" bitfld.long 0x00 22. "WAITREADMONITORING,Selects the Wait monitoring configuration for Read accesses (Reset value isbootwaiten input pin sampled at device reset)" "WAITREADMONITORING_0,WAITREADMONITORING_1" newline bitfld.long 0x00 21. "WAITWRITEMONITORING,Selects the Wait monitoring configuration for Write accesses" "WAITWRITEMONITORING_0,WAITWRITEMONITORING_1" bitfld.long 0x00 20. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 18.--19. "WAITMONITORINGTIME,Selects input pin Wait monitoring time" "WAITMONITORINGTIME_0,WAITMONITORINGTIME_1,WAITMONITORINGTIME_2,WAITMONITORINGTIME_3" bitfld.long 0x00 16.--17. "WAITPINSELECT,Selects the input wait pin for this chip-select (The reset value is HW fixed to 0x0 for CS0-CS7)0x2 " "WAITPINSELECT_0,WAITPINSELECT_1,?,?" newline bitfld.long 0x00 14.--15. "RESERVED,Write 0s for future compatibility" "0,1,2,3" bitfld.long 0x00 12.--13. "DEVICESIZE,Selects the device size attached (Reset value isbootdevicesize input pin sampled at device reset for CS0 and 0x1 for CS1 to CS7)" "DEVICESIZE_0,DEVICESIZE_1,DEVICESIZE_2,DEVICESIZE_3" newline bitfld.long 0x00 10.--11. "DEVICETYPE,Selects the attached device type" "DEVICETYPE_0,DEVICETYPE_1,DEVICETYPE_2,DEVICETYPE_3" bitfld.long 0x00 8.--9. "MUXADDDATA,Enables the address and data multiplexed protocol (Reset value iscs0muxdevice input pin sampled at device reset for CS0 and 0 for CS1-CS7)" "MUXADDDATA_0,MUXADDDATA_1,MUXADDDATA_2,MUXADDDATA_3" newline bitfld.long 0x00 5.--7. "RESERVED,Write 0s for future compatibility" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "TIMEPARAGRANULARITY,Signals timing latencies scalar factor (RD/WRCYCLETIME RD/WRACCESSTIME PAGEBURSTACCESSTIME CSONTIME CSRD/WROFFTIME ADVONTIME ADVRD/WROFFTIME OEONTIME OEOFFTIME WEONTIME WEOFFTIME CYCLE2CYCLEDELAY BUSTURNAROUND TIMEOUTSTARTVALUE.." "TIMEPARAGRANULARITY_0,TIMEPARAGRANULARITY_1" newline bitfld.long 0x00 2.--3. "RESERVED,Write 0s for future compatibility" "0,1,2,3" bitfld.long 0x00 0.--1. "GPMCFCLKDIVIDER,Divides the GPMC_FCLK clock" "GPMCFCLKDIVIDER_0,GPMCFCLKDIVIDER_1,GPMCFCLKDIVIDER_2,GPMCFCLKDIVIDER_3" repeat.end tree.end tree "GPMC_FW" base ad:0x4A210000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "GPMC_FW_CFG_TARG" base ad:0x4A211000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPMC_TARG" base ad:0x44000100 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "GPU_FW" base ad:0x4A214000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "GPU_FW_CFG_TARG" base ad:0x4A215000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "GPU_PRM" base ad:0x4AE07200 group.long 0x00++0x07 line.long 0x00 "PM_GPU_PWRSTCTRL,This register controls the GPU power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "GPU_MEM_ONSTATE,GPU_MEM memory bank state when domain is ON" "?,?,?,GPU_MEM_ONSTATE_3" hexmask.long.word 0x00 5.--15. 1. "RESERVED," newline bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_GPU_PWRSTST,This register provides a status on the current GPU power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "GPU_MEM_STATEST,GPU_MEM memory bank state status" "GPU_MEM_STATEST_0,GPU_MEM_STATEST_1,GPU_MEM_STATEST_2,GPU_MEM_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x24++0x03 line.long 0x00 "RM_GPU_GPU_CONTEXT,This register contains dedicated GPU context statuses" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "LOSTMEM_GPU_MEM,Specify if memory-based context in GPU_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_GPU_MEM_0,LOSTMEM_GPU_MEM_1" hexmask.long.byte 0x00 1.--7. 1. "RESERVED," newline bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "GPU_TARG" base ad:0x44001200 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "GPU_WRAPPER" base ad:0x5600FE00 rgroup.long 0x00++0x07 line.long 0x00 "REVISION,Revision register" line.long 0x04 "HWINFO,Hardware implementation information" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 2. "MEM_BUS_WIDTH,Memory bus width" "64 bits,128 bits" newline bitfld.long 0x04 0.--1. "SYS_BUS_WIDTH,System bus width" "32 bits,64 bits,128 bits,Reserved" group.long 0x10++0x03 line.long 0x00 "SYSCONFIG,System configuration register" hexmask.long 0x00 6.--31. 1. "RESERVED," bitfld.long 0x00 4.--5. "STANDBY_MODE,Clock standby mode" "Force-standby,No-standby,Smart-standby,Reserved" newline bitfld.long 0x00 2.--3. "IDLE_MODE,Clock idle mode" "Force-standby,No-standby,Smart-standby,Reserved" rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x24++0x2F line.long 0x00 "IRQSTATUS_RAW_0,Raw IRQ 0 status" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "INIT_MINTERRUPT_RAW,Interrupt 0 raw event: Write" "No event pending,Event pending" line.long 0x04 "IRQSTATUS_RAW_1,Raw IRQ 1 status" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "TARGET_SINTERRUPT_RAW,Interrupt 1 raw event: Write" "No event pending,Event pending" line.long 0x08 "IRQSTATUS_RAW_2,Raw IRQ 2 status" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "THALIA_IRQ_RAW,Interrupt 0 raw event: Write" "No event pending,Event pending" line.long 0x0C "IRQSTATUS_0,Interrupt 0 status event" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "INIT_MINTERRUPT_STATUS,Interrupt 0 raw event: Write" "No event pending,Event pending and interrupt enabled" line.long 0x10 "IRQSTATUS_1,Interrupt" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "TARGET_SINTERRUPT_STATUS,Interrupt 0 raw event: Write" "No event pending,Event pending and interrupt enabled" line.long 0x14 "IRQSTATUS_2,Interrupt" hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "THALIA_IRQ_STATUS,Interrupt 0 raw event: Write" "No event pending,Event pending and interrupt enabled" line.long 0x18 "IRQENABLE_SET_0,Enable Interrupt" hexmask.long 0x18 1.--31. 1. "RESERVED," bitfld.long 0x18 0. "INIT_MINTERRUPT_ENABLE,To enable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" line.long 0x1C "IRQENABLE_SET_1,Enable Interrupt 1" hexmask.long 0x1C 1.--31. 1. "RESERVED," bitfld.long 0x1C 0. "TARGET_SINTERRUPT_ENABLE,To enable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" line.long 0x20 "IRQENABLE_SET_2,Enable Interrupt 2" hexmask.long 0x20 1.--31. 1. "RESERVED," bitfld.long 0x20 0. "THALIA_IRQ_ENABLE,To enable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" line.long 0x24 "IRQENABLE_CLR_0,Disable Interrupt" hexmask.long 0x24 1.--31. 1. "RESERVED," bitfld.long 0x24 0. "INIT_MINTERRUPT_DISABLE,To disable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" line.long 0x28 "IRQENABLE_CLR_1,Disable Interrupt" hexmask.long 0x28 1.--31. 1. "RESERVED," bitfld.long 0x28 0. "TARGET_SINTERRUPT_DISABLE,To disable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" line.long 0x2C "IRQENABLE_CLR_2,Disable Interrupt" hexmask.long 0x2C 1.--31. 1. "RESERVED," bitfld.long 0x2C 0. "THALIA_IRQ_DISABLE,To disable interrupt: Write" "Interrupt is disabled,Interrupt is enabled" group.long 0x100++0x13 line.long 0x00 "PAGE_CONFIG,Configure memory pages" bitfld.long 0x00 31. "THALIA_INT_BYPASS,Bypass OCP IPG interrupt logic" "0,1" hexmask.long 0x00 5.--30. 1. "RESERVED," newline bitfld.long 0x00 3.--4. "OCP_PAGE_SIZE,Defines the page size on OCP memory interface" "4 KiB,2 KiB,1 KiB,512B" bitfld.long 0x00 2. "MEM_PAGE_CHECK_EN,To enable page boundary" "Disabled,Enabled" newline bitfld.long 0x00 0.--1. "MEM_PAGE_SIZE,Defines the page size on internal memory interface" "4 KiB,2 KiB,1 KiB,512B" line.long 0x04 "INTERRUPT_EVENT,Interrupt events" hexmask.long.word 0x04 19.--31. 1. "RESERVED," bitfld.long 0x04 18. "TARGET_INVALID_OCP_CMD,Invalid command from OCP: Write" "No event pending,Event pending" newline bitfld.long 0x04 17. "TARGET_CMD_FIFO_FULL,Command FIFO full: Write" "No event pending,Event pending" bitfld.long 0x04 16. "TARGET_RESP_FIFO_FULL,Response FIFO full: Write" "No event pending,Event pending" newline rbitfld.long 0x04 14.--15. "RESERVED," "0,1,2,3" bitfld.long 0x04 13. "INT_MEM_REQ_FIFO_OVERRUN_1,Memory request FIFO overrun: Write" "No event pending,Event pending" newline bitfld.long 0x04 12. "INIT_READ_TAG_FIFO_OVERRUN_1,Read tag FIFO overrun: Write" "No event pending,Event pending" bitfld.long 0x04 11. "INIT_PAGE_CROSS_ERROR_1,Memory page had been crossed during a burst: Write" "No event pending,Event pending" newline bitfld.long 0x04 10. "INIT_RESP_ERROR_1,Receiving error response: Write" "No event pending,Event pending" bitfld.long 0x04 9. "INIT_RESP_UNUSED_TAG_1,Receiving response on an unused OCP TAG: Write" "No event pending,Event pending" newline bitfld.long 0x04 8. "INIT_RESP_UNEXPECTED_1,Receiving response when not expected: Write" "No event pending,Event pending" rbitfld.long 0x04 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 5. "INIT_MEM_REQ_FIFO_OVERRUN_0,Memory request FIFO overrun;" "No event pending,Event pending" bitfld.long 0x04 4. "INIT_READ_TAG_FIFO_OVERRUN_0,Read tag FIFO overrun: Write" "No event pending,Event pending" newline bitfld.long 0x04 3. "INIT_PAGE_CROSS_ERROR_0,Memory page had been crossed during a burst" "No event pending,Event pending" bitfld.long 0x04 2. "INIT_RESP_ERROR_0,Receiving error response: Write" "No event pending,Event pending" newline bitfld.long 0x04 1. "INIT_RESP_UNUSED_TAG_0,Receiving response on an unused OCP TAG: Write" "No event pending,Event pending" bitfld.long 0x04 0. "INIT_RESP_UNEXPECTED_0,Receiving response when not expected: Write" "No event pending,Event pending" line.long 0x08 "DEBUG_CONFIG,Configuration of debug modes" hexmask.long 0x08 6.--31. 1. "RESERVED," bitfld.long 0x08 5. "SELECT_INT_IDLE,To select which idle the disconnect protocol should act on" "Whole SGX idle,OCP initiator idle" newline bitfld.long 0x08 4. "FORCE_PASS_DATA,Forces the initiator to pass data independent of disconnect protocol" "Do not force normal operation,Never fence request to OCP" bitfld.long 0x08 2.--3. "FORCE_INIT_IDLE,Forces initiator idle: 0x0 " "?,Always idle,Never idle,Do not force normal operation" newline bitfld.long 0x08 0.--1. "FORCE_TARGET_IDLE,Forces target idle: 0x0 " "?,Always idle,Never idle,Do not force normal operation" line.long 0x0C "DEBUG_STATUS_0,Port0 debug status register" bitfld.long 0x0C 31. "CMD_DEBUG_STATE,Target command state-machine" "IDLE,Accept.." bitfld.long 0x0C 30. "CMD_RESP_DEBUG_STATE,Target response state-machine" "Send accept,Wait accept" newline bitfld.long 0x0C 29. "TARGET_IDLE,Target idle" "0,1" bitfld.long 0x0C 28. "RESP_FIFO_FULL,Target response FIFO full" "0,1" newline bitfld.long 0x0C 27. "CMD_FIFO_FULL,Target command FIFO full" "0,1" bitfld.long 0x0C 26. "RESP_ERROR,Respond to OCP with error which could be caused by either address misalignment or invalid byte enable" "0,1" newline bitfld.long 0x0C 21.--25. "WHICH_TARGET_REGISTER,Indicates which OCP target registers to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x0C 18.--20. "TARGET_CMD_OUT,Command received from OCP" "CMD_WRSYS,CMD_RDSYS,CMD_WR_ERROR,CMD_RD_ERROR,CMD_CHK_WRADDR_PAGE (not used),CMD_CHK_RDADDR_PAGE (not used),CMD_TARGET_REG_,CMD_TARGET_REG_READ" newline bitfld.long 0x0C 17. "INIT_MSTANDBY,Status of init_MStandby signal" "0,1" bitfld.long 0x0C 16. "INIT_MWAIT,Status of init_MWait signal" "0,1" newline bitfld.long 0x0C 15. "INIT_MDISCREQ,Request to disconnect from OCP interface" "0,1" bitfld.long 0x0C 13.--14. "INIT_MDISCACK,Disconnect status of the OCP interface" "FUNCT,TRANS,Reserved,IDLE" newline bitfld.long 0x0C 12. "INIT_SCONNECT_2,Defines whether to wait in M_WAIT state for MConnect FSM" "Skip M_WAIT state,Wait in M_WAIT state" bitfld.long 0x0C 11. "INIT_SCONNECT_1,Defines the busy-ness state of the slave" "Slave is drained,Slave is loaded" newline bitfld.long 0x0C 10. "INIT_SCONNECT_0,Disconnect from slave" "Disconnect request from slave,Connect request from slave" bitfld.long 0x0C 8.--9. "INIT_MCONNECT,Initiator MConnect state" "M_OFF,M_WAIT,M_DISC,M_CON" newline bitfld.long 0x0C 6.--7. "TARGET_SIDLEACK,Acknowledge the SIdleAck state-machine" "FUNCT,SLEEP TRANS,Reserved,IDLE" bitfld.long 0x0C 4.--5. "TARGET_SDISCACK,Acknowledge the SDiscAck state-machine" "FUNCT,TRANS,Reserved,IDLE" newline bitfld.long 0x0C 3. "TARGET_SIDLEREQ,Request the target to go idle: 0 Do not go idle or go active 1 Go idle" "0,1" bitfld.long 0x0C 2. "TARGET_SCONNECT,Target SConnect bit 0 state" "Disconnect interface,Connect OCP interface" newline bitfld.long 0x0C 0.--1. "TARGET_MCONNECT,Target MConnect state" "M_OFF,M_WAIT,M_DISC,M_CON" line.long 0x10 "DEBUG_STATUS_1,Port1 debug status register" bitfld.long 0x10 31. "CMD_DEBUG_STATE,Target command state-machine" "IDLE,Accept.." bitfld.long 0x10 30. "CMD_RESP_DEBUG_STATE,Target response state-machine" "Send accept,Wait accept" newline bitfld.long 0x10 29. "TARGET_IDLE,Target idle" "0,1" bitfld.long 0x10 28. "RESP_FIFO_FULL,Target response FIFO full" "0,1" newline bitfld.long 0x10 27. "CMD_FIFO_FULL,Target command FIFO full" "0,1" bitfld.long 0x10 26. "RESP_ERROR,Respond to OCP with error which could be caused by either address misalignment or invalid byte enable" "0,1" newline bitfld.long 0x10 21.--25. "WHICH_TARGET_REGISTER,Indicates which OCP target registers to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x10 18.--20. "TARGET_CMD_OUT,Command received from OCP" "CMD_WRSYS,CMD_RDSYS,CMD_WR_ERROR,CMD_RD_ERROR,CMD_CHK_WRADDR_PAGE (not used),CMD_CHK_RDADDR_PAGE (not used),CMD_TARGET_REG_,CMD_TARGET_REG_READ" newline bitfld.long 0x10 17. "INIT_MSTANDBY,Status of init_MStandby signal" "0,1" bitfld.long 0x10 16. "INIT_MWAIT,Status of init_MWait signal" "0,1" newline bitfld.long 0x10 15. "INIT_MDISCREQ,Request to disconnect from OCP interface" "0,1" bitfld.long 0x10 13.--14. "INIT_MDISCACK,Disconnect status of the OCP interface" "FUNCT,SLEEP TRANS,Reserved,IDLE" newline bitfld.long 0x10 12. "INIT_SCONNECT_2,Defines whether to wait in M_WAIT state for MConnect FSM" "Skip M_WAIT state,Wait in M_WAIT state" bitfld.long 0x10 11. "INIT_SCONNECT_1,Defines the busy-ness state of the slave" "Slave is drained,Slave is loaded" newline bitfld.long 0x10 10. "INIT_SCONNECT_0,Disconnect from slave" "Disconnect request from slave,Connect request from slave" bitfld.long 0x10 8.--9. "INIT_MCONNECT,Initiator MConnect state" "M_OFF,M_WAIT,M_DISC,M_CON" newline bitfld.long 0x10 6.--7. "TARGET_SIDLEACK,Acknowledge the SIdleAck state-machine" "FUNCT,SLEEP TRANS,Reserved,IDLE" bitfld.long 0x10 4.--5. "TARGET_SDISCACK,Acknowledge the SDiscAck state-machine" "FUNCT,TRANS,Reserved,IDLE" newline bitfld.long 0x10 3. "TARGET_SIDLEREQ,Request the target to go idle" "Do not go idle or..,Go idle" bitfld.long 0x10 2. "TARGET_SCONNECT,Target SConnect bit 0 state" "Disconnect interface,Connect OCP interface" newline bitfld.long 0x10 0.--1. "TARGET_MCONNECT,Target MConnect state" "M_OFF,M_WAIT,M_DISC,M_CON" tree.end tree "HDMI_WP_L3_MAIN" base ad:0x58040000 group.long 0x40++0x03 line.long 0x00 "HDMI_WP_PWR_CTRL,Power control" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 2.--3. "PLL_PWR_CMD,Command for power control of the HDMI PLL Control module" "PLL_PWR_CMD_0,PLL_PWR_CMD_1,PLL_PWR_CMD_2,PLL_PWR_CMD_3" rbitfld.long 0x00 0.--1. "PLL_PWR_STATUS,Status of the power control of the HDMI PLL Control module" "PLL_PWR_STATUS_0,PLL_PWR_STATUS_1,PLL_PWR_STATUS_2,PLL_PWR_STATUS_3" group.long 0x70++0x03 line.long 0x00 "HDMI_WP_CLK,Configuration of clocks" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 8.--10. "SCP_PWR_DIV,Defines the divisor value to be used for the generation of the SCP_PWR clock (up to 66.5MHz) from the input interface clock (up to 266MHz)" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x00 0.--7. 1. "RESERVED," tree.end tree "HDQ1W" base ad:0x480B2000 rgroup.long 0x00++0x1B line.long 0x00 "HDQ_REVISION,This register contains the IP revision code" line.long 0x04 "HDQ_TX_DATA,This register contains the data to be transmitted" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reads returns 0" hexmask.long.byte 0x04 0.--7. 1. "TX_DATA,Transmit data (used in both HDQ and 1-Wire modes)" line.long 0x08 "HDQ_RX_DATA,This register contains the data to be received" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reads returns 0" hexmask.long.byte 0x08 0.--7. 1. "RX_DATA,Receive data (used in both HDQ and 1-Wire modes)" line.long 0x0C "HDQ_CTRL_STATUS,This register provides status information about the module" hexmask.long.tbyte 0x0C 11.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x0C 8.--10. "BITFSM,BITFSM delay value in 1.33 micros steps" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 7. "ONE_WIRE_SINGLE_BIT,Single-bit mode for 1-Wire" "Disabled,Enabled" bitfld.long 0x0C 6. "INTERRUPTMASK,Interrupt masking bit" "Interrupts disable,Interrupts enable" newline bitfld.long 0x0C 5. "CLOCKENABLE,Power-down mode bit" "Clock disable (power down),Clock enable" bitfld.long 0x0C 4. "GO,Go bit" "0,1" newline bitfld.long 0x0C 3. "PRESENCEDETECT,Slave presence indicator" "No slave detected,Slave detected" bitfld.long 0x0C 2. "INITIALIZATION,Write 1 to send initialization pulse" "0,1" newline bitfld.long 0x0C 1. "DIR,DIR bit determines if next command is read or write" "," bitfld.long 0x0C 0. "MODE,Mode selection bit" "HDQ mode,1-Wire mode" line.long 0x10 "HDQ_INT_STATUS,This register controls interrupts status" hexmask.long 0x10 3.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x10 2. "TXCOMPLETE,TX-complete interrupt flag" "0,1" newline bitfld.long 0x10 1. "RXCOMPLETE,Read-complete interrupt flag" "0,1" bitfld.long 0x10 0. "TIMEOUT,Presence detect/timeout interrupt flag" "0,1" line.long 0x14 "HDQ_SYSCONFIG,This register controls various bits" hexmask.long 0x14 2.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x14 1. "SOFTRESET,Start soft reset sequence" "Disabled,Enabled" newline bitfld.long 0x14 0. "AUTOIDLE,Interconnect idle" "Module clock is free-running,Module is in power saving mode" line.long 0x18 "HDQ_SYSSTATUS,This register monitors the reset sequence" hexmask.long 0x18 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x18 0. "RESETDONE,Reset monitoring" "The module is currently performing its reset,The module has finished its reset" tree.end tree "HDQ1W_TARG" base ad:0x480B3000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 5. (list 3. 1. 2. 4. 5. )(list ad:0x48060000 ad:0x48070000 ad:0x48072000 ad:0x4807A000 ad:0x4807C000 ) tree "I2C$1" base $2 rgroup.word 0x00++0x01 line.word 0x00 "I2C_REVNB_LO,Module Revision Identifier Used by software to track features. bugs. and compatibility" rgroup.word 0x04++0x01 line.word 0x00 "I2C_REVNB_HI,Module Revision Identifeir Used by software to track features. bugs. and compatibility" group.word 0x10++0x01 line.word 0x00 "I2C_SYSC,System Configuration register" rbitfld.word 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.word 0x00 8.--9. "CLKACTIVITY,Clock Activity selection bits" "CLKACTIVITY_0,CLKACTIVITY_1,CLKACTIVITY_2,CLKACTIVITY_3" rbitfld.word 0x00 5.--7. "RESERVED,Reads return 0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x00 3.--4. "IDLEMODE,Idle Mode selection bits" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.word 0x00 2. "ENAWAKEUP,Enable Wakeup control bit" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.word 0x00 1. "SRST,SoftReset bit" "SRST_0,SRST_1" newline bitfld.word 0x00 0. "AUTOIDLE,Autoidle bit" "AUTOIDLE_0,AUTOIDLE_1" group.word 0x20++0x01 line.word 0x00 "I2C_EOI,End Of Interrupt number specification" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "LINE_NUMBER,Software End Of Interrupt (EOI) control" "0,1" group.word 0x24++0x01 line.word 0x00 "I2C_IRQSTATUS_RAW,Per-event raw interrupt status vector" bitfld.word 0x00 15. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.word 0x00 14. "XDR,Transmit draining IRQ status" "XDR_0,XDR_1" bitfld.word 0x00 13. "RDR,Receive draining IRQ status" "RDR_0,RDR_1" newline rbitfld.word 0x00 12. "BB,Bus busy status" "BB_0_r,BB_1_r" bitfld.word 0x00 11. "ROVR,Receive overrun status" "ROVR_0_r,ROVR_1_r" bitfld.word 0x00 10. "XUDF,Transmit underflow status" "XUDF_0_r,XUDF_1_r" newline bitfld.word 0x00 9. "AAS,Address recognized as slave IRQ status" "AAS_0,AAS_1" bitfld.word 0x00 8. "BF,Bus Free IRQ status" "BF_0,BF_1" bitfld.word 0x00 7. "AERR,Access Error IRQ status" "AERR_0,AERR_1" newline bitfld.word 0x00 6. "STC,Start Condition IRQ status" "STC_0,STC_1" bitfld.word 0x00 5. "GC,General call IRQ status" "GC_0,GC_1" bitfld.word 0x00 4. "XRDY,Transmit data ready IRQ status" "XRDY_0,XRDY_1" newline bitfld.word 0x00 3. "RRDY,Receive data ready IRQ status" "RRDY_0,RRDY_1" bitfld.word 0x00 2. "ARDY,Register access ready IRQ status" "ARDY_0,ARDY_1" bitfld.word 0x00 1. "NACK,No acknowledgement IRQ status" "NACK_0,NACK_1" newline bitfld.word 0x00 0. "AL,Arbitration lost IRQ status" "AL_0,AL_1" group.word 0x28++0x01 line.word 0x00 "I2C_IRQSTATUS,Per-event enabled interrupt status vector" bitfld.word 0x00 15. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.word 0x00 14. "XDR,Transmit draining IRQ enabled status" "XDR_0,XDR_1" bitfld.word 0x00 13. "RDR,Receive draining IRQ enabled status" "RDR_0,RDR_1" newline rbitfld.word 0x00 12. "BB,Bus busy enabled status" "BB_0_r,BB_1_r" bitfld.word 0x00 11. "ROVR,Receive overrun enabled status" "ROVR_0_r,ROVR_1_r" bitfld.word 0x00 10. "XUDF,Transmit underflow enabled status" "XUDF_0_r,XUDF_1_r" newline bitfld.word 0x00 9. "AAS,Address recognized as slave IRQ enabled status" "AAS_0,AAS_1" bitfld.word 0x00 8. "BF,Bus Free IRQ enabled status" "BF_0,BF_1" bitfld.word 0x00 7. "AERR,Access Error IRQ enabled status" "AERR_0,AERR_1" newline bitfld.word 0x00 6. "STC,Start Condition IRQ enabled status" "STC_0,STC_1" bitfld.word 0x00 5. "GC,General call IRQ enabled status" "GC_0,GC_1" bitfld.word 0x00 4. "XRDY,Transmit data ready IRQ enabled status" "XRDY_0,XRDY_1" newline bitfld.word 0x00 3. "RRDY,Receive data ready IRQ enabled status" "RRDY_0,RRDY_1" bitfld.word 0x00 2. "ARDY,Register access ready IRQ enabled status" "ARDY_0,ARDY_1" bitfld.word 0x00 1. "NACK,No acknowledgement IRQ enabled status" "NACK_0,NACK_1" newline bitfld.word 0x00 0. "AL,Arbitration lost IRQ enabled status" "AL_0,AL_1" group.word 0x2C++0x01 line.word 0x00 "I2C_IRQENABLE_SET,Per-event interrupt enable bit vector" rbitfld.word 0x00 15. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.word 0x00 14. "XDR_IE,Transmit Draining interrupt enable set" "XDR_IE_0,XDR_IE_1" bitfld.word 0x00 13. "RDR_IE,Receive Draining interrupt enable set" "RDR_IE_0,RDR_IE_1" newline rbitfld.word 0x00 12. "RESERVED,Reserved" "0,1" bitfld.word 0x00 11. "ROVR,Receive overrun enable set.Read: enum=disable" "ROVR_0,ROVR_1" bitfld.word 0x00 10. "XUDF,Transmit underflow enable set.Read: enum=disable" "XUDF_0,XUDF_1" newline bitfld.word 0x00 9. "AAS_IE,Addressed as Slave interrupt enable set" "AAS_IE_0,AAS_IE_1" bitfld.word 0x00 8. "BF_IE,Bus Free interrupt enable set" "BF_IE_0,BF_IE_1" bitfld.word 0x00 7. "AERR_IE,Access Error interrupt enable set" "AERR_IE_0,AERR_IE_1" newline bitfld.word 0x00 6. "STC_IE,Start Condition interrupt enable set" "STC_IE_0,STC_IE_1" bitfld.word 0x00 5. "GC_IE,General call Interrupt enable set" "GC_IE_0,GC_IE_1" bitfld.word 0x00 4. "XRDY_IE,Transmit data ready interrupt enable set" "XRDY_IE_0,XRDY_IE_1" newline bitfld.word 0x00 3. "RRDY_IE,Receive data ready interrupt enable set" "RRDY_IE_0,RRDY_IE_1" bitfld.word 0x00 2. "ARDY_IE,Register access ready interrupt enable set" "ARDY_IE_0,ARDY_IE_1" bitfld.word 0x00 1. "NACK_IE,No acknowledgement interrupt enable set" "NACK_IE_0,NACK_IE_1" newline bitfld.word 0x00 0. "AL_IE,Arbitration lost interrupt enable set" "AL_IE_0,AL_IE_1" group.word 0x30++0x01 line.word 0x00 "I2C_IRQENABLE_CLR,Per-event interrupt clear bit vector" rbitfld.word 0x00 15. "RESERVED,Write 0s for future compatibility" "0,1" bitfld.word 0x00 14. "XDR_IE,Transmit Draining interrupt enable clear" "XDR_IE_0,XDR_IE_1" bitfld.word 0x00 13. "RDR_IE,Receive Draining interrupt enable clear" "RDR_IE_0,RDR_IE_1" newline rbitfld.word 0x00 12. "RESERVED,Reserved" "0,1" bitfld.word 0x00 11. "ROVR,Receive overrun enable clear.Read: enum=disable" "ROVR_0,ROVR_1" bitfld.word 0x00 10. "XUDF,Transmit underflow enable clear.Read: enum=disable" "XUDF_0,XUDF_1" newline bitfld.word 0x00 9. "AAS_IE,Addressed as Slave interrupt enable clear" "AAS_IE_0,AAS_IE_1" bitfld.word 0x00 8. "BF_IE,Bus Free interrupt enable clear" "BF_IE_0,BF_IE_1" bitfld.word 0x00 7. "AERR_IE,Access Error interrupt enable clear" "AERR_IE_0,AERR_IE_1" newline bitfld.word 0x00 6. "STC_IE,Start Condition interrupt enable clear" "STC_IE_0,STC_IE_1" bitfld.word 0x00 5. "GC_IE,General call Interrupt enable clear" "GC_IE_0,GC_IE_1" bitfld.word 0x00 4. "XRDY_IE,Transmit data ready interrupt enable clear" "XRDY_IE_0,XRDY_IE_1" newline bitfld.word 0x00 3. "RRDY_IE,Receive data ready interrupt enable clear" "RRDY_IE_0,RRDY_IE_1" bitfld.word 0x00 2. "ARDY_IE,Register access ready interrupt enable clear" "ARDY_IE_0,ARDY_IE_1" bitfld.word 0x00 1. "NACK_IE,No acknowledgement interrupt enable clear" "NACK_IE_0,NACK_IE_1" newline bitfld.word 0x00 0. "AL_IE,Arbitration lost interrupt enable clear" "AL_IE_0,AL_IE_1" group.word 0x34++0x01 line.word 0x00 "I2C_WE,I2C wakeup enable vector" rbitfld.word 0x00 15. "RESERVED,Reserved" "0,1" bitfld.word 0x00 14. "XDR,Transmit Draining wakeup set" "XDR_0,XDR_1" bitfld.word 0x00 13. "RDR,Receive Draining wakeup set" "RDR_0,RDR_1" newline rbitfld.word 0x00 12. "RESERVED,Reserved" "0,1" bitfld.word 0x00 11. "ROVR,Receive overrun wakeup set" "ROVR_0,ROVR_1" bitfld.word 0x00 10. "XUDF,Transmit underflow wakeup set" "XUDF_0,XUDF_1" newline bitfld.word 0x00 9. "AAS,Address as slave IRQ wakeup set" "AAS_0,AAS_1" bitfld.word 0x00 8. "BF,Bus Free IRQ wakeup set" "BF_0,BF_1" rbitfld.word 0x00 7. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 6. "STC,Start Condition IRQ wakeup set" "STC_0,STC_1" bitfld.word 0x00 5. "GC,General call IRQ wakeup set" "GC_0,GC_1" rbitfld.word 0x00 4. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 3. "DRDY,Receive/Transmit data ready IRQ wakeup set" "DRDY_0,DRDY_1" bitfld.word 0x00 2. "ARDY,Register access ready IRQ wakeup set" "ARDY_0,ARDY_1" bitfld.word 0x00 1. "NACK,No acknowledgment IRQ wakeup set" "NACK_0,NACK_1" newline bitfld.word 0x00 0. "AL,Arbitration lost IRQ wakeup set" "AL_0,AL_1" group.word 0x38++0x01 line.word 0x00 "I2C_DMARXENABLE_SET,Per-event DMA RX enable set" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "DMARX_ENABLE_SET,Receive DMA channel enable set" "0,1" group.word 0x3C++0x01 line.word 0x00 "I2C_DMATXENABLE_SET,Per-event DMA TX enable set" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "DMATX_ENABLE_SET,Transmit DMA channel enable set" "0,1" group.word 0x40++0x01 line.word 0x00 "I2C_DMARXENABLE_CLR,Per-event DMA RX enable clear" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "DMARX_ENABLE_CLEAR,Receive DMA channel enable clear" "0,1" group.word 0x44++0x01 line.word 0x00 "I2C_DMATXENABLE_CLR,Per-event DMA TX enable clear" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "DMATX_ENABLE_CLEAR,Transmit DMA channel enable clear" "0,1" group.word 0x48++0x01 line.word 0x00 "I2C_DMARXWAKE_EN,Per-event DMA RX wakeup enable" rbitfld.word 0x00 15. "RESERVED,Reserved" "0,1" bitfld.word 0x00 14. "XDR,Transmit Draining wakeup set" "XDR_0,XDR_1" bitfld.word 0x00 13. "RDR,Receive Draining wakeup set" "RDR_0,RDR_1" newline rbitfld.word 0x00 12. "RESERVED,Reserved" "0,1" bitfld.word 0x00 11. "ROVR,Receive overrun wakeup set" "ROVR_0,ROVR_1" bitfld.word 0x00 10. "XUDF,Transmit underflow wakeup set" "XUDF_0,XUDF_1" newline bitfld.word 0x00 9. "AAS,Address as slave IRQ wakeup set" "AAS_0,AAS_1" bitfld.word 0x00 8. "BF,Bus Free IRQ wakeup set" "BF_0,BF_1" rbitfld.word 0x00 7. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 6. "STC,Start Condition IRQ wakeup set" "STC_0,STC_1" bitfld.word 0x00 5. "GC,General call IRQ wakeup set" "GC_0,GC_1" rbitfld.word 0x00 4. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 3. "DRDY,Receive/Transmit data ready IRQ wakeup set" "DRDY_0,DRDY_1" bitfld.word 0x00 2. "ARDY,Register access ready IRQ wakeup set" "ARDY_0,ARDY_1" bitfld.word 0x00 1. "NACK,No acknowledgment IRQ wakeup set" "NACK_0,NACK_1" newline bitfld.word 0x00 0. "AL,Arbitration lost IRQ wakeup set" "AL_0,AL_1" group.word 0x4C++0x01 line.word 0x00 "I2C_DMATXWAKE_EN,Per-event DMA TX wakeup enable" rbitfld.word 0x00 15. "RESERVED,Reserved" "0,1" bitfld.word 0x00 14. "XDR,Transmit Draining wakeup set" "XDR_0,XDR_1" bitfld.word 0x00 13. "RDR,Receive Draining wakeup set" "RDR_0,RDR_1" newline rbitfld.word 0x00 12. "RESERVED,Reserved" "0,1" bitfld.word 0x00 11. "ROVR,Receive overrun wakeup set" "ROVR_0,ROVR_1" bitfld.word 0x00 10. "XUDF,Transmit underflow wakeup set" "XUDF_0,XUDF_1" newline bitfld.word 0x00 9. "AAS,Address as slave IRQ wakeup set" "AAS_0,AAS_1" bitfld.word 0x00 8. "BF,Bus Free IRQ wakeup set" "BF_0,BF_1" rbitfld.word 0x00 7. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 6. "STC,Start Condition IRQ wakeup set" "STC_0,STC_1" bitfld.word 0x00 5. "GC,General call IRQ wakeup set" "GC_0,GC_1" rbitfld.word 0x00 4. "RESERVED,Reserved" "0,1" newline bitfld.word 0x00 3. "DRDY,Receive/Transmit data ready IRQ wakeup set" "DRDY_0,DRDY_1" bitfld.word 0x00 2. "ARDY,Register access ready IRQ wakeup set" "ARDY_0,ARDY_1" bitfld.word 0x00 1. "NACK,No acknowledgment IRQ wakeup set" "NACK_0,NACK_1" newline bitfld.word 0x00 0. "AL,Arbitration lost IRQ wakeup set" "AL_0,AL_1" group.word 0x90++0x01 line.word 0x00 "I2C_SYSS,System Status register" hexmask.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 0. "RDONE,Reset done bit" "RDONE_0_r,RDONE_1_r" group.word 0x94++0x01 line.word 0x00 "I2C_BUF,Buffer Configuration register" bitfld.word 0x00 15. "RDMA_EN,Receive DMA channel enable" "RDMA_EN_0,RDMA_EN_1" bitfld.word 0x00 14. "RXFIFO_CLR,Receive FIFO clear" "RXFIFO_CLR_0,RXFIFO_CLR_1" bitfld.word 0x00 8.--13. "RXTRSH,Threshold value for FIFO buffer in RX mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.word 0x00 7. "XDMA_EN,Transmit DMA channel enable" "XDMA_EN_0,XDMA_EN_1" bitfld.word 0x00 6. "TXFIFO_CLR,Transmit FIFO clear" "TXFIFO_CLR_0,TXFIFO_CLR_1" bitfld.word 0x00 0.--5. "TXTRSH,Threshold value for FIFO buffer in TX mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.word 0x98++0x01 line.word 0x00 "I2C_CNT,Data counter register" group.word 0x9C++0x01 line.word 0x00 "I2C_DATA,Data access register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED,Reserved" hexmask.word.byte 0x00 0.--7. 1. "DATA,Transmit/Receive data FIFO endpoint" group.word 0xA4++0x01 line.word 0x00 "I2C_CON,I2C configuration register" bitfld.word 0x00 15. "I2C_EN,I2C module enable" "I2C_EN_0,I2C_EN_1" rbitfld.word 0x00 14. "RESERVED,Reserved" "0,1" bitfld.word 0x00 12.--13. "OPMODE,Operation mode selection" "OPMODE_0,OPMODE_1,OPMODE_2,OPMODE_3" newline bitfld.word 0x00 11. "STB,Start byte mode (master mode only)" "STB_0,STB_1" bitfld.word 0x00 10. "MST,Master/slave mode" "MST_0,MST_1" bitfld.word 0x00 9. "TRX,Transmitter/Receiver mode (master mode only)" "TRX_0,TRX_1" newline bitfld.word 0x00 8. "XSA,Expand Slave address" "XSA_0,XSA_1" bitfld.word 0x00 7. "XOA0,Expand Own address 0" "XOA0_0,XOA0_1" bitfld.word 0x00 6. "XOA1,Expand Own address 1" "XOA1_0,XOA1_1" newline bitfld.word 0x00 5. "XOA2,Expand Own address 2" "XOA2_0,XOA2_1" bitfld.word 0x00 4. "XOA3,Expand Own address 3" "XOA3_0,XOA3_1" rbitfld.word 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" newline bitfld.word 0x00 1. "STP,Stop condition (master mode only)" "STP_0,STP_1" bitfld.word 0x00 0. "STT,Start condition (master mode only)" "STT_0,STT_1" group.word 0xA8++0x01 line.word 0x00 "I2C_OA,Own address register" bitfld.word 0x00 13.--15. "MCODE,Master Code" "0,1,2,3,4,5,6,7" rbitfld.word 0x00 10.--12. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" hexmask.word 0x00 0.--9. 1. "OA,Own address" group.word 0xAC++0x01 line.word 0x00 "I2C_SA,Slave address register" rbitfld.word 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x00 0.--9. 1. "SA,Slave address" group.word 0xB0++0x01 line.word 0x00 "I2C_PSC,I2C Clock Prescaler Register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED,Reserved" abitfld.word 0x00 0.--7. "PSC,Fast/Standard mode prescale sampling clock divider value" "0x00=Divide by 1,0x01=Divide by 2,0xFF=Divide by 256" group.word 0xB4++0x01 line.word 0x00 "I2C_SCLL,I2C SCL Low Time Register" hexmask.word.byte 0x00 8.--15. 1. "HSSCLL,High speed mode SCL low timeThe value of the bit field is automatically increased by 7" hexmask.word.byte 0x00 0.--7. 1. "SCLL,Fast/standard mode SCL low timeThe value of the bit field is automatically increased by 7" group.word 0xB8++0x01 line.word 0x00 "I2C_SCLH,I2C SCL High Time Register" hexmask.word.byte 0x00 8.--15. 1. "HSSCLH,High speed mode SCL high timeThe value of the bit field is automatically increased by 5" hexmask.word.byte 0x00 0.--7. 1. "SCLH,Fast/standard mode SCL high timeThe value of the bit field is automatically increased by 5" group.word 0xBC++0x01 line.word 0x00 "I2C_SYSTEST,I2C System Test Register" bitfld.word 0x00 15. "ST_EN,System test enable" "ST_EN_0,ST_EN_1" bitfld.word 0x00 14. "FREE,Free running mode (on breakpoint)" "FREE_0,FREE_1" bitfld.word 0x00 12.--13. "TMODE,Test mode select" "TMODE_0,TMODE_1,TMODE_2,TMODE_3" newline bitfld.word 0x00 11. "SSB,Set all status bits inI2C_IRQSTATUS_RAW [14:0]" "SSB_0,SSB_1" rbitfld.word 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.word 0x00 8. "SCL_I_FUNC,SCL line input value (functional mode)" "SCL_I_FUNC_0_r,SCL_I_FUNC_1_r" newline rbitfld.word 0x00 7. "SCL_O_FUNC,SCL line output value (functional mode)" "SCL_O_FUNC_0_r,SCL_O_FUNC_1_r" rbitfld.word 0x00 6. "SDA_I_FUNC,SDA line input value (functional mode)" "SDA_I_FUNC_0_r,SDA_I_FUNC_1_r" rbitfld.word 0x00 5. "SDA_O_FUNC,SDA line output value (functional mode)" "SDA_O_FUNC_0_r,SDA_O_FUNC_1_r" newline rbitfld.word 0x00 4. "RESERVED,Reserved" "0,1" rbitfld.word 0x00 3. "SCL_I,SCL line sense input value" "SCL_I_0_r,SCL_I_1_r" bitfld.word 0x00 2. "SCL_O,SCL line drive output value" "SCL_O_0,SCL_O_1" newline rbitfld.word 0x00 1. "SDA_I,SDA line sense input value" "SDA_I_0_r,SDA_I_1_r" bitfld.word 0x00 0. "SDA_O,SDA line drive output value" "SDA_O_0,SDA_O_1" rgroup.word 0xC0++0x01 line.word 0x00 "I2C_BUFSTAT,I2C Buffer Status Register" bitfld.word 0x00 14.--15. "FIFODEPTH,Internal FIFO buffers depth" "FIFODEPTH_0_r,FIFODEPTH_1_r,FIFODEPTH_2_r,FIFODEPTH_3_r" bitfld.word 0x00 8.--13. "RXSTAT,RX Buffer Status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.word 0x00 6.--7. "RESERVED,Reserved" "0,1,2,3" newline bitfld.word 0x00 0.--5. "TXSTAT,TX Buffer Status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.word 0xC4++0x01 line.word 0x00 "I2C_OA1,I2C Own Address 1 Register" rbitfld.word 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x00 0.--9. 1. "OA1,Own address 1" group.word 0xC8++0x01 line.word 0x00 "I2C_OA2,I2C Own Address 2 Register" rbitfld.word 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x00 0.--9. 1. "OA2,Own address 2" group.word 0xCC++0x01 line.word 0x00 "I2C_OA3,I2C Own Address 3 Register" rbitfld.word 0x00 10.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x00 0.--9. 1. "OA3,Own address 3" rgroup.word 0xD0++0x01 line.word 0x00 "I2C_ACTOA,I2C Active Own Address Register" hexmask.word 0x00 4.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 3. "OA3_ACT,Own Address 3 active" "OA3_ACT_0_r,OA3_ACT_1_r" bitfld.word 0x00 2. "OA2_ACT,Own Address 2 active" "OA2_ACT_0_r,OA2_ACT_1_r" newline bitfld.word 0x00 1. "OA1_ACT,Own Address 1 active" "OA1_ACT_0_r,OA1_ACT_1_r" bitfld.word 0x00 0. "OA0_ACT,Own Address 0 active" "OA0_ACT_0_r,OA0_ACT_1_r" group.word 0xD4++0x01 line.word 0x00 "I2C_SBLOCK,I2C Clock Blocking Enable Register" hexmask.word 0x00 4.--15. 1. "RESERVED,Reserved" bitfld.word 0x00 3. "OA3_EN,Enable I2C Clock Blocking for Own Address 3" "OA3_EN_0,OA3_EN_1" bitfld.word 0x00 2. "OA2_EN,Enable I2C Clock Blocking for Own Address 2" "OA2_EN_0,OA2_EN_1" newline bitfld.word 0x00 1. "OA1_EN,Enable I2C Clock Blocking for Own Address 1" "OA1_EN_0,OA1_EN_1" bitfld.word 0x00 0. "OA0_EN,Enable I2C Clock Blocking for Own Address 0" "OA0_EN_0,OA0_EN_1" tree.end repeat.end tree "I2C1_TARG" base ad:0x48071000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "I2C2_TARG" base ad:0x48073000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "I2C3_TARG" base ad:0x48061000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "I2C4_TARG" base ad:0x4807B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "I2C5_TARG" base ad:0x4807D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "INSTR_PRM" base ad:0x4AE07F00 rgroup.long 0x00++0x03 line.long 0x00 "PMI_IDENTICATION,PM profiling identification register" group.long 0x10++0x07 line.long 0x00 "PMI_SYS_CONFIG,PM profiling system configuartion register" hexmask.long 0x00 6.--31. 1. "RESERVED," rbitfld.long 0x00 4.--5. "RESERVED," "0,1,2,3" bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local tartget state management mode" "0,1,2,3" rbitfld.long 0x00 1. "RESERVED," "0,1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "0,1" line.long 0x04 "PMI_STATUS,PM profiling status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "FIFOEMPTY,PM Profiling buffer empty" "0,1" hexmask.long.byte 0x04 0.--7. 1. "RESERVED," group.long 0x24++0x0F line.long 0x00 "PMI_CONFIGURATION,PM profiling configuration register" bitfld.long 0x00 30.--31. "CLAIM_3,Ownership" "0,1,2,3" bitfld.long 0x00 29. "CLAIM_2,Debugger override qualifier" "0,1" rbitfld.long 0x00 28. "CLAIM_1,Current owner" "0,1" rbitfld.long 0x00 24.--27. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 23. "RESERVED," "0,1" hexmask.long.byte 0x00 16.--22. 1. "RESERVED," newline rbitfld.long 0x00 15. "RESERVED," "0,1" hexmask.long.byte 0x00 8.--14. 1. "RESERVED," bitfld.long 0x00 7. "EVT_CAPT_EN,When HIGH the PM events capture is enabled" "0,1" hexmask.long.byte 0x00 0.--6. 1. "RESERVED," line.long 0x04 "PMI_CLASS_FILTERING,PM profiling class filtering register" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 3. "SNAP_CAPT_EN_03,Snapshot capture enable - Class-ID = 0x03" "0,1" bitfld.long 0x04 2. "SNAP_CAPT_EN_02,Snapshot capture enable - Class-ID = 0x02" "0,1" bitfld.long 0x04 1. "SNAP_CAPT_EN_01,Snapshot capture enable - Class-ID = 0x01" "0,1" bitfld.long 0x04 0. "SNAP_CAPT_EN_00,Snapshot capture enable - Class-ID = 0x00" "0,1" line.long 0x08 "PMI_TRIGGERING,PM profiling triggering control register" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 1. "TRIG_STOP_EN,Enable stop capturing PM events from external trigger detection" "0,1" bitfld.long 0x08 0. "TRIG_START_EN,Enable start capturing PM events from external trigger detection" "0,1" line.long 0x0C "PMI_SAMPLING,PM profiling sampling window register" hexmask.long.word 0x0C 20.--31. 1. "RESERVED," bitfld.long 0x0C 16.--19. "FCLK_DIV_FACOR,FunClk divide factor ranging from 1 to 16" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x0C 8.--15. 1. "RESERVED," hexmask.long.byte 0x0C 0.--7. 1. "SAMP_WIND_SIZE,PM events sampling window size" tree.end tree "IODELAYCONFIG" base ad:0x4844A000 group.long 0x0C++0x03 line.long 0x00 "CONFIG_REG_0,Calibration Control Register" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "ROM_READ,Triggers complete ROM read when '1' is written" "0,1" bitfld.long 0x00 0. "CALIBRATION_START,Triggers hardware calibration when '1' is written" "0,1" group.long 0x14++0x0B line.long 0x00 "CONFIG_REG_2,Reference Clock Period Register" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--15. 1. "REFCLK_PERIOD,15:0 stores the binary equivalent of reference clock period in units of 10ps" line.long 0x04 "CONFIG_REG_3,coarse calibration results register" hexmask.long.word 0x04 16.--31. 1. "COARSE_DELAY_COUNT,Results of 16 bit counter clocked by 'delay line oscillator' clock during calibration" hexmask.long.word 0x04 0.--15. 1. "COARSE_REF_COUNT,Results of 16 bit counter clocked by 'reference' clock during coarse calibration" line.long 0x08 "CONFIG_REG_4,fine calibration results register" hexmask.long.word 0x08 16.--31. 1. "FINE_DELAY_COUNT,Results of 16 bit counter clocked by 'delay line oscillator' clock during fine calibration" hexmask.long.word 0x08 0.--15. 1. "FINE_REF_COUNT,Results of 16 bit counter clocked by 'reference' clock during fine calibration" group.long 0x2C++0xCF3 line.long 0x00 "CONFIG_REG_8,Global Lock Register" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "GLOBAL_LOCK_BIT,Global Lock Bit Register" "0,1" line.long 0x04 "CFG_RMII_MHZ_50_CLK_IN,Delay Select Value in binary coded form for cfg_RMII_MHZ_50_CLK_in interface" hexmask.long.word 0x04 18.--31. 1. "RESERVED," bitfld.long 0x04 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 11. "RESERVED," "0,1" bitfld.long 0x04 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x04 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x08 "CFG_RMII_MHZ_50_CLK_OEN,Delay Select Value in binary coded form for cfg_RMII_MHZ_50_CLK_oen interface" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x08 11. "RESERVED," "0,1" bitfld.long 0x08 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x08 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x0C "CFG_RMII_MHZ_50_CLK_OUT,Delay Select Value in binary coded form for cfg_RMII_MHZ_50_CLK_out interface" hexmask.long.word 0x0C 18.--31. 1. "RESERVED," bitfld.long 0x0C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x0C 11. "RESERVED," "0,1" bitfld.long 0x0C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x0C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x10 "CFG_WAKEUP0_IN,Delay Select Value in binary coded form for cfg_Wakeup0_in interface" hexmask.long.word 0x10 18.--31. 1. "RESERVED," bitfld.long 0x10 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 11. "RESERVED," "0,1" bitfld.long 0x10 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x10 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x14 "CFG_WAKEUP0_OEN,Delay Select Value in binary coded form for cfg_Wakeup0_oen interface" hexmask.long.word 0x14 18.--31. 1. "RESERVED," bitfld.long 0x14 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x14 11. "RESERVED," "0,1" bitfld.long 0x14 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x14 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x18 "CFG_WAKEUP0_OUT,Delay Select Value in binary coded form for cfg_Wakeup0_out interface" hexmask.long.word 0x18 18.--31. 1. "RESERVED," bitfld.long 0x18 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x18 11. "RESERVED," "0,1" bitfld.long 0x18 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x18 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1C "CFG_WAKEUP1_IN,Delay Select Value in binary coded form for cfg_Wakeup1_in interface" hexmask.long.word 0x1C 18.--31. 1. "RESERVED," bitfld.long 0x1C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1C 11. "RESERVED," "0,1" bitfld.long 0x1C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x20 "CFG_WAKEUP1_OEN,Delay Select Value in binary coded form for cfg_Wakeup1_oen interface" hexmask.long.word 0x20 18.--31. 1. "RESERVED," bitfld.long 0x20 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x20 11. "RESERVED," "0,1" bitfld.long 0x20 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x20 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x24 "CFG_WAKEUP1_OUT,Delay Select Value in binary coded form for cfg_Wakeup1_out interface" hexmask.long.word 0x24 18.--31. 1. "RESERVED," bitfld.long 0x24 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x24 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x28 "CFG_WAKEUP2_IN,Delay Select Value in binary coded form for cfg_Wakeup2_in interface" hexmask.long.word 0x28 18.--31. 1. "RESERVED," bitfld.long 0x28 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x28 11. "RESERVED," "0,1" bitfld.long 0x28 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x28 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2C "CFG_WAKEUP2_OEN,Delay Select Value in binary coded form for cfg_Wakeup2_oen interface" hexmask.long.word 0x2C 18.--31. 1. "RESERVED," bitfld.long 0x2C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2C 11. "RESERVED," "0,1" bitfld.long 0x2C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x30 "CFG_WAKEUP2_OUT,Delay Select Value in binary coded form for cfg_Wakeup2_out interface" hexmask.long.word 0x30 18.--31. 1. "RESERVED," bitfld.long 0x30 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x30 11. "RESERVED," "0,1" bitfld.long 0x30 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x30 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x34 "CFG_WAKEUP3_IN,Delay Select Value in binary coded form for cfg_Wakeup3_in interface" hexmask.long.word 0x34 18.--31. 1. "RESERVED," bitfld.long 0x34 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x34 11. "RESERVED," "0,1" bitfld.long 0x34 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x34 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x38 "CFG_WAKEUP3_OEN,Delay Select Value in binary coded form for cfg_Wakeup3_oen interface" hexmask.long.word 0x38 18.--31. 1. "RESERVED," bitfld.long 0x38 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x38 11. "RESERVED," "0,1" bitfld.long 0x38 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x38 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3C "CFG_WAKEUP3_OUT,Delay Select Value in binary coded form for cfg_Wakeup3_out interface" hexmask.long.word 0x3C 18.--31. 1. "RESERVED," bitfld.long 0x3C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3C 11. "RESERVED," "0,1" bitfld.long 0x3C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x40 "CFG_DCAN1_RX_IN,Delay Select Value in binary coded form for cfg_dcan1_rx_in interface" hexmask.long.word 0x40 18.--31. 1. "RESERVED," bitfld.long 0x40 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x40 11. "RESERVED," "0,1" bitfld.long 0x40 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x40 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x44 "CFG_DCAN1_RX_OEN,Delay Select Value in binary coded form for cfg_dcan1_rx_oen interface" hexmask.long.word 0x44 18.--31. 1. "RESERVED," bitfld.long 0x44 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x44 11. "RESERVED," "0,1" bitfld.long 0x44 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x44 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x48 "CFG_DCAN1_RX_OUT,Delay Select Value in binary coded form for cfg_dcan1_rx_out interface" hexmask.long.word 0x48 18.--31. 1. "RESERVED," bitfld.long 0x48 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x48 11. "RESERVED," "0,1" bitfld.long 0x48 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x48 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4C "CFG_DCAN1_TX_IN,Delay Select Value in binary coded form for cfg_dcan1_tx_in interface" hexmask.long.word 0x4C 18.--31. 1. "RESERVED," bitfld.long 0x4C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4C 11. "RESERVED," "0,1" bitfld.long 0x4C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x50 "CFG_DCAN1_TX_OEN,Delay Select Value in binary coded form for cfg_dcan1_tx_oen interface" hexmask.long.word 0x50 18.--31. 1. "RESERVED," bitfld.long 0x50 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x50 11. "RESERVED," "0,1" bitfld.long 0x50 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x50 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x54 "CFG_DCAN1_TX_OUT,Delay Select Value in binary coded form for cfg_dcan1_tx_out interface" hexmask.long.word 0x54 18.--31. 1. "RESERVED," bitfld.long 0x54 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x54 11. "RESERVED," "0,1" bitfld.long 0x54 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x54 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x58 "CFG_DCAN2_RX_IN,Delay Select Value in binary coded form for cfg_dcan2_rx_in interface" hexmask.long.word 0x58 18.--31. 1. "RESERVED," bitfld.long 0x58 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x58 11. "RESERVED," "0,1" bitfld.long 0x58 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x58 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5C "CFG_DCAN2_RX_OEN,Delay Select Value in binary coded form for cfg_dcan2_rx_oen interface" hexmask.long.word 0x5C 18.--31. 1. "RESERVED," bitfld.long 0x5C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5C 11. "RESERVED," "0,1" bitfld.long 0x5C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x60 "CFG_DCAN2_RX_OUT,Delay Select Value in binary coded form for cfg_dcan2_rx_out interface" hexmask.long.word 0x60 18.--31. 1. "RESERVED," bitfld.long 0x60 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x60 11. "RESERVED," "0,1" bitfld.long 0x60 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x60 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x64 "CFG_DCAN2_TX_IN,Delay Select Value in binary coded form for cfg_dcan2_tx_in interface" hexmask.long.word 0x64 18.--31. 1. "RESERVED," bitfld.long 0x64 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x64 11. "RESERVED," "0,1" bitfld.long 0x64 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x64 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x68 "CFG_DCAN2_TX_OEN,Delay Select Value in binary coded form for cfg_dcan2_tx_oen interface" hexmask.long.word 0x68 18.--31. 1. "RESERVED," bitfld.long 0x68 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x68 11. "RESERVED," "0,1" bitfld.long 0x68 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x68 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6C "CFG_DCAN2_TX_OUT,Delay Select Value in binary coded form for cfg_dcan2_tx_out interface" hexmask.long.word 0x6C 18.--31. 1. "RESERVED," bitfld.long 0x6C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6C 11. "RESERVED," "0,1" bitfld.long 0x6C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x70 "CFG_EMU0_IN,Delay Select Value in binary coded form for cfg_emu0_in interface" hexmask.long.word 0x70 18.--31. 1. "RESERVED," bitfld.long 0x70 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x70 11. "RESERVED," "0,1" bitfld.long 0x70 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x70 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x74 "CFG_EMU0_OEN,Delay Select Value in binary coded form for cfg_emu0_oen interface" hexmask.long.word 0x74 18.--31. 1. "RESERVED," bitfld.long 0x74 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x74 11. "RESERVED," "0,1" bitfld.long 0x74 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x74 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x78 "CFG_EMU0_OUT,Delay Select Value in binary coded form for cfg_emu0_out interface" hexmask.long.word 0x78 18.--31. 1. "RESERVED," bitfld.long 0x78 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x78 11. "RESERVED," "0,1" bitfld.long 0x78 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x78 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7C "CFG_EMU1_IN,Delay Select Value in binary coded form for cfg_emu1_in interface" hexmask.long.word 0x7C 18.--31. 1. "RESERVED," bitfld.long 0x7C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7C 11. "RESERVED," "0,1" bitfld.long 0x7C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x80 "CFG_EMU1_OEN,Delay Select Value in binary coded form for cfg_emu1_oen interface" hexmask.long.word 0x80 18.--31. 1. "RESERVED," bitfld.long 0x80 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x80 11. "RESERVED," "0,1" bitfld.long 0x80 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x80 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x84 "CFG_EMU1_OUT,Delay Select Value in binary coded form for cfg_emu1_out interface" hexmask.long.word 0x84 18.--31. 1. "RESERVED," bitfld.long 0x84 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x84 11. "RESERVED," "0,1" bitfld.long 0x84 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x84 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x88 "CFG_EMU2_IN,Delay Select Value in binary coded form for cfg_emu2_in interface" hexmask.long.word 0x88 18.--31. 1. "RESERVED," bitfld.long 0x88 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x88 11. "RESERVED," "0,1" bitfld.long 0x88 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x88 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8C "CFG_EMU2_OEN,Delay Select Value in binary coded form for cfg_emu2_oen interface" hexmask.long.word 0x8C 18.--31. 1. "RESERVED," bitfld.long 0x8C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8C 11. "RESERVED," "0,1" bitfld.long 0x8C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x90 "CFG_EMU2_OUT,Delay Select Value in binary coded form for cfg_emu2_out interface" hexmask.long.word 0x90 18.--31. 1. "RESERVED," bitfld.long 0x90 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x90 11. "RESERVED," "0,1" bitfld.long 0x90 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x90 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x94 "CFG_EMU3_IN,Delay Select Value in binary coded form for cfg_emu3_in interface" hexmask.long.word 0x94 18.--31. 1. "RESERVED," bitfld.long 0x94 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x94 11. "RESERVED," "0,1" bitfld.long 0x94 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x94 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x98 "CFG_EMU3_OEN,Delay Select Value in binary coded form for cfg_emu3_oen interface" hexmask.long.word 0x98 18.--31. 1. "RESERVED," bitfld.long 0x98 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x98 11. "RESERVED," "0,1" bitfld.long 0x98 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x98 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9C "CFG_EMU3_OUT,Delay Select Value in binary coded form for cfg_emu3_out interface" hexmask.long.word 0x9C 18.--31. 1. "RESERVED," bitfld.long 0x9C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9C 11. "RESERVED," "0,1" bitfld.long 0x9C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA0 "CFG_EMU4_IN,Delay Select Value in binary coded form for cfg_emu4_in interface" hexmask.long.word 0xA0 18.--31. 1. "RESERVED," bitfld.long 0xA0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA0 11. "RESERVED," "0,1" bitfld.long 0xA0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA4 "CFG_EMU4_OEN,Delay Select Value in binary coded form for cfg_emu4_oen interface" hexmask.long.word 0xA4 18.--31. 1. "RESERVED," bitfld.long 0xA4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA4 11. "RESERVED," "0,1" bitfld.long 0xA4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA8 "CFG_EMU4_OUT,Delay Select Value in binary coded form for cfg_emu4_out interface" hexmask.long.word 0xA8 18.--31. 1. "RESERVED," bitfld.long 0xA8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA8 11. "RESERVED," "0,1" bitfld.long 0xA8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAC "CFG_GPIO6_10_IN,Delay Select Value in binary coded form for cfg_gpio6_10_in interface" hexmask.long.word 0xAC 18.--31. 1. "RESERVED," bitfld.long 0xAC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAC 11. "RESERVED," "0,1" bitfld.long 0xAC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB0 "CFG_GPIO6_10_OEN,Delay Select Value in binary coded form for cfg_gpio6_10_oen interface" hexmask.long.word 0xB0 18.--31. 1. "RESERVED," bitfld.long 0xB0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB0 11. "RESERVED," "0,1" bitfld.long 0xB0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB4 "CFG_GPIO6_10_OUT,Delay Select Value in binary coded form for cfg_gpio6_10_out interface" hexmask.long.word 0xB4 18.--31. 1. "RESERVED," bitfld.long 0xB4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB4 11. "RESERVED," "0,1" bitfld.long 0xB4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB8 "CFG_GPIO6_11_IN,Delay Select Value in binary coded form for cfg_gpio6_11_in interface" hexmask.long.word 0xB8 18.--31. 1. "RESERVED," bitfld.long 0xB8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB8 11. "RESERVED," "0,1" bitfld.long 0xB8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBC "CFG_GPIO6_11_OEN,Delay Select Value in binary coded form for cfg_gpio6_11_oen interface" hexmask.long.word 0xBC 18.--31. 1. "RESERVED," bitfld.long 0xBC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBC 11. "RESERVED," "0,1" bitfld.long 0xBC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC0 "CFG_GPIO6_11_OUT,Delay Select Value in binary coded form for cfg_gpio6_11_out interface" hexmask.long.word 0xC0 18.--31. 1. "RESERVED," bitfld.long 0xC0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC0 11. "RESERVED," "0,1" bitfld.long 0xC0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC4 "CFG_GPIO6_14_IN,Delay Select Value in binary coded form for cfg_gpio6_14_in interface" hexmask.long.word 0xC4 18.--31. 1. "RESERVED," bitfld.long 0xC4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC4 11. "RESERVED," "0,1" bitfld.long 0xC4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC8 "CFG_GPIO6_14_OEN,Delay Select Value in binary coded form for cfg_gpio6_14_oen interface" hexmask.long.word 0xC8 18.--31. 1. "RESERVED," bitfld.long 0xC8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC8 11. "RESERVED," "0,1" bitfld.long 0xC8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCC "CFG_GPIO6_14_OUT,Delay Select Value in binary coded form for cfg_gpio6_14_out interface" hexmask.long.word 0xCC 18.--31. 1. "RESERVED," bitfld.long 0xCC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCC 11. "RESERVED," "0,1" bitfld.long 0xCC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xD0 "CFG_GPIO6_15_IN,Delay Select Value in binary coded form for cfg_gpio6_15_in interface" hexmask.long.word 0xD0 18.--31. 1. "RESERVED," bitfld.long 0xD0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xD0 11. "RESERVED," "0,1" bitfld.long 0xD0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xD0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xD4 "CFG_GPIO6_15_OEN,Delay Select Value in binary coded form for cfg_gpio6_15_oen interface" hexmask.long.word 0xD4 18.--31. 1. "RESERVED," bitfld.long 0xD4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xD4 11. "RESERVED," "0,1" bitfld.long 0xD4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xD4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xD8 "CFG_GPIO6_15_OUT,Delay Select Value in binary coded form for cfg_gpio6_15_out interface" hexmask.long.word 0xD8 18.--31. 1. "RESERVED," bitfld.long 0xD8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xD8 11. "RESERVED," "0,1" bitfld.long 0xD8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xD8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xDC "CFG_GPIO6_16_IN,Delay Select Value in binary coded form for cfg_gpio6_16_in interface" hexmask.long.word 0xDC 18.--31. 1. "RESERVED," bitfld.long 0xDC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xDC 11. "RESERVED," "0,1" bitfld.long 0xDC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xDC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xE0 "CFG_GPIO6_16_OEN,Delay Select Value in binary coded form for cfg_gpio6_16_oen interface" hexmask.long.word 0xE0 18.--31. 1. "RESERVED," bitfld.long 0xE0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xE0 11. "RESERVED," "0,1" bitfld.long 0xE0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xE0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xE4 "CFG_GPIO6_16_OUT,Delay Select Value in binary coded form for cfg_gpio6_16_out interface" hexmask.long.word 0xE4 18.--31. 1. "RESERVED," bitfld.long 0xE4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xE4 11. "RESERVED," "0,1" bitfld.long 0xE4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xE4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xE8 "CFG_GPMC_A0_IN,Delay Select Value in binary coded form for cfg_gpmc_a0_in interface" hexmask.long.word 0xE8 18.--31. 1. "RESERVED," bitfld.long 0xE8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xE8 11. "RESERVED," "0,1" bitfld.long 0xE8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xE8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xEC "CFG_GPMC_A0_OEN,Delay Select Value in binary coded form for cfg_gpmc_a0_oen interface" hexmask.long.word 0xEC 18.--31. 1. "RESERVED," bitfld.long 0xEC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xEC 11. "RESERVED," "0,1" bitfld.long 0xEC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xEC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xF0 "CFG_GPMC_A0_OUT,Delay Select Value in binary coded form for cfg_gpmc_a0_out interface" hexmask.long.word 0xF0 18.--31. 1. "RESERVED," bitfld.long 0xF0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xF0 11. "RESERVED," "0,1" bitfld.long 0xF0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xF0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xF4 "CFG_GPMC_A10_IN,Delay Select Value in binary coded form for cfg_gpmc_a10_in interface" hexmask.long.word 0xF4 18.--31. 1. "RESERVED," bitfld.long 0xF4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xF4 11. "RESERVED," "0,1" bitfld.long 0xF4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xF4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xF8 "CFG_GPMC_A10_OEN,Delay Select Value in binary coded form for cfg_gpmc_a10_oen interface" hexmask.long.word 0xF8 18.--31. 1. "RESERVED," bitfld.long 0xF8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xF8 11. "RESERVED," "0,1" bitfld.long 0xF8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xF8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xFC "CFG_GPMC_A10_OUT,Delay Select Value in binary coded form for cfg_gpmc_a10_out interface" hexmask.long.word 0xFC 18.--31. 1. "RESERVED," bitfld.long 0xFC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xFC 11. "RESERVED," "0,1" bitfld.long 0xFC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xFC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x100 "CFG_GPMC_A11_IN,Delay Select Value in binary coded form for cfg_gpmc_a11_in interface" hexmask.long.word 0x100 18.--31. 1. "RESERVED," bitfld.long 0x100 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x100 11. "RESERVED," "0,1" bitfld.long 0x100 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x100 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x104 "CFG_GPMC_A11_OEN,Delay Select Value in binary coded form for cfg_gpmc_a11_oen interface" hexmask.long.word 0x104 18.--31. 1. "RESERVED," bitfld.long 0x104 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x104 11. "RESERVED," "0,1" bitfld.long 0x104 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x104 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x108 "CFG_GPMC_A11_OUT,Delay Select Value in binary coded form for cfg_gpmc_a11_out interface" hexmask.long.word 0x108 18.--31. 1. "RESERVED," bitfld.long 0x108 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x108 11. "RESERVED," "0,1" bitfld.long 0x108 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x108 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x10C "CFG_GPMC_A12_IN,Delay Select Value in binary coded form for cfg_gpmc_a12_in interface" hexmask.long.word 0x10C 18.--31. 1. "RESERVED," bitfld.long 0x10C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10C 11. "RESERVED," "0,1" bitfld.long 0x10C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x10C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x110 "CFG_GPMC_A12_OEN,Delay Select Value in binary coded form for cfg_gpmc_a12_oen interface" hexmask.long.word 0x110 18.--31. 1. "RESERVED," bitfld.long 0x110 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x110 11. "RESERVED," "0,1" bitfld.long 0x110 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x110 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x114 "CFG_GPMC_A12_OUT,Delay Select Value in binary coded form for cfg_gpmc_a12_out interface" hexmask.long.word 0x114 18.--31. 1. "RESERVED," bitfld.long 0x114 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x114 11. "RESERVED," "0,1" bitfld.long 0x114 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x114 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x118 "CFG_GPMC_A13_IN,Delay Select Value in binary coded form for cfg_gpmc_a13_in interface" hexmask.long.word 0x118 18.--31. 1. "RESERVED," bitfld.long 0x118 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x118 11. "RESERVED," "0,1" bitfld.long 0x118 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x118 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x11C "CFG_GPMC_A13_OEN,Delay Select Value in binary coded form for cfg_gpmc_a13_oen interface" hexmask.long.word 0x11C 18.--31. 1. "RESERVED," bitfld.long 0x11C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x11C 11. "RESERVED," "0,1" bitfld.long 0x11C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x11C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x120 "CFG_GPMC_A13_OUT,Delay Select Value in binary coded form for cfg_gpmc_a13_out interface" hexmask.long.word 0x120 18.--31. 1. "RESERVED," bitfld.long 0x120 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x120 11. "RESERVED," "0,1" bitfld.long 0x120 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x120 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x124 "CFG_GPMC_A14_IN,Delay Select Value in binary coded form for cfg_gpmc_a14_in interface" hexmask.long.word 0x124 18.--31. 1. "RESERVED," bitfld.long 0x124 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x124 11. "RESERVED," "0,1" bitfld.long 0x124 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x124 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x128 "CFG_GPMC_A14_OEN,Delay Select Value in binary coded form for cfg_gpmc_a14_oen interface" hexmask.long.word 0x128 18.--31. 1. "RESERVED," bitfld.long 0x128 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x128 11. "RESERVED," "0,1" bitfld.long 0x128 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x128 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x12C "CFG_GPMC_A14_OUT,Delay Select Value in binary coded form for cfg_gpmc_a14_out interface" hexmask.long.word 0x12C 18.--31. 1. "RESERVED," bitfld.long 0x12C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x12C 11. "RESERVED," "0,1" bitfld.long 0x12C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x12C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x130 "CFG_GPMC_A15_IN,Delay Select Value in binary coded form for cfg_gpmc_a15_in interface" hexmask.long.word 0x130 18.--31. 1. "RESERVED," bitfld.long 0x130 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x130 11. "RESERVED," "0,1" bitfld.long 0x130 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x130 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x134 "CFG_GPMC_A15_OEN,Delay Select Value in binary coded form for cfg_gpmc_a15_oen interface" hexmask.long.word 0x134 18.--31. 1. "RESERVED," bitfld.long 0x134 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x134 11. "RESERVED," "0,1" bitfld.long 0x134 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x134 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x138 "CFG_GPMC_A15_OUT,Delay Select Value in binary coded form for cfg_gpmc_a15_out interface" hexmask.long.word 0x138 18.--31. 1. "RESERVED," bitfld.long 0x138 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x138 11. "RESERVED," "0,1" bitfld.long 0x138 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x138 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x13C "CFG_GPMC_A16_IN,Delay Select Value in binary coded form for cfg_gpmc_a16_in interface" hexmask.long.word 0x13C 18.--31. 1. "RESERVED," bitfld.long 0x13C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x13C 11. "RESERVED," "0,1" bitfld.long 0x13C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x13C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x140 "CFG_GPMC_A16_OEN,Delay Select Value in binary coded form for cfg_gpmc_a16_oen interface" hexmask.long.word 0x140 18.--31. 1. "RESERVED," bitfld.long 0x140 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x140 11. "RESERVED," "0,1" bitfld.long 0x140 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x140 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x144 "CFG_GPMC_A16_OUT,Delay Select Value in binary coded form for cfg_gpmc_a16_out interface" hexmask.long.word 0x144 18.--31. 1. "RESERVED," bitfld.long 0x144 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x144 11. "RESERVED," "0,1" bitfld.long 0x144 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x144 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x148 "CFG_GPMC_A17_IN,Delay Select Value in binary coded form for cfg_gpmc_a17_in interface" hexmask.long.word 0x148 18.--31. 1. "RESERVED," bitfld.long 0x148 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x148 11. "RESERVED," "0,1" bitfld.long 0x148 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x148 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x14C "CFG_GPMC_A17_OEN,Delay Select Value in binary coded form for cfg_gpmc_a17_oen interface" hexmask.long.word 0x14C 18.--31. 1. "RESERVED," bitfld.long 0x14C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x14C 11. "RESERVED," "0,1" bitfld.long 0x14C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x14C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x150 "CFG_GPMC_A17_OUT,Delay Select Value in binary coded form for cfg_gpmc_a17_out interface" hexmask.long.word 0x150 18.--31. 1. "RESERVED," bitfld.long 0x150 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x150 11. "RESERVED," "0,1" bitfld.long 0x150 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x150 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x154 "CFG_GPMC_A18_IN,Delay Select Value in binary coded form for cfg_gpmc_a18_in interface" hexmask.long.word 0x154 18.--31. 1. "RESERVED," bitfld.long 0x154 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x154 11. "RESERVED," "0,1" bitfld.long 0x154 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x154 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x158 "CFG_GPMC_A18_OEN,Delay Select Value in binary coded form for cfg_gpmc_a18_oen interface" hexmask.long.word 0x158 18.--31. 1. "RESERVED," bitfld.long 0x158 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x158 11. "RESERVED," "0,1" bitfld.long 0x158 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x158 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x15C "CFG_GPMC_A18_OUT,Delay Select Value in binary coded form for cfg_gpmc_a18_out interface" hexmask.long.word 0x15C 18.--31. 1. "RESERVED," bitfld.long 0x15C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x15C 11. "RESERVED," "0,1" bitfld.long 0x15C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x15C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x160 "CFG_GPMC_A19_IN,Delay Select Value in binary coded form for cfg_gpmc_a19_in interface" hexmask.long.word 0x160 18.--31. 1. "RESERVED," bitfld.long 0x160 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x160 11. "RESERVED," "0,1" bitfld.long 0x160 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x160 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x164 "CFG_GPMC_A19_OEN,Delay Select Value in binary coded form for cfg_gpmc_a19_oen interface" hexmask.long.word 0x164 18.--31. 1. "RESERVED," bitfld.long 0x164 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x164 11. "RESERVED," "0,1" bitfld.long 0x164 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x164 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x168 "CFG_GPMC_A19_OUT,Delay Select Value in binary coded form for cfg_gpmc_a19_out interface" hexmask.long.word 0x168 18.--31. 1. "RESERVED," bitfld.long 0x168 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x168 11. "RESERVED," "0,1" bitfld.long 0x168 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x168 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x16C "CFG_GPMC_A1_IN,Delay Select Value in binary coded form for cfg_gpmc_a1_in interface" hexmask.long.word 0x16C 18.--31. 1. "RESERVED," bitfld.long 0x16C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x16C 11. "RESERVED," "0,1" bitfld.long 0x16C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x16C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x170 "CFG_GPMC_A1_OEN,Delay Select Value in binary coded form for cfg_gpmc_a1_oen interface" hexmask.long.word 0x170 18.--31. 1. "RESERVED," bitfld.long 0x170 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x170 11. "RESERVED," "0,1" bitfld.long 0x170 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x170 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x174 "CFG_GPMC_A1_OUT,Delay Select Value in binary coded form for cfg_gpmc_a1_out interface" hexmask.long.word 0x174 18.--31. 1. "RESERVED," bitfld.long 0x174 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x174 11. "RESERVED," "0,1" bitfld.long 0x174 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x174 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x178 "CFG_GPMC_A20_IN,Delay Select Value in binary coded form for cfg_gpmc_a20_in interface" hexmask.long.word 0x178 18.--31. 1. "RESERVED," bitfld.long 0x178 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x178 11. "RESERVED," "0,1" bitfld.long 0x178 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x178 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x17C "CFG_GPMC_A20_OEN,Delay Select Value in binary coded form for cfg_gpmc_a20_oen interface" hexmask.long.word 0x17C 18.--31. 1. "RESERVED," bitfld.long 0x17C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x17C 11. "RESERVED," "0,1" bitfld.long 0x17C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x17C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x180 "CFG_GPMC_A20_OUT,Delay Select Value in binary coded form for cfg_gpmc_a20_out interface" hexmask.long.word 0x180 18.--31. 1. "RESERVED," bitfld.long 0x180 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x180 11. "RESERVED," "0,1" bitfld.long 0x180 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x180 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x184 "CFG_GPMC_A21_IN,Delay Select Value in binary coded form for cfg_gpmc_a21_in interface" hexmask.long.word 0x184 18.--31. 1. "RESERVED," bitfld.long 0x184 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x184 11. "RESERVED," "0,1" bitfld.long 0x184 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x184 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x188 "CFG_GPMC_A21_OEN,Delay Select Value in binary coded form for cfg_gpmc_a21_oen interface" hexmask.long.word 0x188 18.--31. 1. "RESERVED," bitfld.long 0x188 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x188 11. "RESERVED," "0,1" bitfld.long 0x188 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x188 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x18C "CFG_GPMC_A21_OUT,Delay Select Value in binary coded form for cfg_gpmc_a21_out interface" hexmask.long.word 0x18C 18.--31. 1. "RESERVED," bitfld.long 0x18C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x18C 11. "RESERVED," "0,1" bitfld.long 0x18C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x18C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x190 "CFG_GPMC_A22_IN,Delay Select Value in binary coded form for cfg_gpmc_a22_in interface" hexmask.long.word 0x190 18.--31. 1. "RESERVED," bitfld.long 0x190 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x190 11. "RESERVED," "0,1" bitfld.long 0x190 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x190 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x194 "CFG_GPMC_A22_OEN,Delay Select Value in binary coded form for cfg_gpmc_a22_oen interface" hexmask.long.word 0x194 18.--31. 1. "RESERVED," bitfld.long 0x194 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x194 11. "RESERVED," "0,1" bitfld.long 0x194 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x194 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x198 "CFG_GPMC_A22_OUT,Delay Select Value in binary coded form for cfg_gpmc_a22_out interface" hexmask.long.word 0x198 18.--31. 1. "RESERVED," bitfld.long 0x198 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x198 11. "RESERVED," "0,1" bitfld.long 0x198 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x198 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x19C "CFG_GPMC_A23_IN,Delay Select Value in binary coded form for cfg_gpmc_a23_in interface" hexmask.long.word 0x19C 18.--31. 1. "RESERVED," bitfld.long 0x19C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x19C 11. "RESERVED," "0,1" bitfld.long 0x19C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x19C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1A0 "CFG_GPMC_A23_OEN,Delay Select Value in binary coded form for cfg_gpmc_a23_oen interface" hexmask.long.word 0x1A0 18.--31. 1. "RESERVED," bitfld.long 0x1A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1A0 11. "RESERVED," "0,1" bitfld.long 0x1A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1A4 "CFG_GPMC_A23_OUT,Delay Select Value in binary coded form for cfg_gpmc_a23_out interface" hexmask.long.word 0x1A4 18.--31. 1. "RESERVED," bitfld.long 0x1A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1A4 11. "RESERVED," "0,1" bitfld.long 0x1A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1A8 "CFG_GPMC_A24_IN,Delay Select Value in binary coded form for cfg_gpmc_a24_in interface" hexmask.long.word 0x1A8 18.--31. 1. "RESERVED," bitfld.long 0x1A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1A8 11. "RESERVED," "0,1" bitfld.long 0x1A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1AC "CFG_GPMC_A24_OEN,Delay Select Value in binary coded form for cfg_gpmc_a24_oen interface" hexmask.long.word 0x1AC 18.--31. 1. "RESERVED," bitfld.long 0x1AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1AC 11. "RESERVED," "0,1" bitfld.long 0x1AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1B0 "CFG_GPMC_A24_OUT,Delay Select Value in binary coded form for cfg_gpmc_a24_out interface" hexmask.long.word 0x1B0 18.--31. 1. "RESERVED," bitfld.long 0x1B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1B0 11. "RESERVED," "0,1" bitfld.long 0x1B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1B4 "CFG_GPMC_A25_IN,Delay Select Value in binary coded form for cfg_gpmc_a25_in interface" hexmask.long.word 0x1B4 18.--31. 1. "RESERVED," bitfld.long 0x1B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1B4 11. "RESERVED," "0,1" bitfld.long 0x1B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1B8 "CFG_GPMC_A25_OEN,Delay Select Value in binary coded form for cfg_gpmc_a25_oen interface" hexmask.long.word 0x1B8 18.--31. 1. "RESERVED," bitfld.long 0x1B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1B8 11. "RESERVED," "0,1" bitfld.long 0x1B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1BC "CFG_GPMC_A25_OUT,Delay Select Value in binary coded form for cfg_gpmc_a25_out interface" hexmask.long.word 0x1BC 18.--31. 1. "RESERVED," bitfld.long 0x1BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1BC 11. "RESERVED," "0,1" bitfld.long 0x1BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1C0 "CFG_GPMC_A26_IN,Delay Select Value in binary coded form for cfg_gpmc_a26_in interface" hexmask.long.word 0x1C0 18.--31. 1. "RESERVED," bitfld.long 0x1C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1C0 11. "RESERVED," "0,1" bitfld.long 0x1C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1C4 "CFG_GPMC_A26_OEN,Delay Select Value in binary coded form for cfg_gpmc_a26_oen interface" hexmask.long.word 0x1C4 18.--31. 1. "RESERVED," bitfld.long 0x1C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1C4 11. "RESERVED," "0,1" bitfld.long 0x1C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1C8 "CFG_GPMC_A26_OUT,Delay Select Value in binary coded form for cfg_gpmc_a26_out interface" hexmask.long.word 0x1C8 18.--31. 1. "RESERVED," bitfld.long 0x1C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1C8 11. "RESERVED," "0,1" bitfld.long 0x1C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1CC "CFG_GPMC_A27_IN,Delay Select Value in binary coded form for cfg_gpmc_a27_in interface" hexmask.long.word 0x1CC 18.--31. 1. "RESERVED," bitfld.long 0x1CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1CC 11. "RESERVED," "0,1" bitfld.long 0x1CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1D0 "CFG_GPMC_A27_OEN,Delay Select Value in binary coded form for cfg_gpmc_a27_oen interface" hexmask.long.word 0x1D0 18.--31. 1. "RESERVED," bitfld.long 0x1D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1D0 11. "RESERVED," "0,1" bitfld.long 0x1D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1D4 "CFG_GPMC_A27_OUT,Delay Select Value in binary coded form for cfg_gpmc_a27_out interface" hexmask.long.word 0x1D4 18.--31. 1. "RESERVED," bitfld.long 0x1D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1D4 11. "RESERVED," "0,1" bitfld.long 0x1D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1D8 "CFG_GPMC_A2_IN,Delay Select Value in binary coded form for cfg_gpmc_a2_in interface" hexmask.long.word 0x1D8 18.--31. 1. "RESERVED," bitfld.long 0x1D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1D8 11. "RESERVED," "0,1" bitfld.long 0x1D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1DC "CFG_GPMC_A2_OEN,Delay Select Value in binary coded form for cfg_gpmc_a2_oen interface" hexmask.long.word 0x1DC 18.--31. 1. "RESERVED," bitfld.long 0x1DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1DC 11. "RESERVED," "0,1" bitfld.long 0x1DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1E0 "CFG_GPMC_A2_OUT,Delay Select Value in binary coded form for cfg_gpmc_a2_out interface" hexmask.long.word 0x1E0 18.--31. 1. "RESERVED," bitfld.long 0x1E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1E0 11. "RESERVED," "0,1" bitfld.long 0x1E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1E4 "CFG_GPMC_A3_IN,Delay Select Value in binary coded form for cfg_gpmc_a3_in interface" hexmask.long.word 0x1E4 18.--31. 1. "RESERVED," bitfld.long 0x1E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1E4 11. "RESERVED," "0,1" bitfld.long 0x1E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1E8 "CFG_GPMC_A3_OEN,Delay Select Value in binary coded form for cfg_gpmc_a3_oen interface" hexmask.long.word 0x1E8 18.--31. 1. "RESERVED," bitfld.long 0x1E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1E8 11. "RESERVED," "0,1" bitfld.long 0x1E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1EC "CFG_GPMC_A3_OUT,Delay Select Value in binary coded form for cfg_gpmc_a3_out interface" hexmask.long.word 0x1EC 18.--31. 1. "RESERVED," bitfld.long 0x1EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1EC 11. "RESERVED," "0,1" bitfld.long 0x1EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1F0 "CFG_GPMC_A4_IN,Delay Select Value in binary coded form for cfg_gpmc_a4_in interface" hexmask.long.word 0x1F0 18.--31. 1. "RESERVED," bitfld.long 0x1F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1F0 11. "RESERVED," "0,1" bitfld.long 0x1F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1F4 "CFG_GPMC_A4_OEN,Delay Select Value in binary coded form for cfg_gpmc_a4_oen interface" hexmask.long.word 0x1F4 18.--31. 1. "RESERVED," bitfld.long 0x1F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1F4 11. "RESERVED," "0,1" bitfld.long 0x1F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1F8 "CFG_GPMC_A4_OUT,Delay Select Value in binary coded form for cfg_gpmc_a4_out interface" hexmask.long.word 0x1F8 18.--31. 1. "RESERVED," bitfld.long 0x1F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1F8 11. "RESERVED," "0,1" bitfld.long 0x1F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x1FC "CFG_GPMC_A5_IN,Delay Select Value in binary coded form for cfg_gpmc_a5_in interface" hexmask.long.word 0x1FC 18.--31. 1. "RESERVED," bitfld.long 0x1FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x1FC 11. "RESERVED," "0,1" bitfld.long 0x1FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x1FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x200 "CFG_GPMC_A5_OEN,Delay Select Value in binary coded form for cfg_gpmc_a5_oen interface" hexmask.long.word 0x200 18.--31. 1. "RESERVED," bitfld.long 0x200 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x200 11. "RESERVED," "0,1" bitfld.long 0x200 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x200 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x204 "CFG_GPMC_A5_OUT,Delay Select Value in binary coded form for cfg_gpmc_a5_out interface" hexmask.long.word 0x204 18.--31. 1. "RESERVED," bitfld.long 0x204 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x204 11. "RESERVED," "0,1" bitfld.long 0x204 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x204 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x208 "CFG_GPMC_A6_IN,Delay Select Value in binary coded form for cfg_gpmc_a6_in interface" hexmask.long.word 0x208 18.--31. 1. "RESERVED," bitfld.long 0x208 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x208 11. "RESERVED," "0,1" bitfld.long 0x208 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x208 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x20C "CFG_GPMC_A6_OEN,Delay Select Value in binary coded form for cfg_gpmc_a6_oen interface" hexmask.long.word 0x20C 18.--31. 1. "RESERVED," bitfld.long 0x20C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x20C 11. "RESERVED," "0,1" bitfld.long 0x20C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x20C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x210 "CFG_GPMC_A6_OUT,Delay Select Value in binary coded form for cfg_gpmc_a6_out interface" hexmask.long.word 0x210 18.--31. 1. "RESERVED," bitfld.long 0x210 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x210 11. "RESERVED," "0,1" bitfld.long 0x210 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x210 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x214 "CFG_GPMC_A7_IN,Delay Select Value in binary coded form for cfg_gpmc_a7_in interface" hexmask.long.word 0x214 18.--31. 1. "RESERVED," bitfld.long 0x214 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x214 11. "RESERVED," "0,1" bitfld.long 0x214 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x214 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x218 "CFG_GPMC_A7_OEN,Delay Select Value in binary coded form for cfg_gpmc_a7_oen interface" hexmask.long.word 0x218 18.--31. 1. "RESERVED," bitfld.long 0x218 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x218 11. "RESERVED," "0,1" bitfld.long 0x218 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x218 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x21C "CFG_GPMC_A7_OUT,Delay Select Value in binary coded form for cfg_gpmc_a7_out interface" hexmask.long.word 0x21C 18.--31. 1. "RESERVED," bitfld.long 0x21C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x21C 11. "RESERVED," "0,1" bitfld.long 0x21C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x21C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x220 "CFG_GPMC_A8_IN,Delay Select Value in binary coded form for cfg_gpmc_a8_in interface" hexmask.long.word 0x220 18.--31. 1. "RESERVED," bitfld.long 0x220 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x220 11. "RESERVED," "0,1" bitfld.long 0x220 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x220 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x224 "CFG_GPMC_A8_OEN,Delay Select Value in binary coded form for cfg_gpmc_a8_oen interface" hexmask.long.word 0x224 18.--31. 1. "RESERVED," bitfld.long 0x224 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x224 11. "RESERVED," "0,1" bitfld.long 0x224 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x224 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x228 "CFG_GPMC_A8_OUT,Delay Select Value in binary coded form for cfg_gpmc_a8_out interface" hexmask.long.word 0x228 18.--31. 1. "RESERVED," bitfld.long 0x228 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x228 11. "RESERVED," "0,1" bitfld.long 0x228 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x228 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x22C "CFG_GPMC_A9_IN,Delay Select Value in binary coded form for cfg_gpmc_a9_in interface" hexmask.long.word 0x22C 18.--31. 1. "RESERVED," bitfld.long 0x22C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x22C 11. "RESERVED," "0,1" bitfld.long 0x22C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x22C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x230 "CFG_GPMC_A9_OEN,Delay Select Value in binary coded form for cfg_gpmc_a9_oen interface" hexmask.long.word 0x230 18.--31. 1. "RESERVED," bitfld.long 0x230 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x230 11. "RESERVED," "0,1" bitfld.long 0x230 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x230 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x234 "CFG_GPMC_A9_OUT,Delay Select Value in binary coded form for cfg_gpmc_a9_out interface" hexmask.long.word 0x234 18.--31. 1. "RESERVED," bitfld.long 0x234 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x234 11. "RESERVED," "0,1" bitfld.long 0x234 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x234 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x238 "CFG_GPMC_AD0_IN,Delay Select Value in binary coded form for cfg_gpmc_ad0_in interface" hexmask.long.word 0x238 18.--31. 1. "RESERVED," bitfld.long 0x238 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x238 11. "RESERVED," "0,1" bitfld.long 0x238 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x238 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x23C "CFG_GPMC_AD0_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad0_oen interface" hexmask.long.word 0x23C 18.--31. 1. "RESERVED," bitfld.long 0x23C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x23C 11. "RESERVED," "0,1" bitfld.long 0x23C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x23C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x240 "CFG_GPMC_AD0_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad0_out interface" hexmask.long.word 0x240 18.--31. 1. "RESERVED," bitfld.long 0x240 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x240 11. "RESERVED," "0,1" bitfld.long 0x240 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x240 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x244 "CFG_GPMC_AD10_IN,Delay Select Value in binary coded form for cfg_gpmc_ad10_in interface" hexmask.long.word 0x244 18.--31. 1. "RESERVED," bitfld.long 0x244 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x244 11. "RESERVED," "0,1" bitfld.long 0x244 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x244 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x248 "CFG_GPMC_AD10_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad10_oen interface" hexmask.long.word 0x248 18.--31. 1. "RESERVED," bitfld.long 0x248 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x248 11. "RESERVED," "0,1" bitfld.long 0x248 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x248 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x24C "CFG_GPMC_AD10_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad10_out interface" hexmask.long.word 0x24C 18.--31. 1. "RESERVED," bitfld.long 0x24C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x24C 11. "RESERVED," "0,1" bitfld.long 0x24C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x24C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x250 "CFG_GPMC_AD11_IN,Delay Select Value in binary coded form for cfg_gpmc_ad11_in interface" hexmask.long.word 0x250 18.--31. 1. "RESERVED," bitfld.long 0x250 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x250 11. "RESERVED," "0,1" bitfld.long 0x250 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x250 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x254 "CFG_GPMC_AD11_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad11_oen interface" hexmask.long.word 0x254 18.--31. 1. "RESERVED," bitfld.long 0x254 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x254 11. "RESERVED," "0,1" bitfld.long 0x254 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x254 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x258 "CFG_GPMC_AD11_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad11_out interface" hexmask.long.word 0x258 18.--31. 1. "RESERVED," bitfld.long 0x258 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x258 11. "RESERVED," "0,1" bitfld.long 0x258 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x258 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x25C "CFG_GPMC_AD12_IN,Delay Select Value in binary coded form for cfg_gpmc_ad12_in interface" hexmask.long.word 0x25C 18.--31. 1. "RESERVED," bitfld.long 0x25C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x25C 11. "RESERVED," "0,1" bitfld.long 0x25C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x25C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x260 "CFG_GPMC_AD12_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad12_oen interface" hexmask.long.word 0x260 18.--31. 1. "RESERVED," bitfld.long 0x260 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x260 11. "RESERVED," "0,1" bitfld.long 0x260 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x260 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x264 "CFG_GPMC_AD12_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad12_out interface" hexmask.long.word 0x264 18.--31. 1. "RESERVED," bitfld.long 0x264 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x264 11. "RESERVED," "0,1" bitfld.long 0x264 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x264 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x268 "CFG_GPMC_AD13_IN,Delay Select Value in binary coded form for cfg_gpmc_ad13_in interface" hexmask.long.word 0x268 18.--31. 1. "RESERVED," bitfld.long 0x268 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x268 11. "RESERVED," "0,1" bitfld.long 0x268 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x268 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x26C "CFG_GPMC_AD13_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad13_oen interface" hexmask.long.word 0x26C 18.--31. 1. "RESERVED," bitfld.long 0x26C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x26C 11. "RESERVED," "0,1" bitfld.long 0x26C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x26C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x270 "CFG_GPMC_AD13_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad13_out interface" hexmask.long.word 0x270 18.--31. 1. "RESERVED," bitfld.long 0x270 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x270 11. "RESERVED," "0,1" bitfld.long 0x270 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x270 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x274 "CFG_GPMC_AD14_IN,Delay Select Value in binary coded form for cfg_gpmc_ad14_in interface" hexmask.long.word 0x274 18.--31. 1. "RESERVED," bitfld.long 0x274 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x274 11. "RESERVED," "0,1" bitfld.long 0x274 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x274 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x278 "CFG_GPMC_AD14_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad14_oen interface" hexmask.long.word 0x278 18.--31. 1. "RESERVED," bitfld.long 0x278 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x278 11. "RESERVED," "0,1" bitfld.long 0x278 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x278 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x27C "CFG_GPMC_AD14_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad14_out interface" hexmask.long.word 0x27C 18.--31. 1. "RESERVED," bitfld.long 0x27C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x27C 11. "RESERVED," "0,1" bitfld.long 0x27C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x27C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x280 "CFG_GPMC_AD15_IN,Delay Select Value in binary coded form for cfg_gpmc_ad15_in interface" hexmask.long.word 0x280 18.--31. 1. "RESERVED," bitfld.long 0x280 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x280 11. "RESERVED," "0,1" bitfld.long 0x280 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x280 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x284 "CFG_GPMC_AD15_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad15_oen interface" hexmask.long.word 0x284 18.--31. 1. "RESERVED," bitfld.long 0x284 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x284 11. "RESERVED," "0,1" bitfld.long 0x284 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x284 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x288 "CFG_GPMC_AD15_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad15_out interface" hexmask.long.word 0x288 18.--31. 1. "RESERVED," bitfld.long 0x288 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x288 11. "RESERVED," "0,1" bitfld.long 0x288 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x288 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x28C "CFG_GPMC_AD1_IN,Delay Select Value in binary coded form for cfg_gpmc_ad1_in interface" hexmask.long.word 0x28C 18.--31. 1. "RESERVED," bitfld.long 0x28C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x28C 11. "RESERVED," "0,1" bitfld.long 0x28C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x28C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x290 "CFG_GPMC_AD1_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad1_oen interface" hexmask.long.word 0x290 18.--31. 1. "RESERVED," bitfld.long 0x290 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x290 11. "RESERVED," "0,1" bitfld.long 0x290 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x290 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x294 "CFG_GPMC_AD1_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad1_out interface" hexmask.long.word 0x294 18.--31. 1. "RESERVED," bitfld.long 0x294 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x294 11. "RESERVED," "0,1" bitfld.long 0x294 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x294 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x298 "CFG_GPMC_AD2_IN,Delay Select Value in binary coded form for cfg_gpmc_ad2_in interface" hexmask.long.word 0x298 18.--31. 1. "RESERVED," bitfld.long 0x298 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x298 11. "RESERVED," "0,1" bitfld.long 0x298 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x298 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x29C "CFG_GPMC_AD2_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad2_oen interface" hexmask.long.word 0x29C 18.--31. 1. "RESERVED," bitfld.long 0x29C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x29C 11. "RESERVED," "0,1" bitfld.long 0x29C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x29C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2A0 "CFG_GPMC_AD2_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad2_out interface" hexmask.long.word 0x2A0 18.--31. 1. "RESERVED," bitfld.long 0x2A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2A0 11. "RESERVED," "0,1" bitfld.long 0x2A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2A4 "CFG_GPMC_AD3_IN,Delay Select Value in binary coded form for cfg_gpmc_ad3_in interface" hexmask.long.word 0x2A4 18.--31. 1. "RESERVED," bitfld.long 0x2A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2A4 11. "RESERVED," "0,1" bitfld.long 0x2A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2A8 "CFG_GPMC_AD3_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad3_oen interface" hexmask.long.word 0x2A8 18.--31. 1. "RESERVED," bitfld.long 0x2A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2A8 11. "RESERVED," "0,1" bitfld.long 0x2A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2AC "CFG_GPMC_AD3_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad3_out interface" hexmask.long.word 0x2AC 18.--31. 1. "RESERVED," bitfld.long 0x2AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2AC 11. "RESERVED," "0,1" bitfld.long 0x2AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2B0 "CFG_GPMC_AD4_IN,Delay Select Value in binary coded form for cfg_gpmc_ad4_in interface" hexmask.long.word 0x2B0 18.--31. 1. "RESERVED," bitfld.long 0x2B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2B0 11. "RESERVED," "0,1" bitfld.long 0x2B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2B4 "CFG_GPMC_AD4_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad4_oen interface" hexmask.long.word 0x2B4 18.--31. 1. "RESERVED," bitfld.long 0x2B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2B4 11. "RESERVED," "0,1" bitfld.long 0x2B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2B8 "CFG_GPMC_AD4_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad4_out interface" hexmask.long.word 0x2B8 18.--31. 1. "RESERVED," bitfld.long 0x2B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2B8 11. "RESERVED," "0,1" bitfld.long 0x2B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2BC "CFG_GPMC_AD5_IN,Delay Select Value in binary coded form for cfg_gpmc_ad5_in interface" hexmask.long.word 0x2BC 18.--31. 1. "RESERVED," bitfld.long 0x2BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2BC 11. "RESERVED," "0,1" bitfld.long 0x2BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2C0 "CFG_GPMC_AD5_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad5_oen interface" hexmask.long.word 0x2C0 18.--31. 1. "RESERVED," bitfld.long 0x2C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2C0 11. "RESERVED," "0,1" bitfld.long 0x2C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2C4 "CFG_GPMC_AD5_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad5_out interface" hexmask.long.word 0x2C4 18.--31. 1. "RESERVED," bitfld.long 0x2C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2C4 11. "RESERVED," "0,1" bitfld.long 0x2C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2C8 "CFG_GPMC_AD6_IN,Delay Select Value in binary coded form for cfg_gpmc_ad6_in interface" hexmask.long.word 0x2C8 18.--31. 1. "RESERVED," bitfld.long 0x2C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2C8 11. "RESERVED," "0,1" bitfld.long 0x2C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2CC "CFG_GPMC_AD6_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad6_oen interface" hexmask.long.word 0x2CC 18.--31. 1. "RESERVED," bitfld.long 0x2CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2CC 11. "RESERVED," "0,1" bitfld.long 0x2CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2D0 "CFG_GPMC_AD6_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad6_out interface" hexmask.long.word 0x2D0 18.--31. 1. "RESERVED," bitfld.long 0x2D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2D0 11. "RESERVED," "0,1" bitfld.long 0x2D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2D4 "CFG_GPMC_AD7_IN,Delay Select Value in binary coded form for cfg_gpmc_ad7_in interface" hexmask.long.word 0x2D4 18.--31. 1. "RESERVED," bitfld.long 0x2D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2D4 11. "RESERVED," "0,1" bitfld.long 0x2D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2D8 "CFG_GPMC_AD7_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad7_oen interface" hexmask.long.word 0x2D8 18.--31. 1. "RESERVED," bitfld.long 0x2D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2D8 11. "RESERVED," "0,1" bitfld.long 0x2D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2DC "CFG_GPMC_AD7_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad7_out interface" hexmask.long.word 0x2DC 18.--31. 1. "RESERVED," bitfld.long 0x2DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2DC 11. "RESERVED," "0,1" bitfld.long 0x2DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2E0 "CFG_GPMC_AD8_IN,Delay Select Value in binary coded form for cfg_gpmc_ad8_in interface" hexmask.long.word 0x2E0 18.--31. 1. "RESERVED," bitfld.long 0x2E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2E0 11. "RESERVED," "0,1" bitfld.long 0x2E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2E4 "CFG_GPMC_AD8_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad8_oen interface" hexmask.long.word 0x2E4 18.--31. 1. "RESERVED," bitfld.long 0x2E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2E4 11. "RESERVED," "0,1" bitfld.long 0x2E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2E8 "CFG_GPMC_AD8_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad8_out interface" hexmask.long.word 0x2E8 18.--31. 1. "RESERVED," bitfld.long 0x2E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2E8 11. "RESERVED," "0,1" bitfld.long 0x2E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2EC "CFG_GPMC_AD9_IN,Delay Select Value in binary coded form for cfg_gpmc_ad9_in interface" hexmask.long.word 0x2EC 18.--31. 1. "RESERVED," bitfld.long 0x2EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2EC 11. "RESERVED," "0,1" bitfld.long 0x2EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2F0 "CFG_GPMC_AD9_OEN,Delay Select Value in binary coded form for cfg_gpmc_ad9_oen interface" hexmask.long.word 0x2F0 18.--31. 1. "RESERVED," bitfld.long 0x2F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2F0 11. "RESERVED," "0,1" bitfld.long 0x2F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2F4 "CFG_GPMC_AD9_OUT,Delay Select Value in binary coded form for cfg_gpmc_ad9_out interface" hexmask.long.word 0x2F4 18.--31. 1. "RESERVED," bitfld.long 0x2F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2F4 11. "RESERVED," "0,1" bitfld.long 0x2F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2F8 "CFG_GPMC_ADVN_ALE_IN,Delay Select Value in binary coded form for cfg_gpmc_advn_ale_in interface" hexmask.long.word 0x2F8 18.--31. 1. "RESERVED," bitfld.long 0x2F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2F8 11. "RESERVED," "0,1" bitfld.long 0x2F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x2FC "CFG_GPMC_ADVN_ALE_OEN,Delay Select Value in binary coded form for cfg_gpmc_advn_ale_oen interface" hexmask.long.word 0x2FC 18.--31. 1. "RESERVED," bitfld.long 0x2FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x2FC 11. "RESERVED," "0,1" bitfld.long 0x2FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x2FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x300 "CFG_GPMC_ADVN_ALE_OUT,Delay Select Value in binary coded form for cfg_gpmc_advn_ale_out interface" hexmask.long.word 0x300 18.--31. 1. "RESERVED," bitfld.long 0x300 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x300 11. "RESERVED," "0,1" bitfld.long 0x300 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x300 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x304 "CFG_GPMC_BEN0_IN,Delay Select Value in binary coded form for cfg_gpmc_ben0_in interface" hexmask.long.word 0x304 18.--31. 1. "RESERVED," bitfld.long 0x304 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x304 11. "RESERVED," "0,1" bitfld.long 0x304 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x304 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x308 "CFG_GPMC_BEN0_OEN,Delay Select Value in binary coded form for cfg_gpmc_ben0_oen interface" hexmask.long.word 0x308 18.--31. 1. "RESERVED," bitfld.long 0x308 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x308 11. "RESERVED," "0,1" bitfld.long 0x308 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x308 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x30C "CFG_GPMC_BEN0_OUT,Delay Select Value in binary coded form for cfg_gpmc_ben0_out interface" hexmask.long.word 0x30C 18.--31. 1. "RESERVED," bitfld.long 0x30C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x30C 11. "RESERVED," "0,1" bitfld.long 0x30C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x30C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x310 "CFG_GPMC_BEN1_IN,Delay Select Value in binary coded form for cfg_gpmc_ben1_in interface" hexmask.long.word 0x310 18.--31. 1. "RESERVED," bitfld.long 0x310 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x310 11. "RESERVED," "0,1" bitfld.long 0x310 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x310 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x314 "CFG_GPMC_BEN1_OEN,Delay Select Value in binary coded form for cfg_gpmc_ben1_oen interface" hexmask.long.word 0x314 18.--31. 1. "RESERVED," bitfld.long 0x314 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x314 11. "RESERVED," "0,1" bitfld.long 0x314 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x314 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x318 "CFG_GPMC_BEN1_OUT,Delay Select Value in binary coded form for cfg_gpmc_ben1_out interface" hexmask.long.word 0x318 18.--31. 1. "RESERVED," bitfld.long 0x318 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x318 11. "RESERVED," "0,1" bitfld.long 0x318 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x318 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x31C "CFG_GPMC_CLK_IN,Delay Select Value in binary coded form for cfg_gpmc_clk_in interface" hexmask.long.word 0x31C 18.--31. 1. "RESERVED," bitfld.long 0x31C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x31C 11. "RESERVED," "0,1" bitfld.long 0x31C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x31C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x320 "CFG_GPMC_CLK_OEN,Delay Select Value in binary coded form for cfg_gpmc_clk_oen interface" hexmask.long.word 0x320 18.--31. 1. "RESERVED," bitfld.long 0x320 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x320 11. "RESERVED," "0,1" bitfld.long 0x320 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x320 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x324 "CFG_GPMC_CLK_OUT,Delay Select Value in binary coded form for cfg_gpmc_clk_out interface" hexmask.long.word 0x324 18.--31. 1. "RESERVED," bitfld.long 0x324 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x324 11. "RESERVED," "0,1" bitfld.long 0x324 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x324 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x328 "CFG_GPMC_CS0_IN,Delay Select Value in binary coded form for cfg_gpmc_cs0_in interface" hexmask.long.word 0x328 18.--31. 1. "RESERVED," bitfld.long 0x328 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x328 11. "RESERVED," "0,1" bitfld.long 0x328 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x328 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x32C "CFG_GPMC_CS0_OEN,Delay Select Value in binary coded form for cfg_gpmc_cs0_oen interface" hexmask.long.word 0x32C 18.--31. 1. "RESERVED," bitfld.long 0x32C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x32C 11. "RESERVED," "0,1" bitfld.long 0x32C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x32C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x330 "CFG_GPMC_CS0_OUT,Delay Select Value in binary coded form for cfg_gpmc_cs0_out interface" hexmask.long.word 0x330 18.--31. 1. "RESERVED," bitfld.long 0x330 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x330 11. "RESERVED," "0,1" bitfld.long 0x330 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x330 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x334 "CFG_GPMC_CS1_IN,Delay Select Value in binary coded form for cfg_gpmc_cs1_in interface" hexmask.long.word 0x334 18.--31. 1. "RESERVED," bitfld.long 0x334 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x334 11. "RESERVED," "0,1" bitfld.long 0x334 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x334 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x338 "CFG_GPMC_CS1_OEN,Delay Select Value in binary coded form for cfg_gpmc_cs1_oen interface" hexmask.long.word 0x338 18.--31. 1. "RESERVED," bitfld.long 0x338 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x338 11. "RESERVED," "0,1" bitfld.long 0x338 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x338 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x33C "CFG_GPMC_CS1_OUT,Delay Select Value in binary coded form for cfg_gpmc_cs1_out interface" hexmask.long.word 0x33C 18.--31. 1. "RESERVED," bitfld.long 0x33C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x33C 11. "RESERVED," "0,1" bitfld.long 0x33C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x33C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x340 "CFG_GPMC_CS2_IN,Delay Select Value in binary coded form for cfg_gpmc_cs2_in interface" hexmask.long.word 0x340 18.--31. 1. "RESERVED," bitfld.long 0x340 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x340 11. "RESERVED," "0,1" bitfld.long 0x340 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x340 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x344 "CFG_GPMC_CS2_OEN,Delay Select Value in binary coded form for cfg_gpmc_cs2_oen interface" hexmask.long.word 0x344 18.--31. 1. "RESERVED," bitfld.long 0x344 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x344 11. "RESERVED," "0,1" bitfld.long 0x344 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x344 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x348 "CFG_GPMC_CS2_OUT,Delay Select Value in binary coded form for cfg_gpmc_cs2_out interface" hexmask.long.word 0x348 18.--31. 1. "RESERVED," bitfld.long 0x348 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x348 11. "RESERVED," "0,1" bitfld.long 0x348 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x348 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x34C "CFG_GPMC_CS3_IN,Delay Select Value in binary coded form for cfg_gpmc_cs3_in interface" hexmask.long.word 0x34C 18.--31. 1. "RESERVED," bitfld.long 0x34C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x34C 11. "RESERVED," "0,1" bitfld.long 0x34C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x34C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x350 "CFG_GPMC_CS3_OEN,Delay Select Value in binary coded form for cfg_gpmc_cs3_oen interface" hexmask.long.word 0x350 18.--31. 1. "RESERVED," bitfld.long 0x350 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x350 11. "RESERVED," "0,1" bitfld.long 0x350 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x350 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x354 "CFG_GPMC_CS3_OUT,Delay Select Value in binary coded form for cfg_gpmc_cs3_out interface" hexmask.long.word 0x354 18.--31. 1. "RESERVED," bitfld.long 0x354 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x354 11. "RESERVED," "0,1" bitfld.long 0x354 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x354 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x358 "CFG_GPMC_OEN_REN_IN,Delay Select Value in binary coded form for cfg_gpmc_oen_ren_in interface" hexmask.long.word 0x358 18.--31. 1. "RESERVED," bitfld.long 0x358 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x358 11. "RESERVED," "0,1" bitfld.long 0x358 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x358 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x35C "CFG_GPMC_OEN_REN_OEN,Delay Select Value in binary coded form for cfg_gpmc_oen_ren_oen interface" hexmask.long.word 0x35C 18.--31. 1. "RESERVED," bitfld.long 0x35C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x35C 11. "RESERVED," "0,1" bitfld.long 0x35C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x35C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x360 "CFG_GPMC_OEN_REN_OUT,Delay Select Value in binary coded form for cfg_gpmc_oen_ren_out interface" hexmask.long.word 0x360 18.--31. 1. "RESERVED," bitfld.long 0x360 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x360 11. "RESERVED," "0,1" bitfld.long 0x360 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x360 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x364 "CFG_GPMC_WAIT0_IN,Delay Select Value in binary coded form for cfg_gpmc_wait0_in interface" hexmask.long.word 0x364 18.--31. 1. "RESERVED," bitfld.long 0x364 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x364 11. "RESERVED," "0,1" bitfld.long 0x364 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x364 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x368 "CFG_GPMC_WAIT0_OEN,Delay Select Value in binary coded form for cfg_gpmc_wait0_oen interface" hexmask.long.word 0x368 18.--31. 1. "RESERVED," bitfld.long 0x368 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x368 11. "RESERVED," "0,1" bitfld.long 0x368 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x368 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x36C "CFG_GPMC_WAIT0_OUT,Delay Select Value in binary coded form for cfg_gpmc_wait0_out interface" hexmask.long.word 0x36C 18.--31. 1. "RESERVED," bitfld.long 0x36C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x36C 11. "RESERVED," "0,1" bitfld.long 0x36C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x36C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x370 "CFG_GPMC_WEN_IN,Delay Select Value in binary coded form for cfg_gpmc_wen_in interface" hexmask.long.word 0x370 18.--31. 1. "RESERVED," bitfld.long 0x370 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x370 11. "RESERVED," "0,1" bitfld.long 0x370 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x370 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x374 "CFG_GPMC_WEN_OEN,Delay Select Value in binary coded form for cfg_gpmc_wen_oen interface" hexmask.long.word 0x374 18.--31. 1. "RESERVED," bitfld.long 0x374 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x374 11. "RESERVED," "0,1" bitfld.long 0x374 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x374 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x378 "CFG_GPMC_WEN_OUT,Delay Select Value in binary coded form for cfg_gpmc_wen_out interface" hexmask.long.word 0x378 18.--31. 1. "RESERVED," bitfld.long 0x378 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x378 11. "RESERVED," "0,1" bitfld.long 0x378 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x378 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x37C "CFG_MCASP1_ACLKR_IN,Delay Select Value in binary coded form for cfg_mcasp1_aclkr_in interface" hexmask.long.word 0x37C 18.--31. 1. "RESERVED," bitfld.long 0x37C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x37C 11. "RESERVED," "0,1" bitfld.long 0x37C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x37C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x380 "CFG_MCASP1_ACLKR_OEN,Delay Select Value in binary coded form for cfg_mcasp1_aclkr_oen interface" hexmask.long.word 0x380 18.--31. 1. "RESERVED," bitfld.long 0x380 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x380 11. "RESERVED," "0,1" bitfld.long 0x380 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x380 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x384 "CFG_MCASP1_ACLKR_OUT,Delay Select Value in binary coded form for cfg_mcasp1_aclkr_out interface" hexmask.long.word 0x384 18.--31. 1. "RESERVED," bitfld.long 0x384 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x384 11. "RESERVED," "0,1" bitfld.long 0x384 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x384 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x388 "CFG_MCASP1_ACLKX_IN,Delay Select Value in binary coded form for cfg_mcasp1_aclkx_in interface" hexmask.long.word 0x388 18.--31. 1. "RESERVED," bitfld.long 0x388 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x388 11. "RESERVED," "0,1" bitfld.long 0x388 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x388 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x38C "CFG_MCASP1_ACLKX_OEN,Delay Select Value in binary coded form for cfg_mcasp1_aclkx_oen interface" hexmask.long.word 0x38C 18.--31. 1. "RESERVED," bitfld.long 0x38C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x38C 11. "RESERVED," "0,1" bitfld.long 0x38C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x38C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x390 "CFG_MCASP1_ACLKX_OUT,Delay Select Value in binary coded form for cfg_mcasp1_aclkx_out interface" hexmask.long.word 0x390 18.--31. 1. "RESERVED," bitfld.long 0x390 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x390 11. "RESERVED," "0,1" bitfld.long 0x390 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x390 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x394 "CFG_MCASP1_AXR0_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr0_in interface" hexmask.long.word 0x394 18.--31. 1. "RESERVED," bitfld.long 0x394 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x394 11. "RESERVED," "0,1" bitfld.long 0x394 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x394 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x398 "CFG_MCASP1_AXR0_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr0_oen interface" hexmask.long.word 0x398 18.--31. 1. "RESERVED," bitfld.long 0x398 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x398 11. "RESERVED," "0,1" bitfld.long 0x398 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x398 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x39C "CFG_MCASP1_AXR0_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr0_out interface" hexmask.long.word 0x39C 18.--31. 1. "RESERVED," bitfld.long 0x39C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x39C 11. "RESERVED," "0,1" bitfld.long 0x39C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x39C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3A0 "CFG_MCASP1_AXR10_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr10_in interface" hexmask.long.word 0x3A0 18.--31. 1. "RESERVED," bitfld.long 0x3A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3A0 11. "RESERVED," "0,1" bitfld.long 0x3A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3A4 "CFG_MCASP1_AXR10_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr10_oen interface" hexmask.long.word 0x3A4 18.--31. 1. "RESERVED," bitfld.long 0x3A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3A4 11. "RESERVED," "0,1" bitfld.long 0x3A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3A8 "CFG_MCASP1_AXR10_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr10_out interface" hexmask.long.word 0x3A8 18.--31. 1. "RESERVED," bitfld.long 0x3A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3A8 11. "RESERVED," "0,1" bitfld.long 0x3A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3AC "CFG_MCASP1_AXR11_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr11_in interface" hexmask.long.word 0x3AC 18.--31. 1. "RESERVED," bitfld.long 0x3AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3AC 11. "RESERVED," "0,1" bitfld.long 0x3AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3B0 "CFG_MCASP1_AXR11_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr11_oen interface" hexmask.long.word 0x3B0 18.--31. 1. "RESERVED," bitfld.long 0x3B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3B0 11. "RESERVED," "0,1" bitfld.long 0x3B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3B4 "CFG_MCASP1_AXR11_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr11_out interface" hexmask.long.word 0x3B4 18.--31. 1. "RESERVED," bitfld.long 0x3B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3B4 11. "RESERVED," "0,1" bitfld.long 0x3B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3B8 "CFG_MCASP1_AXR12_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr12_in interface" hexmask.long.word 0x3B8 18.--31. 1. "RESERVED," bitfld.long 0x3B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3B8 11. "RESERVED," "0,1" bitfld.long 0x3B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3BC "CFG_MCASP1_AXR12_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr12_oen interface" hexmask.long.word 0x3BC 18.--31. 1. "RESERVED," bitfld.long 0x3BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3BC 11. "RESERVED," "0,1" bitfld.long 0x3BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3C0 "CFG_MCASP1_AXR12_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr12_out interface" hexmask.long.word 0x3C0 18.--31. 1. "RESERVED," bitfld.long 0x3C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3C0 11. "RESERVED," "0,1" bitfld.long 0x3C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3C4 "CFG_MCASP1_AXR13_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr13_in interface" hexmask.long.word 0x3C4 18.--31. 1. "RESERVED," bitfld.long 0x3C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3C4 11. "RESERVED," "0,1" bitfld.long 0x3C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3C8 "CFG_MCASP1_AXR13_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr13_oen interface" hexmask.long.word 0x3C8 18.--31. 1. "RESERVED," bitfld.long 0x3C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3C8 11. "RESERVED," "0,1" bitfld.long 0x3C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3CC "CFG_MCASP1_AXR13_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr13_out interface" hexmask.long.word 0x3CC 18.--31. 1. "RESERVED," bitfld.long 0x3CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3CC 11. "RESERVED," "0,1" bitfld.long 0x3CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3D0 "CFG_MCASP1_AXR14_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr14_in interface" hexmask.long.word 0x3D0 18.--31. 1. "RESERVED," bitfld.long 0x3D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3D0 11. "RESERVED," "0,1" bitfld.long 0x3D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3D4 "CFG_MCASP1_AXR14_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr14_oen interface" hexmask.long.word 0x3D4 18.--31. 1. "RESERVED," bitfld.long 0x3D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3D4 11. "RESERVED," "0,1" bitfld.long 0x3D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3D8 "CFG_MCASP1_AXR14_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr14_out interface" hexmask.long.word 0x3D8 18.--31. 1. "RESERVED," bitfld.long 0x3D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3D8 11. "RESERVED," "0,1" bitfld.long 0x3D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3DC "CFG_MCASP1_AXR15_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr15_in interface" hexmask.long.word 0x3DC 18.--31. 1. "RESERVED," bitfld.long 0x3DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3DC 11. "RESERVED," "0,1" bitfld.long 0x3DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3E0 "CFG_MCASP1_AXR15_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr15_oen interface" hexmask.long.word 0x3E0 18.--31. 1. "RESERVED," bitfld.long 0x3E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3E0 11. "RESERVED," "0,1" bitfld.long 0x3E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3E4 "CFG_MCASP1_AXR15_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr15_out interface" hexmask.long.word 0x3E4 18.--31. 1. "RESERVED," bitfld.long 0x3E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3E4 11. "RESERVED," "0,1" bitfld.long 0x3E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3E8 "CFG_MCASP1_AXR1_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr1_in interface" hexmask.long.word 0x3E8 18.--31. 1. "RESERVED," bitfld.long 0x3E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3E8 11. "RESERVED," "0,1" bitfld.long 0x3E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3EC "CFG_MCASP1_AXR1_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr1_oen interface" hexmask.long.word 0x3EC 18.--31. 1. "RESERVED," bitfld.long 0x3EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3EC 11. "RESERVED," "0,1" bitfld.long 0x3EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3F0 "CFG_MCASP1_AXR1_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr1_out interface" hexmask.long.word 0x3F0 18.--31. 1. "RESERVED," bitfld.long 0x3F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3F0 11. "RESERVED," "0,1" bitfld.long 0x3F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3F4 "CFG_MCASP1_AXR2_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr2_in interface" hexmask.long.word 0x3F4 18.--31. 1. "RESERVED," bitfld.long 0x3F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3F4 11. "RESERVED," "0,1" bitfld.long 0x3F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3F8 "CFG_MCASP1_AXR2_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr2_oen interface" hexmask.long.word 0x3F8 18.--31. 1. "RESERVED," bitfld.long 0x3F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3F8 11. "RESERVED," "0,1" bitfld.long 0x3F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x3FC "CFG_MCASP1_AXR2_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr2_out interface" hexmask.long.word 0x3FC 18.--31. 1. "RESERVED," bitfld.long 0x3FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x3FC 11. "RESERVED," "0,1" bitfld.long 0x3FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x3FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x400 "CFG_MCASP1_AXR3_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr3_in interface" hexmask.long.word 0x400 18.--31. 1. "RESERVED," bitfld.long 0x400 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x400 11. "RESERVED," "0,1" bitfld.long 0x400 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x400 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x404 "CFG_MCASP1_AXR3_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr3_oen interface" hexmask.long.word 0x404 18.--31. 1. "RESERVED," bitfld.long 0x404 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x404 11. "RESERVED," "0,1" bitfld.long 0x404 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x404 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x408 "CFG_MCASP1_AXR3_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr3_out interface" hexmask.long.word 0x408 18.--31. 1. "RESERVED," bitfld.long 0x408 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x408 11. "RESERVED," "0,1" bitfld.long 0x408 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x408 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x40C "CFG_MCASP1_AXR4_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr4_in interface" hexmask.long.word 0x40C 18.--31. 1. "RESERVED," bitfld.long 0x40C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x40C 11. "RESERVED," "0,1" bitfld.long 0x40C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x40C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x410 "CFG_MCASP1_AXR4_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr4_oen interface" hexmask.long.word 0x410 18.--31. 1. "RESERVED," bitfld.long 0x410 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x410 11. "RESERVED," "0,1" bitfld.long 0x410 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x410 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x414 "CFG_MCASP1_AXR4_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr4_out interface" hexmask.long.word 0x414 18.--31. 1. "RESERVED," bitfld.long 0x414 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x414 11. "RESERVED," "0,1" bitfld.long 0x414 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x414 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x418 "CFG_MCASP1_AXR5_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr5_in interface" hexmask.long.word 0x418 18.--31. 1. "RESERVED," bitfld.long 0x418 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x418 11. "RESERVED," "0,1" bitfld.long 0x418 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x418 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x41C "CFG_MCASP1_AXR5_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr5_oen interface" hexmask.long.word 0x41C 18.--31. 1. "RESERVED," bitfld.long 0x41C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x41C 11. "RESERVED," "0,1" bitfld.long 0x41C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x41C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x420 "CFG_MCASP1_AXR5_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr5_out interface" hexmask.long.word 0x420 18.--31. 1. "RESERVED," bitfld.long 0x420 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x420 11. "RESERVED," "0,1" bitfld.long 0x420 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x420 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x424 "CFG_MCASP1_AXR6_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr6_in interface" hexmask.long.word 0x424 18.--31. 1. "RESERVED," bitfld.long 0x424 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x424 11. "RESERVED," "0,1" bitfld.long 0x424 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x424 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x428 "CFG_MCASP1_AXR6_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr6_oen interface" hexmask.long.word 0x428 18.--31. 1. "RESERVED," bitfld.long 0x428 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x428 11. "RESERVED," "0,1" bitfld.long 0x428 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x428 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x42C "CFG_MCASP1_AXR6_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr6_out interface" hexmask.long.word 0x42C 18.--31. 1. "RESERVED," bitfld.long 0x42C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x42C 11. "RESERVED," "0,1" bitfld.long 0x42C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x42C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x430 "CFG_MCASP1_AXR7_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr7_in interface" hexmask.long.word 0x430 18.--31. 1. "RESERVED," bitfld.long 0x430 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x430 11. "RESERVED," "0,1" bitfld.long 0x430 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x430 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x434 "CFG_MCASP1_AXR7_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr7_oen interface" hexmask.long.word 0x434 18.--31. 1. "RESERVED," bitfld.long 0x434 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x434 11. "RESERVED," "0,1" bitfld.long 0x434 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x434 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x438 "CFG_MCASP1_AXR7_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr7_out interface" hexmask.long.word 0x438 18.--31. 1. "RESERVED," bitfld.long 0x438 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x438 11. "RESERVED," "0,1" bitfld.long 0x438 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x438 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x43C "CFG_MCASP1_AXR8_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr8_in interface" hexmask.long.word 0x43C 18.--31. 1. "RESERVED," bitfld.long 0x43C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x43C 11. "RESERVED," "0,1" bitfld.long 0x43C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x43C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x440 "CFG_MCASP1_AXR8_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr8_oen interface" hexmask.long.word 0x440 18.--31. 1. "RESERVED," bitfld.long 0x440 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x440 11. "RESERVED," "0,1" bitfld.long 0x440 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x440 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x444 "CFG_MCASP1_AXR8_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr8_out interface" hexmask.long.word 0x444 18.--31. 1. "RESERVED," bitfld.long 0x444 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x444 11. "RESERVED," "0,1" bitfld.long 0x444 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x444 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x448 "CFG_MCASP1_AXR9_IN,Delay Select Value in binary coded form for cfg_mcasp1_axr9_in interface" hexmask.long.word 0x448 18.--31. 1. "RESERVED," bitfld.long 0x448 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x448 11. "RESERVED," "0,1" bitfld.long 0x448 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x448 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x44C "CFG_MCASP1_AXR9_OEN,Delay Select Value in binary coded form for cfg_mcasp1_axr9_oen interface" hexmask.long.word 0x44C 18.--31. 1. "RESERVED," bitfld.long 0x44C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x44C 11. "RESERVED," "0,1" bitfld.long 0x44C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x44C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x450 "CFG_MCASP1_AXR9_OUT,Delay Select Value in binary coded form for cfg_mcasp1_axr9_out interface" hexmask.long.word 0x450 18.--31. 1. "RESERVED," bitfld.long 0x450 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x450 11. "RESERVED," "0,1" bitfld.long 0x450 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x450 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x454 "CFG_MCASP1_FSR_IN,Delay Select Value in binary coded form for cfg_mcasp1_fsr_in interface" hexmask.long.word 0x454 18.--31. 1. "RESERVED," bitfld.long 0x454 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x454 11. "RESERVED," "0,1" bitfld.long 0x454 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x454 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x458 "CFG_MCASP1_FSR_OEN,Delay Select Value in binary coded form for cfg_mcasp1_fsr_oen interface" hexmask.long.word 0x458 18.--31. 1. "RESERVED," bitfld.long 0x458 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x458 11. "RESERVED," "0,1" bitfld.long 0x458 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x458 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x45C "CFG_MCASP1_FSR_OUT,Delay Select Value in binary coded form for cfg_mcasp1_fsr_out interface" hexmask.long.word 0x45C 18.--31. 1. "RESERVED," bitfld.long 0x45C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x45C 11. "RESERVED," "0,1" bitfld.long 0x45C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x45C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x460 "CFG_MCASP1_FSX_IN,Delay Select Value in binary coded form for cfg_mcasp1_fsx_in interface" hexmask.long.word 0x460 18.--31. 1. "RESERVED," bitfld.long 0x460 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x460 11. "RESERVED," "0,1" bitfld.long 0x460 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x460 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x464 "CFG_MCASP1_FSX_OEN,Delay Select Value in binary coded form for cfg_mcasp1_fsx_oen interface" hexmask.long.word 0x464 18.--31. 1. "RESERVED," bitfld.long 0x464 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x464 11. "RESERVED," "0,1" bitfld.long 0x464 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x464 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x468 "CFG_MCASP1_FSX_OUT,Delay Select Value in binary coded form for cfg_mcasp1_fsx_out interface" hexmask.long.word 0x468 18.--31. 1. "RESERVED," bitfld.long 0x468 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x468 11. "RESERVED," "0,1" bitfld.long 0x468 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x468 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x46C "CFG_MCASP2_ACLKR_IN,Delay Select Value in binary coded form for cfg_mcasp2_aclkr_in interface" hexmask.long.word 0x46C 18.--31. 1. "RESERVED," bitfld.long 0x46C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x46C 11. "RESERVED," "0,1" bitfld.long 0x46C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x46C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x470 "CFG_MCASP2_ACLKR_OEN,Delay Select Value in binary coded form for cfg_mcasp2_aclkr_oen interface" hexmask.long.word 0x470 18.--31. 1. "RESERVED," bitfld.long 0x470 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x470 11. "RESERVED," "0,1" bitfld.long 0x470 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x470 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x474 "CFG_MCASP2_ACLKR_OUT,Delay Select Value in binary coded form for cfg_mcasp2_aclkr_out interface" hexmask.long.word 0x474 18.--31. 1. "RESERVED," bitfld.long 0x474 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x474 11. "RESERVED," "0,1" bitfld.long 0x474 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x474 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x478 "CFG_MCASP2_ACLKX_IN,Delay Select Value in binary coded form for cfg_mcasp2_aclkx_in interface" hexmask.long.word 0x478 18.--31. 1. "RESERVED," bitfld.long 0x478 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x478 11. "RESERVED," "0,1" bitfld.long 0x478 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x478 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x47C "CFG_MCASP2_ACLKX_OEN,Delay Select Value in binary coded form for cfg_mcasp2_aclkx_oen interface" hexmask.long.word 0x47C 18.--31. 1. "RESERVED," bitfld.long 0x47C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x47C 11. "RESERVED," "0,1" bitfld.long 0x47C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x47C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x480 "CFG_MCASP2_ACLKX_OUT,Delay Select Value in binary coded form for cfg_mcasp2_aclkx_out interface" hexmask.long.word 0x480 18.--31. 1. "RESERVED," bitfld.long 0x480 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x480 11. "RESERVED," "0,1" bitfld.long 0x480 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x480 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x484 "CFG_MCASP2_AXR0_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr0_in interface" hexmask.long.word 0x484 18.--31. 1. "RESERVED," bitfld.long 0x484 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x484 11. "RESERVED," "0,1" bitfld.long 0x484 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x484 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x488 "CFG_MCASP2_AXR0_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr0_oen interface" hexmask.long.word 0x488 18.--31. 1. "RESERVED," bitfld.long 0x488 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x488 11. "RESERVED," "0,1" bitfld.long 0x488 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x488 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x48C "CFG_MCASP2_AXR0_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr0_out interface" hexmask.long.word 0x48C 18.--31. 1. "RESERVED," bitfld.long 0x48C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x48C 11. "RESERVED," "0,1" bitfld.long 0x48C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x48C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x490 "CFG_MCASP2_AXR1_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr1_in interface" hexmask.long.word 0x490 18.--31. 1. "RESERVED," bitfld.long 0x490 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x490 11. "RESERVED," "0,1" bitfld.long 0x490 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x490 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x494 "CFG_MCASP2_AXR1_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr1_oen interface" hexmask.long.word 0x494 18.--31. 1. "RESERVED," bitfld.long 0x494 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x494 11. "RESERVED," "0,1" bitfld.long 0x494 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x494 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x498 "CFG_MCASP2_AXR1_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr1_out interface" hexmask.long.word 0x498 18.--31. 1. "RESERVED," bitfld.long 0x498 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x498 11. "RESERVED," "0,1" bitfld.long 0x498 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x498 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x49C "CFG_MCASP2_AXR2_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr2_in interface" hexmask.long.word 0x49C 18.--31. 1. "RESERVED," bitfld.long 0x49C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x49C 11. "RESERVED," "0,1" bitfld.long 0x49C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x49C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4A0 "CFG_MCASP2_AXR2_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr2_oen interface" hexmask.long.word 0x4A0 18.--31. 1. "RESERVED," bitfld.long 0x4A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4A0 11. "RESERVED," "0,1" bitfld.long 0x4A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4A4 "CFG_MCASP2_AXR2_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr2_out interface" hexmask.long.word 0x4A4 18.--31. 1. "RESERVED," bitfld.long 0x4A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4A4 11. "RESERVED," "0,1" bitfld.long 0x4A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4A8 "CFG_MCASP2_AXR3_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr3_in interface" hexmask.long.word 0x4A8 18.--31. 1. "RESERVED," bitfld.long 0x4A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4A8 11. "RESERVED," "0,1" bitfld.long 0x4A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4AC "CFG_MCASP2_AXR3_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr3_oen interface" hexmask.long.word 0x4AC 18.--31. 1. "RESERVED," bitfld.long 0x4AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4AC 11. "RESERVED," "0,1" bitfld.long 0x4AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4B0 "CFG_MCASP2_AXR3_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr3_out interface" hexmask.long.word 0x4B0 18.--31. 1. "RESERVED," bitfld.long 0x4B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4B0 11. "RESERVED," "0,1" bitfld.long 0x4B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4B4 "CFG_MCASP2_AXR4_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr4_in interface" hexmask.long.word 0x4B4 18.--31. 1. "RESERVED," bitfld.long 0x4B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4B4 11. "RESERVED," "0,1" bitfld.long 0x4B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4B8 "CFG_MCASP2_AXR4_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr4_oen interface" hexmask.long.word 0x4B8 18.--31. 1. "RESERVED," bitfld.long 0x4B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4B8 11. "RESERVED," "0,1" bitfld.long 0x4B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4BC "CFG_MCASP2_AXR4_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr4_out interface" hexmask.long.word 0x4BC 18.--31. 1. "RESERVED," bitfld.long 0x4BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4BC 11. "RESERVED," "0,1" bitfld.long 0x4BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4C0 "CFG_MCASP2_AXR5_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr5_in interface" hexmask.long.word 0x4C0 18.--31. 1. "RESERVED," bitfld.long 0x4C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4C0 11. "RESERVED," "0,1" bitfld.long 0x4C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4C4 "CFG_MCASP2_AXR5_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr5_oen interface" hexmask.long.word 0x4C4 18.--31. 1. "RESERVED," bitfld.long 0x4C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4C4 11. "RESERVED," "0,1" bitfld.long 0x4C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4C8 "CFG_MCASP2_AXR5_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr5_out interface" hexmask.long.word 0x4C8 18.--31. 1. "RESERVED," bitfld.long 0x4C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4C8 11. "RESERVED," "0,1" bitfld.long 0x4C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4CC "CFG_MCASP2_AXR6_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr6_in interface" hexmask.long.word 0x4CC 18.--31. 1. "RESERVED," bitfld.long 0x4CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4CC 11. "RESERVED," "0,1" bitfld.long 0x4CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4D0 "CFG_MCASP2_AXR6_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr6_oen interface" hexmask.long.word 0x4D0 18.--31. 1. "RESERVED," bitfld.long 0x4D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4D0 11. "RESERVED," "0,1" bitfld.long 0x4D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4D4 "CFG_MCASP2_AXR6_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr6_out interface" hexmask.long.word 0x4D4 18.--31. 1. "RESERVED," bitfld.long 0x4D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4D4 11. "RESERVED," "0,1" bitfld.long 0x4D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4D8 "CFG_MCASP2_AXR7_IN,Delay Select Value in binary coded form for cfg_mcasp2_axr7_in interface" hexmask.long.word 0x4D8 18.--31. 1. "RESERVED," bitfld.long 0x4D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4D8 11. "RESERVED," "0,1" bitfld.long 0x4D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4DC "CFG_MCASP2_AXR7_OEN,Delay Select Value in binary coded form for cfg_mcasp2_axr7_oen interface" hexmask.long.word 0x4DC 18.--31. 1. "RESERVED," bitfld.long 0x4DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4DC 11. "RESERVED," "0,1" bitfld.long 0x4DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4E0 "CFG_MCASP2_AXR7_OUT,Delay Select Value in binary coded form for cfg_mcasp2_axr7_out interface" hexmask.long.word 0x4E0 18.--31. 1. "RESERVED," bitfld.long 0x4E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4E0 11. "RESERVED," "0,1" bitfld.long 0x4E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4E4 "CFG_MCASP2_FSR_IN,Delay Select Value in binary coded form for cfg_mcasp2_fsr_in interface" hexmask.long.word 0x4E4 18.--31. 1. "RESERVED," bitfld.long 0x4E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4E4 11. "RESERVED," "0,1" bitfld.long 0x4E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4E8 "CFG_MCASP2_FSR_OEN,Delay Select Value in binary coded form for cfg_mcasp2_fsr_oen interface" hexmask.long.word 0x4E8 18.--31. 1. "RESERVED," bitfld.long 0x4E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4E8 11. "RESERVED," "0,1" bitfld.long 0x4E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4EC "CFG_MCASP2_FSR_OUT,Delay Select Value in binary coded form for cfg_mcasp2_fsr_out interface" hexmask.long.word 0x4EC 18.--31. 1. "RESERVED," bitfld.long 0x4EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4EC 11. "RESERVED," "0,1" bitfld.long 0x4EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4F0 "CFG_MCASP2_FSX_IN,Delay Select Value in binary coded form for cfg_mcasp2_fsx_in interface" hexmask.long.word 0x4F0 18.--31. 1. "RESERVED," bitfld.long 0x4F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4F0 11. "RESERVED," "0,1" bitfld.long 0x4F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4F4 "CFG_MCASP2_FSX_OEN,Delay Select Value in binary coded form for cfg_mcasp2_fsx_oen interface" hexmask.long.word 0x4F4 18.--31. 1. "RESERVED," bitfld.long 0x4F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4F4 11. "RESERVED," "0,1" bitfld.long 0x4F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4F8 "CFG_MCASP2_FSX_OUT,Delay Select Value in binary coded form for cfg_mcasp2_fsx_out interface" hexmask.long.word 0x4F8 18.--31. 1. "RESERVED," bitfld.long 0x4F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4F8 11. "RESERVED," "0,1" bitfld.long 0x4F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x4FC "CFG_MCASP3_ACLKX_IN,Delay Select Value in binary coded form for cfg_mcasp3_aclkx_in interface" hexmask.long.word 0x4FC 18.--31. 1. "RESERVED," bitfld.long 0x4FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x4FC 11. "RESERVED," "0,1" bitfld.long 0x4FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x4FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x500 "CFG_MCASP3_ACLKX_OEN,Delay Select Value in binary coded form for cfg_mcasp3_aclkx_oen interface" hexmask.long.word 0x500 18.--31. 1. "RESERVED," bitfld.long 0x500 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x500 11. "RESERVED," "0,1" bitfld.long 0x500 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x500 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x504 "CFG_MCASP3_ACLKX_OUT,Delay Select Value in binary coded form for cfg_mcasp3_aclkx_out interface" hexmask.long.word 0x504 18.--31. 1. "RESERVED," bitfld.long 0x504 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x504 11. "RESERVED," "0,1" bitfld.long 0x504 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x504 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x508 "CFG_MCASP3_AXR0_IN,Delay Select Value in binary coded form for cfg_mcasp3_axr0_in interface" hexmask.long.word 0x508 18.--31. 1. "RESERVED," bitfld.long 0x508 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x508 11. "RESERVED," "0,1" bitfld.long 0x508 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x508 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x50C "CFG_MCASP3_AXR0_OEN,Delay Select Value in binary coded form for cfg_mcasp3_axr0_oen interface" hexmask.long.word 0x50C 18.--31. 1. "RESERVED," bitfld.long 0x50C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x50C 11. "RESERVED," "0,1" bitfld.long 0x50C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x50C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x510 "CFG_MCASP3_AXR0_OUT,Delay Select Value in binary coded form for cfg_mcasp3_axr0_out interface" hexmask.long.word 0x510 18.--31. 1. "RESERVED," bitfld.long 0x510 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x510 11. "RESERVED," "0,1" bitfld.long 0x510 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x510 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x514 "CFG_MCASP3_AXR1_IN,Delay Select Value in binary coded form for cfg_mcasp3_axr1_in interface" hexmask.long.word 0x514 18.--31. 1. "RESERVED," bitfld.long 0x514 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x514 11. "RESERVED," "0,1" bitfld.long 0x514 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x514 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x518 "CFG_MCASP3_AXR1_OEN,Delay Select Value in binary coded form for cfg_mcasp3_axr1_oen interface" hexmask.long.word 0x518 18.--31. 1. "RESERVED," bitfld.long 0x518 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x518 11. "RESERVED," "0,1" bitfld.long 0x518 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x518 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x51C "CFG_MCASP3_AXR1_OUT,Delay Select Value in binary coded form for cfg_mcasp3_axr1_out interface" hexmask.long.word 0x51C 18.--31. 1. "RESERVED," bitfld.long 0x51C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x51C 11. "RESERVED," "0,1" bitfld.long 0x51C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x51C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x520 "CFG_MCASP3_FSX_IN,Delay Select Value in binary coded form for cfg_mcasp3_fsx_in interface" hexmask.long.word 0x520 18.--31. 1. "RESERVED," bitfld.long 0x520 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x520 11. "RESERVED," "0,1" bitfld.long 0x520 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x520 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x524 "CFG_MCASP3_FSX_OEN,Delay Select Value in binary coded form for cfg_mcasp3_fsx_oen interface" hexmask.long.word 0x524 18.--31. 1. "RESERVED," bitfld.long 0x524 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x524 11. "RESERVED," "0,1" bitfld.long 0x524 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x524 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x528 "CFG_MCASP3_FSX_OUT,Delay Select Value in binary coded form for cfg_mcasp3_fsx_out interface" hexmask.long.word 0x528 18.--31. 1. "RESERVED," bitfld.long 0x528 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x528 11. "RESERVED," "0,1" bitfld.long 0x528 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x528 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x52C "CFG_MCASP4_ACLKX_IN,Delay Select Value in binary coded form for cfg_mcasp4_aclkx_in interface" hexmask.long.word 0x52C 18.--31. 1. "RESERVED," bitfld.long 0x52C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x52C 11. "RESERVED," "0,1" bitfld.long 0x52C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x52C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x530 "CFG_MCASP4_ACLKX_OEN,Delay Select Value in binary coded form for cfg_mcasp4_aclkx_oen interface" hexmask.long.word 0x530 18.--31. 1. "RESERVED," bitfld.long 0x530 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x530 11. "RESERVED," "0,1" bitfld.long 0x530 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x530 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x534 "CFG_MCASP4_ACLKX_OUT,Delay Select Value in binary coded form for cfg_mcasp4_aclkx_out interface" hexmask.long.word 0x534 18.--31. 1. "RESERVED," bitfld.long 0x534 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x534 11. "RESERVED," "0,1" bitfld.long 0x534 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x534 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x538 "CFG_MCASP4_AXR0_IN,Delay Select Value in binary coded form for cfg_mcasp4_axr0_in interface" hexmask.long.word 0x538 18.--31. 1. "RESERVED," bitfld.long 0x538 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x538 11. "RESERVED," "0,1" bitfld.long 0x538 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x538 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x53C "CFG_MCASP4_AXR0_OEN,Delay Select Value in binary coded form for cfg_mcasp4_axr0_oen interface" hexmask.long.word 0x53C 18.--31. 1. "RESERVED," bitfld.long 0x53C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x53C 11. "RESERVED," "0,1" bitfld.long 0x53C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x53C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x540 "CFG_MCASP4_AXR0_OUT,Delay Select Value in binary coded form for cfg_mcasp4_axr0_out interface" hexmask.long.word 0x540 18.--31. 1. "RESERVED," bitfld.long 0x540 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x540 11. "RESERVED," "0,1" bitfld.long 0x540 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x540 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x544 "CFG_MCASP4_AXR1_IN,Delay Select Value in binary coded form for cfg_mcasp4_axr1_in interface" hexmask.long.word 0x544 18.--31. 1. "RESERVED," bitfld.long 0x544 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x544 11. "RESERVED," "0,1" bitfld.long 0x544 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x544 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x548 "CFG_MCASP4_AXR1_OEN,Delay Select Value in binary coded form for cfg_mcasp4_axr1_oen interface" hexmask.long.word 0x548 18.--31. 1. "RESERVED," bitfld.long 0x548 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x548 11. "RESERVED," "0,1" bitfld.long 0x548 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x548 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x54C "CFG_MCASP4_AXR1_OUT,Delay Select Value in binary coded form for cfg_mcasp4_axr1_out interface" hexmask.long.word 0x54C 18.--31. 1. "RESERVED," bitfld.long 0x54C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x54C 11. "RESERVED," "0,1" bitfld.long 0x54C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x54C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x550 "CFG_MCASP4_FSX_IN,Delay Select Value in binary coded form for cfg_mcasp4_fsx_in interface" hexmask.long.word 0x550 18.--31. 1. "RESERVED," bitfld.long 0x550 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x550 11. "RESERVED," "0,1" bitfld.long 0x550 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x550 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x554 "CFG_MCASP4_FSX_OEN,Delay Select Value in binary coded form for cfg_mcasp4_fsx_oen interface" hexmask.long.word 0x554 18.--31. 1. "RESERVED," bitfld.long 0x554 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x554 11. "RESERVED," "0,1" bitfld.long 0x554 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x554 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x558 "CFG_MCASP4_FSX_OUT,Delay Select Value in binary coded form for cfg_mcasp4_fsx_out interface" hexmask.long.word 0x558 18.--31. 1. "RESERVED," bitfld.long 0x558 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x558 11. "RESERVED," "0,1" bitfld.long 0x558 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x558 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x55C "CFG_MCASP5_ACLKX_IN,Delay Select Value in binary coded form for cfg_mcasp5_aclkx_in interface" hexmask.long.word 0x55C 18.--31. 1. "RESERVED," bitfld.long 0x55C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x55C 11. "RESERVED," "0,1" bitfld.long 0x55C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x55C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x560 "CFG_MCASP5_ACLKX_OEN,Delay Select Value in binary coded form for cfg_mcasp5_aclkx_oen interface" hexmask.long.word 0x560 18.--31. 1. "RESERVED," bitfld.long 0x560 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x560 11. "RESERVED," "0,1" bitfld.long 0x560 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x560 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x564 "CFG_MCASP5_ACLKX_OUT,Delay Select Value in binary coded form for cfg_mcasp5_aclkx_out interface" hexmask.long.word 0x564 18.--31. 1. "RESERVED," bitfld.long 0x564 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x564 11. "RESERVED," "0,1" bitfld.long 0x564 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x564 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x568 "CFG_MCASP5_AXR0_IN,Delay Select Value in binary coded form for cfg_mcasp5_axr0_in interface" hexmask.long.word 0x568 18.--31. 1. "RESERVED," bitfld.long 0x568 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x568 11. "RESERVED," "0,1" bitfld.long 0x568 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x568 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x56C "CFG_MCASP5_AXR0_OEN,Delay Select Value in binary coded form for cfg_mcasp5_axr0_oen interface" hexmask.long.word 0x56C 18.--31. 1. "RESERVED," bitfld.long 0x56C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x56C 11. "RESERVED," "0,1" bitfld.long 0x56C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x56C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x570 "CFG_MCASP5_AXR0_OUT,Delay Select Value in binary coded form for cfg_mcasp5_axr0_out interface" hexmask.long.word 0x570 18.--31. 1. "RESERVED," bitfld.long 0x570 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x570 11. "RESERVED," "0,1" bitfld.long 0x570 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x570 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x574 "CFG_MCASP5_AXR1_IN,Delay Select Value in binary coded form for cfg_mcasp5_axr1_in interface" hexmask.long.word 0x574 18.--31. 1. "RESERVED," bitfld.long 0x574 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x574 11. "RESERVED," "0,1" bitfld.long 0x574 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x574 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x578 "CFG_MCASP5_AXR1_OEN,Delay Select Value in binary coded form for cfg_mcasp5_axr1_oen interface" hexmask.long.word 0x578 18.--31. 1. "RESERVED," bitfld.long 0x578 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x578 11. "RESERVED," "0,1" bitfld.long 0x578 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x578 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x57C "CFG_MCASP5_AXR1_OUT,Delay Select Value in binary coded form for cfg_mcasp5_axr1_out interface" hexmask.long.word 0x57C 18.--31. 1. "RESERVED," bitfld.long 0x57C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x57C 11. "RESERVED," "0,1" bitfld.long 0x57C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x57C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x580 "CFG_MCASP5_FSX_IN,Delay Select Value in binary coded form for cfg_mcasp5_fsx_in interface" hexmask.long.word 0x580 18.--31. 1. "RESERVED," bitfld.long 0x580 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x580 11. "RESERVED," "0,1" bitfld.long 0x580 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x580 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x584 "CFG_MCASP5_FSX_OEN,Delay Select Value in binary coded form for cfg_mcasp5_fsx_oen interface" hexmask.long.word 0x584 18.--31. 1. "RESERVED," bitfld.long 0x584 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x584 11. "RESERVED," "0,1" bitfld.long 0x584 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x584 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x588 "CFG_MCASP5_FSX_OUT,Delay Select Value in binary coded form for cfg_mcasp5_fsx_out interface" hexmask.long.word 0x588 18.--31. 1. "RESERVED," bitfld.long 0x588 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x588 11. "RESERVED," "0,1" bitfld.long 0x588 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x588 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x58C "CFG_MDIO_D_IN,Delay Select Value in binary coded form for cfg_mdio_d_in interface" hexmask.long.word 0x58C 18.--31. 1. "RESERVED," bitfld.long 0x58C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x58C 11. "RESERVED," "0,1" bitfld.long 0x58C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x58C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x590 "CFG_MDIO_D_OEN,Delay Select Value in binary coded form for cfg_mdio_d_oen interface" hexmask.long.word 0x590 18.--31. 1. "RESERVED," bitfld.long 0x590 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x590 11. "RESERVED," "0,1" bitfld.long 0x590 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x590 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x594 "CFG_MDIO_D_OUT,Delay Select Value in binary coded form for cfg_mdio_d_out interface" hexmask.long.word 0x594 18.--31. 1. "RESERVED," bitfld.long 0x594 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x594 11. "RESERVED," "0,1" bitfld.long 0x594 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x594 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x598 "CFG_MDIO_MCLK_IN,Delay Select Value in binary coded form for cfg_mdio_mclk_in interface" hexmask.long.word 0x598 18.--31. 1. "RESERVED," bitfld.long 0x598 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x598 11. "RESERVED," "0,1" bitfld.long 0x598 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x598 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x59C "CFG_MDIO_MCLK_OEN,Delay Select Value in binary coded form for cfg_mdio_mclk_oen interface" hexmask.long.word 0x59C 18.--31. 1. "RESERVED," bitfld.long 0x59C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x59C 11. "RESERVED," "0,1" bitfld.long 0x59C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x59C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5A0 "CFG_MDIO_MCLK_OUT,Delay Select Value in binary coded form for cfg_mdio_mclk_out interface" hexmask.long.word 0x5A0 18.--31. 1. "RESERVED," bitfld.long 0x5A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5A0 11. "RESERVED," "0,1" bitfld.long 0x5A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5A4 "CFG_MLBP_CLK_N_IN,Delay Select Value in binary coded form for cfg_mlbp_clk_n_in interface" hexmask.long.word 0x5A4 18.--31. 1. "RESERVED," bitfld.long 0x5A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5A4 11. "RESERVED," "0,1" bitfld.long 0x5A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5A8 "CFG_MLBP_CLK_N_OEN,Delay Select Value in binary coded form for cfg_mlbp_clk_n_oen interface" hexmask.long.word 0x5A8 18.--31. 1. "RESERVED," bitfld.long 0x5A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5A8 11. "RESERVED," "0,1" bitfld.long 0x5A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5AC "CFG_MLBP_CLK_N_OUT,Delay Select Value in binary coded form for cfg_mlbp_clk_n_out interface" hexmask.long.word 0x5AC 18.--31. 1. "RESERVED," bitfld.long 0x5AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5AC 11. "RESERVED," "0,1" bitfld.long 0x5AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5B0 "CFG_MLBP_CLK_P_IN,Delay Select Value in binary coded form for cfg_mlbp_clk_p_in interface" hexmask.long.word 0x5B0 18.--31. 1. "RESERVED," bitfld.long 0x5B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5B0 11. "RESERVED," "0,1" bitfld.long 0x5B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5B4 "CFG_MLBP_CLK_P_OEN,Delay Select Value in binary coded form for cfg_mlbp_clk_p_oen interface" hexmask.long.word 0x5B4 18.--31. 1. "RESERVED," bitfld.long 0x5B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5B4 11. "RESERVED," "0,1" bitfld.long 0x5B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5B8 "CFG_MLBP_CLK_P_OUT,Delay Select Value in binary coded form for cfg_mlbp_clk_p_out interface" hexmask.long.word 0x5B8 18.--31. 1. "RESERVED," bitfld.long 0x5B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5B8 11. "RESERVED," "0,1" bitfld.long 0x5B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5BC "CFG_MLBP_DAT_N_IN,Delay Select Value in binary coded form for cfg_mlbp_dat_n_in interface" hexmask.long.word 0x5BC 18.--31. 1. "RESERVED," bitfld.long 0x5BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5BC 11. "RESERVED," "0,1" bitfld.long 0x5BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5C0 "CFG_MLBP_DAT_N_OEN,Delay Select Value in binary coded form for cfg_mlbp_dat_n_oen interface" hexmask.long.word 0x5C0 18.--31. 1. "RESERVED," bitfld.long 0x5C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5C0 11. "RESERVED," "0,1" bitfld.long 0x5C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5C4 "CFG_MLBP_DAT_N_OUT,Delay Select Value in binary coded form for cfg_mlbp_dat_n_out interface" hexmask.long.word 0x5C4 18.--31. 1. "RESERVED," bitfld.long 0x5C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5C4 11. "RESERVED," "0,1" bitfld.long 0x5C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5C8 "CFG_MLBP_DAT_P_IN,Delay Select Value in binary coded form for cfg_mlbp_dat_p_in interface" hexmask.long.word 0x5C8 18.--31. 1. "RESERVED," bitfld.long 0x5C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5C8 11. "RESERVED," "0,1" bitfld.long 0x5C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5CC "CFG_MLBP_DAT_P_OEN,Delay Select Value in binary coded form for cfg_mlbp_dat_p_oen interface" hexmask.long.word 0x5CC 18.--31. 1. "RESERVED," bitfld.long 0x5CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5CC 11. "RESERVED," "0,1" bitfld.long 0x5CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5D0 "CFG_MLBP_DAT_P_OUT,Delay Select Value in binary coded form for cfg_mlbp_dat_p_out interface" hexmask.long.word 0x5D0 18.--31. 1. "RESERVED," bitfld.long 0x5D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5D0 11. "RESERVED," "0,1" bitfld.long 0x5D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5D4 "CFG_MLBP_SIG_N_IN,Delay Select Value in binary coded form for cfg_mlbp_sig_n_in interface" hexmask.long.word 0x5D4 18.--31. 1. "RESERVED," bitfld.long 0x5D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5D4 11. "RESERVED," "0,1" bitfld.long 0x5D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5D8 "CFG_MLBP_SIG_N_OEN,Delay Select Value in binary coded form for cfg_mlbp_sig_n_oen interface" hexmask.long.word 0x5D8 18.--31. 1. "RESERVED," bitfld.long 0x5D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5D8 11. "RESERVED," "0,1" bitfld.long 0x5D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5DC "CFG_MLBP_SIG_N_OUT,Delay Select Value in binary coded form for cfg_mlbp_sig_n_out interface" hexmask.long.word 0x5DC 18.--31. 1. "RESERVED," bitfld.long 0x5DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5DC 11. "RESERVED," "0,1" bitfld.long 0x5DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5E0 "CFG_MLBP_SIG_P_IN,Delay Select Value in binary coded form for cfg_mlbp_sig_p_in interface" hexmask.long.word 0x5E0 18.--31. 1. "RESERVED," bitfld.long 0x5E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5E0 11. "RESERVED," "0,1" bitfld.long 0x5E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5E4 "CFG_MLBP_SIG_P_OEN,Delay Select Value in binary coded form for cfg_mlbp_sig_p_oen interface" hexmask.long.word 0x5E4 18.--31. 1. "RESERVED," bitfld.long 0x5E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5E4 11. "RESERVED," "0,1" bitfld.long 0x5E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5E8 "CFG_MLBP_SIG_P_OUT,Delay Select Value in binary coded form for cfg_mlbp_sig_p_out interface" hexmask.long.word 0x5E8 18.--31. 1. "RESERVED," bitfld.long 0x5E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5E8 11. "RESERVED," "0,1" bitfld.long 0x5E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5EC "CFG_MMC1_CLK_IN,Delay Select Value in binary coded form for cfg_mmc1_clk_in interface" hexmask.long.word 0x5EC 18.--31. 1. "RESERVED," bitfld.long 0x5EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5EC 11. "RESERVED," "0,1" bitfld.long 0x5EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5F0 "CFG_MMC1_CLK_OEN,Delay Select Value in binary coded form for cfg_mmc1_clk_oen interface" hexmask.long.word 0x5F0 18.--31. 1. "RESERVED," bitfld.long 0x5F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5F0 11. "RESERVED," "0,1" bitfld.long 0x5F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5F4 "CFG_MMC1_CLK_OUT,Delay Select Value in binary coded form for cfg_mmc1_clk_out interface" hexmask.long.word 0x5F4 18.--31. 1. "RESERVED," bitfld.long 0x5F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5F4 11. "RESERVED," "0,1" bitfld.long 0x5F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5F8 "CFG_MMC1_CMD_IN,Delay Select Value in binary coded form for cfg_mmc1_cmd_in interface" hexmask.long.word 0x5F8 18.--31. 1. "RESERVED," bitfld.long 0x5F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5F8 11. "RESERVED," "0,1" bitfld.long 0x5F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x5FC "CFG_MMC1_CMD_OEN,Delay Select Value in binary coded form for cfg_mmc1_cmd_oen interface" hexmask.long.word 0x5FC 18.--31. 1. "RESERVED," bitfld.long 0x5FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x5FC 11. "RESERVED," "0,1" bitfld.long 0x5FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x5FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x600 "CFG_MMC1_CMD_OUT,Delay Select Value in binary coded form for cfg_mmc1_cmd_out interface" hexmask.long.word 0x600 18.--31. 1. "RESERVED," bitfld.long 0x600 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x600 11. "RESERVED," "0,1" bitfld.long 0x600 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x600 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x604 "CFG_MMC1_DAT0_IN,Delay Select Value in binary coded form for cfg_mmc1_dat0_in interface" hexmask.long.word 0x604 18.--31. 1. "RESERVED," bitfld.long 0x604 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x604 11. "RESERVED," "0,1" bitfld.long 0x604 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x604 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x608 "CFG_MMC1_DAT0_OEN,Delay Select Value in binary coded form for cfg_mmc1_dat0_oen interface" hexmask.long.word 0x608 18.--31. 1. "RESERVED," bitfld.long 0x608 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x608 11. "RESERVED," "0,1" bitfld.long 0x608 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x608 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x60C "CFG_MMC1_DAT0_OUT,Delay Select Value in binary coded form for cfg_mmc1_dat0_out interface" hexmask.long.word 0x60C 18.--31. 1. "RESERVED," bitfld.long 0x60C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x60C 11. "RESERVED," "0,1" bitfld.long 0x60C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x60C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x610 "CFG_MMC1_DAT1_IN,Delay Select Value in binary coded form for cfg_mmc1_dat1_in interface" hexmask.long.word 0x610 18.--31. 1. "RESERVED," bitfld.long 0x610 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x610 11. "RESERVED," "0,1" bitfld.long 0x610 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x610 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x614 "CFG_MMC1_DAT1_OEN,Delay Select Value in binary coded form for cfg_mmc1_dat1_oen interface" hexmask.long.word 0x614 18.--31. 1. "RESERVED," bitfld.long 0x614 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x614 11. "RESERVED," "0,1" bitfld.long 0x614 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x614 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x618 "CFG_MMC1_DAT1_OUT,Delay Select Value in binary coded form for cfg_mmc1_dat1_out interface" hexmask.long.word 0x618 18.--31. 1. "RESERVED," bitfld.long 0x618 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x618 11. "RESERVED," "0,1" bitfld.long 0x618 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x618 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x61C "CFG_MMC1_DAT2_IN,Delay Select Value in binary coded form for cfg_mmc1_dat2_in interface" hexmask.long.word 0x61C 18.--31. 1. "RESERVED," bitfld.long 0x61C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x61C 11. "RESERVED," "0,1" bitfld.long 0x61C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x61C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x620 "CFG_MMC1_DAT2_OEN,Delay Select Value in binary coded form for cfg_mmc1_dat2_oen interface" hexmask.long.word 0x620 18.--31. 1. "RESERVED," bitfld.long 0x620 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x620 11. "RESERVED," "0,1" bitfld.long 0x620 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x620 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x624 "CFG_MMC1_DAT2_OUT,Delay Select Value in binary coded form for cfg_mmc1_dat2_out interface" hexmask.long.word 0x624 18.--31. 1. "RESERVED," bitfld.long 0x624 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x624 11. "RESERVED," "0,1" bitfld.long 0x624 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x624 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x628 "CFG_MMC1_DAT3_IN,Delay Select Value in binary coded form for cfg_mmc1_dat3_in interface" hexmask.long.word 0x628 18.--31. 1. "RESERVED," bitfld.long 0x628 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x628 11. "RESERVED," "0,1" bitfld.long 0x628 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x628 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x62C "CFG_MMC1_DAT3_OEN,Delay Select Value in binary coded form for cfg_mmc1_dat3_oen interface" hexmask.long.word 0x62C 18.--31. 1. "RESERVED," bitfld.long 0x62C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x62C 11. "RESERVED," "0,1" bitfld.long 0x62C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x62C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x630 "CFG_MMC1_DAT3_OUT,Delay Select Value in binary coded form for cfg_mmc1_dat3_out interface" hexmask.long.word 0x630 18.--31. 1. "RESERVED," bitfld.long 0x630 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x630 11. "RESERVED," "0,1" bitfld.long 0x630 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x630 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x634 "CFG_MMC1_SDCD_IN,Delay Select Value in binary coded form for cfg_mmc1_sdcd_in interface" hexmask.long.word 0x634 18.--31. 1. "RESERVED," bitfld.long 0x634 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x634 11. "RESERVED," "0,1" bitfld.long 0x634 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x634 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x638 "CFG_MMC1_SDCD_OEN,Delay Select Value in binary coded form for cfg_mmc1_sdcd_oen interface" hexmask.long.word 0x638 18.--31. 1. "RESERVED," bitfld.long 0x638 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x638 11. "RESERVED," "0,1" bitfld.long 0x638 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x638 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x63C "CFG_MMC1_SDCD_OUT,Delay Select Value in binary coded form for cfg_mmc1_sdcd_out interface" hexmask.long.word 0x63C 18.--31. 1. "RESERVED," bitfld.long 0x63C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x63C 11. "RESERVED," "0,1" bitfld.long 0x63C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x63C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x640 "CFG_MMC1_SDWP_IN,Delay Select Value in binary coded form for cfg_mmc1_sdwp_in interface" hexmask.long.word 0x640 18.--31. 1. "RESERVED," bitfld.long 0x640 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x640 11. "RESERVED," "0,1" bitfld.long 0x640 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x640 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x644 "CFG_MMC1_SDWP_OEN,Delay Select Value in binary coded form for cfg_mmc1_sdwp_oen interface" hexmask.long.word 0x644 18.--31. 1. "RESERVED," bitfld.long 0x644 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x644 11. "RESERVED," "0,1" bitfld.long 0x644 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x644 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x648 "CFG_MMC1_SDWP_OUT,Delay Select Value in binary coded form for cfg_mmc1_sdwp_out interface" hexmask.long.word 0x648 18.--31. 1. "RESERVED," bitfld.long 0x648 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x648 11. "RESERVED," "0,1" bitfld.long 0x648 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x648 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x64C "CFG_MMC3_CLK_IN,Delay Select Value in binary coded form for cfg_mmc3_clk_in interface" hexmask.long.word 0x64C 18.--31. 1. "RESERVED," bitfld.long 0x64C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x64C 11. "RESERVED," "0,1" bitfld.long 0x64C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x64C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x650 "CFG_MMC3_CLK_OEN,Delay Select Value in binary coded form for cfg_mmc3_clk_oen interface" hexmask.long.word 0x650 18.--31. 1. "RESERVED," bitfld.long 0x650 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x650 11. "RESERVED," "0,1" bitfld.long 0x650 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x650 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x654 "CFG_MMC3_CLK_OUT,Delay Select Value in binary coded form for cfg_mmc3_clk_out interface" hexmask.long.word 0x654 18.--31. 1. "RESERVED," bitfld.long 0x654 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x654 11. "RESERVED," "0,1" bitfld.long 0x654 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x654 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x658 "CFG_MMC3_CMD_IN,Delay Select Value in binary coded form for cfg_mmc3_cmd_in interface" hexmask.long.word 0x658 18.--31. 1. "RESERVED," bitfld.long 0x658 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x658 11. "RESERVED," "0,1" bitfld.long 0x658 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x658 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x65C "CFG_MMC3_CMD_OEN,Delay Select Value in binary coded form for cfg_mmc3_cmd_oen interface" hexmask.long.word 0x65C 18.--31. 1. "RESERVED," bitfld.long 0x65C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x65C 11. "RESERVED," "0,1" bitfld.long 0x65C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x65C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x660 "CFG_MMC3_CMD_OUT,Delay Select Value in binary coded form for cfg_mmc3_cmd_out interface" hexmask.long.word 0x660 18.--31. 1. "RESERVED," bitfld.long 0x660 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x660 11. "RESERVED," "0,1" bitfld.long 0x660 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x660 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x664 "CFG_MMC3_DAT0_IN,Delay Select Value in binary coded form for cfg_mmc3_dat0_in interface" hexmask.long.word 0x664 18.--31. 1. "RESERVED," bitfld.long 0x664 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x664 11. "RESERVED," "0,1" bitfld.long 0x664 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x664 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x668 "CFG_MMC3_DAT0_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat0_oen interface" hexmask.long.word 0x668 18.--31. 1. "RESERVED," bitfld.long 0x668 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x668 11. "RESERVED," "0,1" bitfld.long 0x668 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x668 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x66C "CFG_MMC3_DAT0_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat0_out interface" hexmask.long.word 0x66C 18.--31. 1. "RESERVED," bitfld.long 0x66C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x66C 11. "RESERVED," "0,1" bitfld.long 0x66C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x66C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x670 "CFG_MMC3_DAT1_IN,Delay Select Value in binary coded form for cfg_mmc3_dat1_in interface" hexmask.long.word 0x670 18.--31. 1. "RESERVED," bitfld.long 0x670 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x670 11. "RESERVED," "0,1" bitfld.long 0x670 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x670 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x674 "CFG_MMC3_DAT1_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat1_oen interface" hexmask.long.word 0x674 18.--31. 1. "RESERVED," bitfld.long 0x674 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x674 11. "RESERVED," "0,1" bitfld.long 0x674 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x674 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x678 "CFG_MMC3_DAT1_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat1_out interface" hexmask.long.word 0x678 18.--31. 1. "RESERVED," bitfld.long 0x678 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x678 11. "RESERVED," "0,1" bitfld.long 0x678 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x678 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x67C "CFG_MMC3_DAT2_IN,Delay Select Value in binary coded form for cfg_mmc3_dat2_in interface" hexmask.long.word 0x67C 18.--31. 1. "RESERVED," bitfld.long 0x67C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x67C 11. "RESERVED," "0,1" bitfld.long 0x67C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x67C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x680 "CFG_MMC3_DAT2_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat2_oen interface" hexmask.long.word 0x680 18.--31. 1. "RESERVED," bitfld.long 0x680 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x680 11. "RESERVED," "0,1" bitfld.long 0x680 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x680 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x684 "CFG_MMC3_DAT2_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat2_out interface" hexmask.long.word 0x684 18.--31. 1. "RESERVED," bitfld.long 0x684 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x684 11. "RESERVED," "0,1" bitfld.long 0x684 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x684 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x688 "CFG_MMC3_DAT3_IN,Delay Select Value in binary coded form for cfg_mmc3_dat3_in interface" hexmask.long.word 0x688 18.--31. 1. "RESERVED," bitfld.long 0x688 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x688 11. "RESERVED," "0,1" bitfld.long 0x688 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x688 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x68C "CFG_MMC3_DAT3_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat3_oen interface" hexmask.long.word 0x68C 18.--31. 1. "RESERVED," bitfld.long 0x68C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x68C 11. "RESERVED," "0,1" bitfld.long 0x68C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x68C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x690 "CFG_MMC3_DAT3_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat3_out interface" hexmask.long.word 0x690 18.--31. 1. "RESERVED," bitfld.long 0x690 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x690 11. "RESERVED," "0,1" bitfld.long 0x690 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x690 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x694 "CFG_MMC3_DAT4_IN,Delay Select Value in binary coded form for cfg_mmc3_dat4_in interface" hexmask.long.word 0x694 18.--31. 1. "RESERVED," bitfld.long 0x694 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x694 11. "RESERVED," "0,1" bitfld.long 0x694 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x694 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x698 "CFG_MMC3_DAT4_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat4_oen interface" hexmask.long.word 0x698 18.--31. 1. "RESERVED," bitfld.long 0x698 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x698 11. "RESERVED," "0,1" bitfld.long 0x698 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x698 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x69C "CFG_MMC3_DAT4_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat4_out interface" hexmask.long.word 0x69C 18.--31. 1. "RESERVED," bitfld.long 0x69C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x69C 11. "RESERVED," "0,1" bitfld.long 0x69C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x69C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6A0 "CFG_MMC3_DAT5_IN,Delay Select Value in binary coded form for cfg_mmc3_dat5_in interface" hexmask.long.word 0x6A0 18.--31. 1. "RESERVED," bitfld.long 0x6A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6A0 11. "RESERVED," "0,1" bitfld.long 0x6A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6A4 "CFG_MMC3_DAT5_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat5_oen interface" hexmask.long.word 0x6A4 18.--31. 1. "RESERVED," bitfld.long 0x6A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6A4 11. "RESERVED," "0,1" bitfld.long 0x6A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6A8 "CFG_MMC3_DAT5_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat5_out interface" hexmask.long.word 0x6A8 18.--31. 1. "RESERVED," bitfld.long 0x6A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6A8 11. "RESERVED," "0,1" bitfld.long 0x6A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6AC "CFG_MMC3_DAT6_IN,Delay Select Value in binary coded form for cfg_mmc3_dat6_in interface" hexmask.long.word 0x6AC 18.--31. 1. "RESERVED," bitfld.long 0x6AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6AC 11. "RESERVED," "0,1" bitfld.long 0x6AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6B0 "CFG_MMC3_DAT6_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat6_oen interface" hexmask.long.word 0x6B0 18.--31. 1. "RESERVED," bitfld.long 0x6B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6B0 11. "RESERVED," "0,1" bitfld.long 0x6B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6B4 "CFG_MMC3_DAT6_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat6_out interface" hexmask.long.word 0x6B4 18.--31. 1. "RESERVED," bitfld.long 0x6B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6B4 11. "RESERVED," "0,1" bitfld.long 0x6B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6B8 "CFG_MMC3_DAT7_IN,Delay Select Value in binary coded form for cfg_mmc3_dat7_in interface" hexmask.long.word 0x6B8 18.--31. 1. "RESERVED," bitfld.long 0x6B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6B8 11. "RESERVED," "0,1" bitfld.long 0x6B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6BC "CFG_MMC3_DAT7_OEN,Delay Select Value in binary coded form for cfg_mmc3_dat7_oen interface" hexmask.long.word 0x6BC 18.--31. 1. "RESERVED," bitfld.long 0x6BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6BC 11. "RESERVED," "0,1" bitfld.long 0x6BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6C0 "CFG_MMC3_DAT7_OUT,Delay Select Value in binary coded form for cfg_mmc3_dat7_out interface" hexmask.long.word 0x6C0 18.--31. 1. "RESERVED," bitfld.long 0x6C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6C0 11. "RESERVED," "0,1" bitfld.long 0x6C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6C4 "CFG_RGMII0_RXC_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxc_in interface" hexmask.long.word 0x6C4 18.--31. 1. "RESERVED," bitfld.long 0x6C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6C4 11. "RESERVED," "0,1" bitfld.long 0x6C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6C8 "CFG_RGMII0_RXC_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxc_oen interface" hexmask.long.word 0x6C8 18.--31. 1. "RESERVED," bitfld.long 0x6C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6C8 11. "RESERVED," "0,1" bitfld.long 0x6C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6CC "CFG_RGMII0_RXC_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxc_out interface" hexmask.long.word 0x6CC 18.--31. 1. "RESERVED," bitfld.long 0x6CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6CC 11. "RESERVED," "0,1" bitfld.long 0x6CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6D0 "CFG_RGMII0_RXCTL_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxctl_in interface" hexmask.long.word 0x6D0 18.--31. 1. "RESERVED," bitfld.long 0x6D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6D0 11. "RESERVED," "0,1" bitfld.long 0x6D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6D4 "CFG_RGMII0_RXCTL_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxctl_oen interface" hexmask.long.word 0x6D4 18.--31. 1. "RESERVED," bitfld.long 0x6D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6D4 11. "RESERVED," "0,1" bitfld.long 0x6D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6D8 "CFG_RGMII0_RXCTL_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxctl_out interface" hexmask.long.word 0x6D8 18.--31. 1. "RESERVED," bitfld.long 0x6D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6D8 11. "RESERVED," "0,1" bitfld.long 0x6D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6DC "CFG_RGMII0_RXD0_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxd0_in interface" hexmask.long.word 0x6DC 18.--31. 1. "RESERVED," bitfld.long 0x6DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6DC 11. "RESERVED," "0,1" bitfld.long 0x6DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6E0 "CFG_RGMII0_RXD0_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxd0_oen interface" hexmask.long.word 0x6E0 18.--31. 1. "RESERVED," bitfld.long 0x6E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6E0 11. "RESERVED," "0,1" bitfld.long 0x6E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6E4 "CFG_RGMII0_RXD0_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxd0_out interface" hexmask.long.word 0x6E4 18.--31. 1. "RESERVED," bitfld.long 0x6E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6E4 11. "RESERVED," "0,1" bitfld.long 0x6E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6E8 "CFG_RGMII0_RXD1_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxd1_in interface" hexmask.long.word 0x6E8 18.--31. 1. "RESERVED," bitfld.long 0x6E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6E8 11. "RESERVED," "0,1" bitfld.long 0x6E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6EC "CFG_RGMII0_RXD1_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxd1_oen interface" hexmask.long.word 0x6EC 18.--31. 1. "RESERVED," bitfld.long 0x6EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6EC 11. "RESERVED," "0,1" bitfld.long 0x6EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6F0 "CFG_RGMII0_RXD1_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxd1_out interface" hexmask.long.word 0x6F0 18.--31. 1. "RESERVED," bitfld.long 0x6F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6F0 11. "RESERVED," "0,1" bitfld.long 0x6F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6F4 "CFG_RGMII0_RXD2_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxd2_in interface" hexmask.long.word 0x6F4 18.--31. 1. "RESERVED," bitfld.long 0x6F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6F4 11. "RESERVED," "0,1" bitfld.long 0x6F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6F8 "CFG_RGMII0_RXD2_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxd2_oen interface" hexmask.long.word 0x6F8 18.--31. 1. "RESERVED," bitfld.long 0x6F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6F8 11. "RESERVED," "0,1" bitfld.long 0x6F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x6FC "CFG_RGMII0_RXD2_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxd2_out interface" hexmask.long.word 0x6FC 18.--31. 1. "RESERVED," bitfld.long 0x6FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x6FC 11. "RESERVED," "0,1" bitfld.long 0x6FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x6FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x700 "CFG_RGMII0_RXD3_IN,Delay Select Value in binary coded form for cfg_rgmii0_rxd3_in interface" hexmask.long.word 0x700 18.--31. 1. "RESERVED," bitfld.long 0x700 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x700 11. "RESERVED," "0,1" bitfld.long 0x700 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x700 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x704 "CFG_RGMII0_RXD3_OEN,Delay Select Value in binary coded form for cfg_rgmii0_rxd3_oen interface" hexmask.long.word 0x704 18.--31. 1. "RESERVED," bitfld.long 0x704 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x704 11. "RESERVED," "0,1" bitfld.long 0x704 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x704 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x708 "CFG_RGMII0_RXD3_OUT,Delay Select Value in binary coded form for cfg_rgmii0_rxd3_out interface" hexmask.long.word 0x708 18.--31. 1. "RESERVED," bitfld.long 0x708 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x708 11. "RESERVED," "0,1" bitfld.long 0x708 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x708 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x70C "CFG_RGMII0_TXC_IN,Delay Select Value in binary coded form for cfg_rgmii0_txc_in interface" hexmask.long.word 0x70C 18.--31. 1. "RESERVED," bitfld.long 0x70C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x70C 11. "RESERVED," "0,1" bitfld.long 0x70C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x70C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x710 "CFG_RGMII0_TXC_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txc_oen interface" hexmask.long.word 0x710 18.--31. 1. "RESERVED," bitfld.long 0x710 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x710 11. "RESERVED," "0,1" bitfld.long 0x710 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x710 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x714 "CFG_RGMII0_TXC_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txc_out interface" hexmask.long.word 0x714 18.--31. 1. "RESERVED," bitfld.long 0x714 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x714 11. "RESERVED," "0,1" bitfld.long 0x714 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x714 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x718 "CFG_RGMII0_TXCTL_IN,Delay Select Value in binary coded form for cfg_rgmii0_txctl_in interface" hexmask.long.word 0x718 18.--31. 1. "RESERVED," bitfld.long 0x718 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x718 11. "RESERVED," "0,1" bitfld.long 0x718 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x718 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x71C "CFG_RGMII0_TXCTL_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txctl_oen interface" hexmask.long.word 0x71C 18.--31. 1. "RESERVED," bitfld.long 0x71C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x71C 11. "RESERVED," "0,1" bitfld.long 0x71C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x71C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x720 "CFG_RGMII0_TXCTL_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txctl_out interface" hexmask.long.word 0x720 18.--31. 1. "RESERVED," bitfld.long 0x720 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x720 11. "RESERVED," "0,1" bitfld.long 0x720 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x720 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x724 "CFG_RGMII0_TXD0_IN,Delay Select Value in binary coded form for cfg_rgmii0_txd0_in interface" hexmask.long.word 0x724 18.--31. 1. "RESERVED," bitfld.long 0x724 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x724 11. "RESERVED," "0,1" bitfld.long 0x724 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x724 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x728 "CFG_RGMII0_TXD0_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txd0_oen interface" hexmask.long.word 0x728 18.--31. 1. "RESERVED," bitfld.long 0x728 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x728 11. "RESERVED," "0,1" bitfld.long 0x728 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x728 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x72C "CFG_RGMII0_TXD0_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txd0_out interface" hexmask.long.word 0x72C 18.--31. 1. "RESERVED," bitfld.long 0x72C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x72C 11. "RESERVED," "0,1" bitfld.long 0x72C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x72C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x730 "CFG_RGMII0_TXD1_IN,Delay Select Value in binary coded form for cfg_rgmii0_txd1_in interface" hexmask.long.word 0x730 18.--31. 1. "RESERVED," bitfld.long 0x730 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x730 11. "RESERVED," "0,1" bitfld.long 0x730 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x730 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x734 "CFG_RGMII0_TXD1_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txd1_oen interface" hexmask.long.word 0x734 18.--31. 1. "RESERVED," bitfld.long 0x734 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x734 11. "RESERVED," "0,1" bitfld.long 0x734 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x734 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x738 "CFG_RGMII0_TXD1_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txd1_out interface" hexmask.long.word 0x738 18.--31. 1. "RESERVED," bitfld.long 0x738 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x738 11. "RESERVED," "0,1" bitfld.long 0x738 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x738 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x73C "CFG_RGMII0_TXD2_IN,Delay Select Value in binary coded form for cfg_rgmii0_txd2_in interface" hexmask.long.word 0x73C 18.--31. 1. "RESERVED," bitfld.long 0x73C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x73C 11. "RESERVED," "0,1" bitfld.long 0x73C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x73C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x740 "CFG_RGMII0_TXD2_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txd2_oen interface" hexmask.long.word 0x740 18.--31. 1. "RESERVED," bitfld.long 0x740 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x740 11. "RESERVED," "0,1" bitfld.long 0x740 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x740 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x744 "CFG_RGMII0_TXD2_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txd2_out interface" hexmask.long.word 0x744 18.--31. 1. "RESERVED," bitfld.long 0x744 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x744 11. "RESERVED," "0,1" bitfld.long 0x744 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x744 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x748 "CFG_RGMII0_TXD3_IN,Delay Select Value in binary coded form for cfg_rgmii0_txd3_in interface" hexmask.long.word 0x748 18.--31. 1. "RESERVED," bitfld.long 0x748 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x748 11. "RESERVED," "0,1" bitfld.long 0x748 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x748 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x74C "CFG_RGMII0_TXD3_OEN,Delay Select Value in binary coded form for cfg_rgmii0_txd3_oen interface" hexmask.long.word 0x74C 18.--31. 1. "RESERVED," bitfld.long 0x74C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x74C 11. "RESERVED," "0,1" bitfld.long 0x74C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x74C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x750 "CFG_RGMII0_TXD3_OUT,Delay Select Value in binary coded form for cfg_rgmii0_txd3_out interface" hexmask.long.word 0x750 18.--31. 1. "RESERVED," bitfld.long 0x750 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x750 11. "RESERVED," "0,1" bitfld.long 0x750 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x750 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x754 "CFG_RTCK_IN,Delay Select Value in binary coded form for cfg_rtck_in interface" hexmask.long.word 0x754 18.--31. 1. "RESERVED," bitfld.long 0x754 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x754 11. "RESERVED," "0,1" bitfld.long 0x754 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x754 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x758 "CFG_RTCK_OEN,Delay Select Value in binary coded form for cfg_rtck_oen interface" hexmask.long.word 0x758 18.--31. 1. "RESERVED," bitfld.long 0x758 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x758 11. "RESERVED," "0,1" bitfld.long 0x758 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x758 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x75C "CFG_RTCK_OUT,Delay Select Value in binary coded form for cfg_rtck_out interface" hexmask.long.word 0x75C 18.--31. 1. "RESERVED," bitfld.long 0x75C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x75C 11. "RESERVED," "0,1" bitfld.long 0x75C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x75C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x760 "CFG_SPI1_CS0_IN,Delay Select Value in binary coded form for cfg_spi1_cs0_in interface" hexmask.long.word 0x760 18.--31. 1. "RESERVED," bitfld.long 0x760 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x760 11. "RESERVED," "0,1" bitfld.long 0x760 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x760 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x764 "CFG_SPI1_CS0_OEN,Delay Select Value in binary coded form for cfg_spi1_cs0_oen interface" hexmask.long.word 0x764 18.--31. 1. "RESERVED," bitfld.long 0x764 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x764 11. "RESERVED," "0,1" bitfld.long 0x764 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x764 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x768 "CFG_SPI1_CS0_OUT,Delay Select Value in binary coded form for cfg_spi1_cs0_out interface" hexmask.long.word 0x768 18.--31. 1. "RESERVED," bitfld.long 0x768 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x768 11. "RESERVED," "0,1" bitfld.long 0x768 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x768 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x76C "CFG_SPI1_CS1_IN,Delay Select Value in binary coded form for cfg_spi1_cs1_in interface" hexmask.long.word 0x76C 18.--31. 1. "RESERVED," bitfld.long 0x76C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x76C 11. "RESERVED," "0,1" bitfld.long 0x76C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x76C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x770 "CFG_SPI1_CS1_OEN,Delay Select Value in binary coded form for cfg_spi1_cs1_oen interface" hexmask.long.word 0x770 18.--31. 1. "RESERVED," bitfld.long 0x770 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x770 11. "RESERVED," "0,1" bitfld.long 0x770 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x770 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x774 "CFG_SPI1_CS1_OUT,Delay Select Value in binary coded form for cfg_spi1_cs1_out interface" hexmask.long.word 0x774 18.--31. 1. "RESERVED," bitfld.long 0x774 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x774 11. "RESERVED," "0,1" bitfld.long 0x774 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x774 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x778 "CFG_SPI1_CS2_IN,Delay Select Value in binary coded form for cfg_spi1_cs2_in interface" hexmask.long.word 0x778 18.--31. 1. "RESERVED," bitfld.long 0x778 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x778 11. "RESERVED," "0,1" bitfld.long 0x778 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x778 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x77C "CFG_SPI1_CS2_OEN,Delay Select Value in binary coded form for cfg_spi1_cs2_oen interface" hexmask.long.word 0x77C 18.--31. 1. "RESERVED," bitfld.long 0x77C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x77C 11. "RESERVED," "0,1" bitfld.long 0x77C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x77C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x780 "CFG_SPI1_CS2_OUT,Delay Select Value in binary coded form for cfg_spi1_cs2_out interface" hexmask.long.word 0x780 18.--31. 1. "RESERVED," bitfld.long 0x780 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x780 11. "RESERVED," "0,1" bitfld.long 0x780 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x780 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x784 "CFG_SPI1_CS3_IN,Delay Select Value in binary coded form for cfg_spi1_cs3_in interface" hexmask.long.word 0x784 18.--31. 1. "RESERVED," bitfld.long 0x784 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x784 11. "RESERVED," "0,1" bitfld.long 0x784 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x784 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x788 "CFG_SPI1_CS3_OEN,Delay Select Value in binary coded form for cfg_spi1_cs3_oen interface" hexmask.long.word 0x788 18.--31. 1. "RESERVED," bitfld.long 0x788 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x788 11. "RESERVED," "0,1" bitfld.long 0x788 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x788 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x78C "CFG_SPI1_CS3_OUT,Delay Select Value in binary coded form for cfg_spi1_cs3_out interface" hexmask.long.word 0x78C 18.--31. 1. "RESERVED," bitfld.long 0x78C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x78C 11. "RESERVED," "0,1" bitfld.long 0x78C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x78C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x790 "CFG_SPI1_D0_IN,Delay Select Value in binary coded form for cfg_spi1_d0_in interface" hexmask.long.word 0x790 18.--31. 1. "RESERVED," bitfld.long 0x790 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x790 11. "RESERVED," "0,1" bitfld.long 0x790 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x790 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x794 "CFG_SPI1_D0_OEN,Delay Select Value in binary coded form for cfg_spi1_d0_oen interface" hexmask.long.word 0x794 18.--31. 1. "RESERVED," bitfld.long 0x794 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x794 11. "RESERVED," "0,1" bitfld.long 0x794 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x794 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x798 "CFG_SPI1_D0_OUT,Delay Select Value in binary coded form for cfg_spi1_d0_out interface" hexmask.long.word 0x798 18.--31. 1. "RESERVED," bitfld.long 0x798 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x798 11. "RESERVED," "0,1" bitfld.long 0x798 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x798 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x79C "CFG_SPI1_D1_IN,Delay Select Value in binary coded form for cfg_spi1_d1_in interface" hexmask.long.word 0x79C 18.--31. 1. "RESERVED," bitfld.long 0x79C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x79C 11. "RESERVED," "0,1" bitfld.long 0x79C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x79C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7A0 "CFG_SPI1_D1_OEN,Delay Select Value in binary coded form for cfg_spi1_d1_oen interface" hexmask.long.word 0x7A0 18.--31. 1. "RESERVED," bitfld.long 0x7A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7A0 11. "RESERVED," "0,1" bitfld.long 0x7A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7A4 "CFG_SPI1_D1_OUT,Delay Select Value in binary coded form for cfg_spi1_d1_out interface" hexmask.long.word 0x7A4 18.--31. 1. "RESERVED," bitfld.long 0x7A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7A4 11. "RESERVED," "0,1" bitfld.long 0x7A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7A8 "CFG_SPI1_SCLK_IN,Delay Select Value in binary coded form for cfg_spi1_sclk_in interface" hexmask.long.word 0x7A8 18.--31. 1. "RESERVED," bitfld.long 0x7A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7A8 11. "RESERVED," "0,1" bitfld.long 0x7A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7AC "CFG_SPI1_SCLK_OEN,Delay Select Value in binary coded form for cfg_spi1_sclk_oen interface" hexmask.long.word 0x7AC 18.--31. 1. "RESERVED," bitfld.long 0x7AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7AC 11. "RESERVED," "0,1" bitfld.long 0x7AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7B0 "CFG_SPI1_SCLK_OUT,Delay Select Value in binary coded form for cfg_spi1_sclk_out interface" hexmask.long.word 0x7B0 18.--31. 1. "RESERVED," bitfld.long 0x7B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7B0 11. "RESERVED," "0,1" bitfld.long 0x7B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7B4 "CFG_SPI2_CS0_IN,Delay Select Value in binary coded form for cfg_spi2_cs0_in interface" hexmask.long.word 0x7B4 18.--31. 1. "RESERVED," bitfld.long 0x7B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7B4 11. "RESERVED," "0,1" bitfld.long 0x7B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7B8 "CFG_SPI2_CS0_OEN,Delay Select Value in binary coded form for cfg_spi2_cs0_oen interface" hexmask.long.word 0x7B8 18.--31. 1. "RESERVED," bitfld.long 0x7B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7B8 11. "RESERVED," "0,1" bitfld.long 0x7B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7BC "CFG_SPI2_CS0_OUT,Delay Select Value in binary coded form for cfg_spi2_cs0_out interface" hexmask.long.word 0x7BC 18.--31. 1. "RESERVED," bitfld.long 0x7BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7BC 11. "RESERVED," "0,1" bitfld.long 0x7BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7C0 "CFG_SPI2_D0_IN,Delay Select Value in binary coded form for cfg_spi2_d0_in interface" hexmask.long.word 0x7C0 18.--31. 1. "RESERVED," bitfld.long 0x7C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7C0 11. "RESERVED," "0,1" bitfld.long 0x7C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7C4 "CFG_SPI2_D0_OEN,Delay Select Value in binary coded form for cfg_spi2_d0_oen interface" hexmask.long.word 0x7C4 18.--31. 1. "RESERVED," bitfld.long 0x7C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7C4 11. "RESERVED," "0,1" bitfld.long 0x7C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7C8 "CFG_SPI2_D0_OUT,Delay Select Value in binary coded form for cfg_spi2_d0_out interface" hexmask.long.word 0x7C8 18.--31. 1. "RESERVED," bitfld.long 0x7C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7C8 11. "RESERVED," "0,1" bitfld.long 0x7C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7CC "CFG_SPI2_D1_IN,Delay Select Value in binary coded form for cfg_spi2_d1_in interface" hexmask.long.word 0x7CC 18.--31. 1. "RESERVED," bitfld.long 0x7CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7CC 11. "RESERVED," "0,1" bitfld.long 0x7CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7D0 "CFG_SPI2_D1_OEN,Delay Select Value in binary coded form for cfg_spi2_d1_oen interface" hexmask.long.word 0x7D0 18.--31. 1. "RESERVED," bitfld.long 0x7D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7D0 11. "RESERVED," "0,1" bitfld.long 0x7D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7D4 "CFG_SPI2_D1_OUT,Delay Select Value in binary coded form for cfg_spi2_d1_out interface" hexmask.long.word 0x7D4 18.--31. 1. "RESERVED," bitfld.long 0x7D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7D4 11. "RESERVED," "0,1" bitfld.long 0x7D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7D8 "CFG_SPI2_SCLK_IN,Delay Select Value in binary coded form for cfg_spi2_sclk_in interface" hexmask.long.word 0x7D8 18.--31. 1. "RESERVED," bitfld.long 0x7D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7D8 11. "RESERVED," "0,1" bitfld.long 0x7D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7DC "CFG_SPI2_SCLK_OEN,Delay Select Value in binary coded form for cfg_spi2_sclk_oen interface" hexmask.long.word 0x7DC 18.--31. 1. "RESERVED," bitfld.long 0x7DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7DC 11. "RESERVED," "0,1" bitfld.long 0x7DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7E0 "CFG_SPI2_SCLK_OUT,Delay Select Value in binary coded form for cfg_spi2_sclk_out interface" hexmask.long.word 0x7E0 18.--31. 1. "RESERVED," bitfld.long 0x7E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7E0 11. "RESERVED," "0,1" bitfld.long 0x7E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7E4 "CFG_TDI_IN,Delay Select Value in binary coded form for cfg_tdi_in interface" hexmask.long.word 0x7E4 18.--31. 1. "RESERVED," bitfld.long 0x7E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7E4 11. "RESERVED," "0,1" bitfld.long 0x7E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7E8 "CFG_TDI_OEN,Delay Select Value in binary coded form for cfg_tdi_oen interface" hexmask.long.word 0x7E8 18.--31. 1. "RESERVED," bitfld.long 0x7E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7E8 11. "RESERVED," "0,1" bitfld.long 0x7E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7EC "CFG_TDI_OUT,Delay Select Value in binary coded form for cfg_tdi_out interface" hexmask.long.word 0x7EC 18.--31. 1. "RESERVED," bitfld.long 0x7EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7EC 11. "RESERVED," "0,1" bitfld.long 0x7EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7F0 "CFG_TDO_IN,Delay Select Value in binary coded form for cfg_tdo_in interface" hexmask.long.word 0x7F0 18.--31. 1. "RESERVED," bitfld.long 0x7F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7F0 11. "RESERVED," "0,1" bitfld.long 0x7F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7F4 "CFG_TDO_OEN,Delay Select Value in binary coded form for cfg_tdo_oen interface" hexmask.long.word 0x7F4 18.--31. 1. "RESERVED," bitfld.long 0x7F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7F4 11. "RESERVED," "0,1" bitfld.long 0x7F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7F8 "CFG_TDO_OUT,Delay Select Value in binary coded form for cfg_tdo_out interface" hexmask.long.word 0x7F8 18.--31. 1. "RESERVED," bitfld.long 0x7F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7F8 11. "RESERVED," "0,1" bitfld.long 0x7F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x7FC "CFG_TMS_IN,Delay Select Value in binary coded form for cfg_tms_in interface" hexmask.long.word 0x7FC 18.--31. 1. "RESERVED," bitfld.long 0x7FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x7FC 11. "RESERVED," "0,1" bitfld.long 0x7FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x7FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x800 "CFG_TMS_OEN,Delay Select Value in binary coded form for cfg_tms_oen interface" hexmask.long.word 0x800 18.--31. 1. "RESERVED," bitfld.long 0x800 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x800 11. "RESERVED," "0,1" bitfld.long 0x800 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x800 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x804 "CFG_TMS_OUT,Delay Select Value in binary coded form for cfg_tms_out interface" hexmask.long.word 0x804 18.--31. 1. "RESERVED," bitfld.long 0x804 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x804 11. "RESERVED," "0,1" bitfld.long 0x804 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x804 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x808 "CFG_TRSTN_IN,Delay Select Value in binary coded form for cfg_trstn_in interface" hexmask.long.word 0x808 18.--31. 1. "RESERVED," bitfld.long 0x808 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x808 11. "RESERVED," "0,1" bitfld.long 0x808 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x808 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x80C "CFG_TRSTN_OEN,Delay Select Value in binary coded form for cfg_trstn_oen interface" hexmask.long.word 0x80C 18.--31. 1. "RESERVED," bitfld.long 0x80C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x80C 11. "RESERVED," "0,1" bitfld.long 0x80C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x80C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x810 "CFG_TRSTN_OUT,Delay Select Value in binary coded form for cfg_trstn_out interface" hexmask.long.word 0x810 18.--31. 1. "RESERVED," bitfld.long 0x810 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x810 11. "RESERVED," "0,1" bitfld.long 0x810 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x810 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x814 "CFG_UART1_CTSN_IN,Delay Select Value in binary coded form for cfg_uart1_ctsn_in interface" hexmask.long.word 0x814 18.--31. 1. "RESERVED," bitfld.long 0x814 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x814 11. "RESERVED," "0,1" bitfld.long 0x814 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x814 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x818 "CFG_UART1_CTSN_OEN,Delay Select Value in binary coded form for cfg_uart1_ctsn_oen interface" hexmask.long.word 0x818 18.--31. 1. "RESERVED," bitfld.long 0x818 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x818 11. "RESERVED," "0,1" bitfld.long 0x818 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x818 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x81C "CFG_UART1_CTSN_OUT,Delay Select Value in binary coded form for cfg_uart1_ctsn_out interface" hexmask.long.word 0x81C 18.--31. 1. "RESERVED," bitfld.long 0x81C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x81C 11. "RESERVED," "0,1" bitfld.long 0x81C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x81C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x820 "CFG_UART1_RTSN_IN,Delay Select Value in binary coded form for cfg_uart1_rtsn_in interface" hexmask.long.word 0x820 18.--31. 1. "RESERVED," bitfld.long 0x820 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x820 11. "RESERVED," "0,1" bitfld.long 0x820 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x820 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x824 "CFG_UART1_RTSN_OEN,Delay Select Value in binary coded form for cfg_uart1_rtsn_oen interface" hexmask.long.word 0x824 18.--31. 1. "RESERVED," bitfld.long 0x824 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x824 11. "RESERVED," "0,1" bitfld.long 0x824 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x824 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x828 "CFG_UART1_RTSN_OUT,Delay Select Value in binary coded form for cfg_uart1_rtsn_out interface" hexmask.long.word 0x828 18.--31. 1. "RESERVED," bitfld.long 0x828 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x828 11. "RESERVED," "0,1" bitfld.long 0x828 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x828 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x82C "CFG_UART1_RXD_IN,Delay Select Value in binary coded form for cfg_uart1_rxd_in interface" hexmask.long.word 0x82C 18.--31. 1. "RESERVED," bitfld.long 0x82C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x82C 11. "RESERVED," "0,1" bitfld.long 0x82C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x82C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x830 "CFG_UART1_RXD_OEN,Delay Select Value in binary coded form for cfg_uart1_rxd_oen interface" hexmask.long.word 0x830 18.--31. 1. "RESERVED," bitfld.long 0x830 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x830 11. "RESERVED," "0,1" bitfld.long 0x830 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x830 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x834 "CFG_UART1_RXD_OUT,Delay Select Value in binary coded form for cfg_uart1_rxd_out interface" hexmask.long.word 0x834 18.--31. 1. "RESERVED," bitfld.long 0x834 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x834 11. "RESERVED," "0,1" bitfld.long 0x834 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x834 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x838 "CFG_UART1_TXD_IN,Delay Select Value in binary coded form for cfg_uart1_txd_in interface" hexmask.long.word 0x838 18.--31. 1. "RESERVED," bitfld.long 0x838 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x838 11. "RESERVED," "0,1" bitfld.long 0x838 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x838 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x83C "CFG_UART1_TXD_OEN,Delay Select Value in binary coded form for cfg_uart1_txd_oen interface" hexmask.long.word 0x83C 18.--31. 1. "RESERVED," bitfld.long 0x83C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x83C 11. "RESERVED," "0,1" bitfld.long 0x83C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x83C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x840 "CFG_UART1_TXD_OUT,Delay Select Value in binary coded form for cfg_uart1_txd_out interface" hexmask.long.word 0x840 18.--31. 1. "RESERVED," bitfld.long 0x840 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x840 11. "RESERVED," "0,1" bitfld.long 0x840 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x840 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x844 "CFG_UART2_CTSN_IN,Delay Select Value in binary coded form for cfg_uart2_ctsn_in interface" hexmask.long.word 0x844 18.--31. 1. "RESERVED," bitfld.long 0x844 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x844 11. "RESERVED," "0,1" bitfld.long 0x844 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x844 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x848 "CFG_UART2_CTSN_OEN,Delay Select Value in binary coded form for cfg_uart2_ctsn_oen interface" hexmask.long.word 0x848 18.--31. 1. "RESERVED," bitfld.long 0x848 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x848 11. "RESERVED," "0,1" bitfld.long 0x848 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x848 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x84C "CFG_UART2_CTSN_OUT,Delay Select Value in binary coded form for cfg_uart2_ctsn_out interface" hexmask.long.word 0x84C 18.--31. 1. "RESERVED," bitfld.long 0x84C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x84C 11. "RESERVED," "0,1" bitfld.long 0x84C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x84C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x850 "CFG_UART2_RTSN_IN,Delay Select Value in binary coded form for cfg_uart2_rtsn_in interface" hexmask.long.word 0x850 18.--31. 1. "RESERVED," bitfld.long 0x850 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x850 11. "RESERVED," "0,1" bitfld.long 0x850 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x850 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x854 "CFG_UART2_RTSN_OEN,Delay Select Value in binary coded form for cfg_uart2_rtsn_oen interface" hexmask.long.word 0x854 18.--31. 1. "RESERVED," bitfld.long 0x854 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x854 11. "RESERVED," "0,1" bitfld.long 0x854 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x854 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x858 "CFG_UART2_RTSN_OUT,Delay Select Value in binary coded form for cfg_uart2_rtsn_out interface" hexmask.long.word 0x858 18.--31. 1. "RESERVED," bitfld.long 0x858 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x858 11. "RESERVED," "0,1" bitfld.long 0x858 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x858 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x85C "CFG_UART2_RXD_IN,Delay Select Value in binary coded form for cfg_uart2_rxd_in interface" hexmask.long.word 0x85C 18.--31. 1. "RESERVED," bitfld.long 0x85C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x85C 11. "RESERVED," "0,1" bitfld.long 0x85C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x85C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x860 "CFG_UART2_RXD_OEN,Delay Select Value in binary coded form for cfg_uart2_rxd_oen interface" hexmask.long.word 0x860 18.--31. 1. "RESERVED," bitfld.long 0x860 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x860 11. "RESERVED," "0,1" bitfld.long 0x860 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x860 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x864 "CFG_UART2_RXD_OUT,Delay Select Value in binary coded form for cfg_uart2_rxd_out interface" hexmask.long.word 0x864 18.--31. 1. "RESERVED," bitfld.long 0x864 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x864 11. "RESERVED," "0,1" bitfld.long 0x864 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x864 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x868 "CFG_UART2_TXD_IN,Delay Select Value in binary coded form for cfg_uart2_txd_in interface" hexmask.long.word 0x868 18.--31. 1. "RESERVED," bitfld.long 0x868 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x868 11. "RESERVED," "0,1" bitfld.long 0x868 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x868 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x86C "CFG_UART2_TXD_OEN,Delay Select Value in binary coded form for cfg_uart2_txd_oen interface" hexmask.long.word 0x86C 18.--31. 1. "RESERVED," bitfld.long 0x86C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x86C 11. "RESERVED," "0,1" bitfld.long 0x86C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x86C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x870 "CFG_UART2_TXD_OUT,Delay Select Value in binary coded form for cfg_uart2_txd_out interface" hexmask.long.word 0x870 18.--31. 1. "RESERVED," bitfld.long 0x870 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x870 11. "RESERVED," "0,1" bitfld.long 0x870 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x870 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x874 "CFG_UART3_RXD_IN,Delay Select Value in binary coded form for cfg_uart3_rxd_in interface" hexmask.long.word 0x874 18.--31. 1. "RESERVED," bitfld.long 0x874 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x874 11. "RESERVED," "0,1" bitfld.long 0x874 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x874 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x878 "CFG_UART3_RXD_OEN,Delay Select Value in binary coded form for cfg_uart3_rxd_oen interface" hexmask.long.word 0x878 18.--31. 1. "RESERVED," bitfld.long 0x878 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x878 11. "RESERVED," "0,1" bitfld.long 0x878 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x878 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x87C "CFG_UART3_RXD_OUT,Delay Select Value in binary coded form for cfg_uart3_rxd_out interface" hexmask.long.word 0x87C 18.--31. 1. "RESERVED," bitfld.long 0x87C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x87C 11. "RESERVED," "0,1" bitfld.long 0x87C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x87C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x880 "CFG_UART3_TXD_IN,Delay Select Value in binary coded form for cfg_uart3_txd_in interface" hexmask.long.word 0x880 18.--31. 1. "RESERVED," bitfld.long 0x880 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x880 11. "RESERVED," "0,1" bitfld.long 0x880 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x880 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x884 "CFG_UART3_TXD_OEN,Delay Select Value in binary coded form for cfg_uart3_txd_oen interface" hexmask.long.word 0x884 18.--31. 1. "RESERVED," bitfld.long 0x884 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x884 11. "RESERVED," "0,1" bitfld.long 0x884 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x884 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x888 "CFG_UART3_TXD_OUT,Delay Select Value in binary coded form for cfg_uart3_txd_out interface" hexmask.long.word 0x888 18.--31. 1. "RESERVED," bitfld.long 0x888 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x888 11. "RESERVED," "0,1" bitfld.long 0x888 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x888 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x88C "CFG_USB1_DRVVBUS_IN,Delay Select Value in binary coded form for cfg_usb1_drvvbus_in interface" hexmask.long.word 0x88C 18.--31. 1. "RESERVED," bitfld.long 0x88C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x88C 11. "RESERVED," "0,1" bitfld.long 0x88C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x88C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x890 "CFG_USB1_DRVVBUS_OEN,Delay Select Value in binary coded form for cfg_usb1_drvvbus_oen interface" hexmask.long.word 0x890 18.--31. 1. "RESERVED," bitfld.long 0x890 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x890 11. "RESERVED," "0,1" bitfld.long 0x890 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x890 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x894 "CFG_USB1_DRVVBUS_OUT,Delay Select Value in binary coded form for cfg_usb1_drvvbus_out interface" hexmask.long.word 0x894 18.--31. 1. "RESERVED," bitfld.long 0x894 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x894 11. "RESERVED," "0,1" bitfld.long 0x894 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x894 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x898 "CFG_USB2_DRVVBUS_IN,Delay Select Value in binary coded form for cfg_usb2_drvvbus_in interface" hexmask.long.word 0x898 18.--31. 1. "RESERVED," bitfld.long 0x898 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x898 11. "RESERVED," "0,1" bitfld.long 0x898 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x898 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x89C "CFG_USB2_DRVVBUS_OEN,Delay Select Value in binary coded form for cfg_usb2_drvvbus_oen interface" hexmask.long.word 0x89C 18.--31. 1. "RESERVED," bitfld.long 0x89C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x89C 11. "RESERVED," "0,1" bitfld.long 0x89C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x89C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8A0 "CFG_USB2_DRVVBUS_OUT,Delay Select Value in binary coded form for cfg_usb2_drvvbus_out interface" hexmask.long.word 0x8A0 18.--31. 1. "RESERVED," bitfld.long 0x8A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8A0 11. "RESERVED," "0,1" bitfld.long 0x8A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8A4 "CFG_VIN1A_CLK0_IN,Delay Select Value in binary coded form for cfg_vin1a_clk0_in interface" hexmask.long.word 0x8A4 18.--31. 1. "RESERVED," bitfld.long 0x8A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8A4 11. "RESERVED," "0,1" bitfld.long 0x8A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8A8 "CFG_VIN1A_CLK0_OEN,Delay Select Value in binary coded form for cfg_vin1a_clk0_oen interface" hexmask.long.word 0x8A8 18.--31. 1. "RESERVED," bitfld.long 0x8A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8A8 11. "RESERVED," "0,1" bitfld.long 0x8A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8AC "CFG_VIN1A_CLK0_OUT,Delay Select Value in binary coded form for cfg_vin1a_clk0_out interface" hexmask.long.word 0x8AC 18.--31. 1. "RESERVED," bitfld.long 0x8AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8AC 11. "RESERVED," "0,1" bitfld.long 0x8AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8B0 "CFG_VIN1A_D0_IN,Delay Select Value in binary coded form for cfg_vin1a_d0_in interface" hexmask.long.word 0x8B0 18.--31. 1. "RESERVED," bitfld.long 0x8B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8B0 11. "RESERVED," "0,1" bitfld.long 0x8B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8B4 "CFG_VIN1A_D0_OEN,Delay Select Value in binary coded form for cfg_vin1a_d0_oen interface" hexmask.long.word 0x8B4 18.--31. 1. "RESERVED," bitfld.long 0x8B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8B4 11. "RESERVED," "0,1" bitfld.long 0x8B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8B8 "CFG_VIN1A_D0_OUT,Delay Select Value in binary coded form for cfg_vin1a_d0_out interface" hexmask.long.word 0x8B8 18.--31. 1. "RESERVED," bitfld.long 0x8B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8B8 11. "RESERVED," "0,1" bitfld.long 0x8B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8BC "CFG_VIN1A_D10_IN,Delay Select Value in binary coded form for cfg_vin1a_d10_in interface" hexmask.long.word 0x8BC 18.--31. 1. "RESERVED," bitfld.long 0x8BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8BC 11. "RESERVED," "0,1" bitfld.long 0x8BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8C0 "CFG_VIN1A_D10_OEN,Delay Select Value in binary coded form for cfg_vin1a_d10_oen interface" hexmask.long.word 0x8C0 18.--31. 1. "RESERVED," bitfld.long 0x8C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8C0 11. "RESERVED," "0,1" bitfld.long 0x8C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8C4 "CFG_VIN1A_D10_OUT,Delay Select Value in binary coded form for cfg_vin1a_d10_out interface" hexmask.long.word 0x8C4 18.--31. 1. "RESERVED," bitfld.long 0x8C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8C4 11. "RESERVED," "0,1" bitfld.long 0x8C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8C8 "CFG_VIN1A_D11_IN,Delay Select Value in binary coded form for cfg_vin1a_d11_in interface" hexmask.long.word 0x8C8 18.--31. 1. "RESERVED," bitfld.long 0x8C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8C8 11. "RESERVED," "0,1" bitfld.long 0x8C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8CC "CFG_VIN1A_D11_OEN,Delay Select Value in binary coded form for cfg_vin1a_d11_oen interface" hexmask.long.word 0x8CC 18.--31. 1. "RESERVED," bitfld.long 0x8CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8CC 11. "RESERVED," "0,1" bitfld.long 0x8CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8D0 "CFG_VIN1A_D11_OUT,Delay Select Value in binary coded form for cfg_vin1a_d11_out interface" hexmask.long.word 0x8D0 18.--31. 1. "RESERVED," bitfld.long 0x8D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8D0 11. "RESERVED," "0,1" bitfld.long 0x8D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8D4 "CFG_VIN1A_D12_IN,Delay Select Value in binary coded form for cfg_vin1a_d12_in interface" hexmask.long.word 0x8D4 18.--31. 1. "RESERVED," bitfld.long 0x8D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8D4 11. "RESERVED," "0,1" bitfld.long 0x8D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8D8 "CFG_VIN1A_D12_OEN,Delay Select Value in binary coded form for cfg_vin1a_d12_oen interface" hexmask.long.word 0x8D8 18.--31. 1. "RESERVED," bitfld.long 0x8D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8D8 11. "RESERVED," "0,1" bitfld.long 0x8D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8DC "CFG_VIN1A_D12_OUT,Delay Select Value in binary coded form for cfg_vin1a_d12_out interface" hexmask.long.word 0x8DC 18.--31. 1. "RESERVED," bitfld.long 0x8DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8DC 11. "RESERVED," "0,1" bitfld.long 0x8DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8E0 "CFG_VIN1A_D13_IN,Delay Select Value in binary coded form for cfg_vin1a_d13_in interface" hexmask.long.word 0x8E0 18.--31. 1. "RESERVED," bitfld.long 0x8E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8E0 11. "RESERVED," "0,1" bitfld.long 0x8E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8E4 "CFG_VIN1A_D13_OEN,Delay Select Value in binary coded form for cfg_vin1a_d13_oen interface" hexmask.long.word 0x8E4 18.--31. 1. "RESERVED," bitfld.long 0x8E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8E4 11. "RESERVED," "0,1" bitfld.long 0x8E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8E8 "CFG_VIN1A_D13_OUT,Delay Select Value in binary coded form for cfg_vin1a_d13_out interface" hexmask.long.word 0x8E8 18.--31. 1. "RESERVED," bitfld.long 0x8E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8E8 11. "RESERVED," "0,1" bitfld.long 0x8E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8EC "CFG_VIN1A_D14_IN,Delay Select Value in binary coded form for cfg_vin1a_d14_in interface" hexmask.long.word 0x8EC 18.--31. 1. "RESERVED," bitfld.long 0x8EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8EC 11. "RESERVED," "0,1" bitfld.long 0x8EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8F0 "CFG_VIN1A_D14_OEN,Delay Select Value in binary coded form for cfg_vin1a_d14_oen interface" hexmask.long.word 0x8F0 18.--31. 1. "RESERVED," bitfld.long 0x8F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8F0 11. "RESERVED," "0,1" bitfld.long 0x8F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8F4 "CFG_VIN1A_D14_OUT,Delay Select Value in binary coded form for cfg_vin1a_d14_out interface" hexmask.long.word 0x8F4 18.--31. 1. "RESERVED," bitfld.long 0x8F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8F4 11. "RESERVED," "0,1" bitfld.long 0x8F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8F8 "CFG_VIN1A_D15_IN,Delay Select Value in binary coded form for cfg_vin1a_d15_in interface" hexmask.long.word 0x8F8 18.--31. 1. "RESERVED," bitfld.long 0x8F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8F8 11. "RESERVED," "0,1" bitfld.long 0x8F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x8FC "CFG_VIN1A_D15_OEN,Delay Select Value in binary coded form for cfg_vin1a_d15_oen interface" hexmask.long.word 0x8FC 18.--31. 1. "RESERVED," bitfld.long 0x8FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x8FC 11. "RESERVED," "0,1" bitfld.long 0x8FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x8FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x900 "CFG_VIN1A_D15_OUT,Delay Select Value in binary coded form for cfg_vin1a_d15_out interface" hexmask.long.word 0x900 18.--31. 1. "RESERVED," bitfld.long 0x900 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x900 11. "RESERVED," "0,1" bitfld.long 0x900 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x900 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x904 "CFG_VIN1A_D16_IN,Delay Select Value in binary coded form for cfg_vin1a_d16_in interface" hexmask.long.word 0x904 18.--31. 1. "RESERVED," bitfld.long 0x904 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x904 11. "RESERVED," "0,1" bitfld.long 0x904 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x904 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x908 "CFG_VIN1A_D16_OEN,Delay Select Value in binary coded form for cfg_vin1a_d16_oen interface" hexmask.long.word 0x908 18.--31. 1. "RESERVED," bitfld.long 0x908 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x908 11. "RESERVED," "0,1" bitfld.long 0x908 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x908 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x90C "CFG_VIN1A_D16_OUT,Delay Select Value in binary coded form for cfg_vin1a_d16_out interface" hexmask.long.word 0x90C 18.--31. 1. "RESERVED," bitfld.long 0x90C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x90C 11. "RESERVED," "0,1" bitfld.long 0x90C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x90C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x910 "CFG_VIN1A_D17_IN,Delay Select Value in binary coded form for cfg_vin1a_d17_in interface" hexmask.long.word 0x910 18.--31. 1. "RESERVED," bitfld.long 0x910 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x910 11. "RESERVED," "0,1" bitfld.long 0x910 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x910 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x914 "CFG_VIN1A_D17_OEN,Delay Select Value in binary coded form for cfg_vin1a_d17_oen interface" hexmask.long.word 0x914 18.--31. 1. "RESERVED," bitfld.long 0x914 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x914 11. "RESERVED," "0,1" bitfld.long 0x914 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x914 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x918 "CFG_VIN1A_D17_OUT,Delay Select Value in binary coded form for cfg_vin1a_d17_out interface" hexmask.long.word 0x918 18.--31. 1. "RESERVED," bitfld.long 0x918 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x918 11. "RESERVED," "0,1" bitfld.long 0x918 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x918 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x91C "CFG_VIN1A_D18_IN,Delay Select Value in binary coded form for cfg_vin1a_d18_in interface" hexmask.long.word 0x91C 18.--31. 1. "RESERVED," bitfld.long 0x91C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x91C 11. "RESERVED," "0,1" bitfld.long 0x91C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x91C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x920 "CFG_VIN1A_D18_OEN,Delay Select Value in binary coded form for cfg_vin1a_d18_oen interface" hexmask.long.word 0x920 18.--31. 1. "RESERVED," bitfld.long 0x920 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x920 11. "RESERVED," "0,1" bitfld.long 0x920 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x920 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x924 "CFG_VIN1A_D18_OUT,Delay Select Value in binary coded form for cfg_vin1a_d18_out interface" hexmask.long.word 0x924 18.--31. 1. "RESERVED," bitfld.long 0x924 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x924 11. "RESERVED," "0,1" bitfld.long 0x924 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x924 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x928 "CFG_VIN1A_D19_IN,Delay Select Value in binary coded form for cfg_vin1a_d19_in interface" hexmask.long.word 0x928 18.--31. 1. "RESERVED," bitfld.long 0x928 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x928 11. "RESERVED," "0,1" bitfld.long 0x928 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x928 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x92C "CFG_VIN1A_D19_OEN,Delay Select Value in binary coded form for cfg_vin1a_d19_oen interface" hexmask.long.word 0x92C 18.--31. 1. "RESERVED," bitfld.long 0x92C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x92C 11. "RESERVED," "0,1" bitfld.long 0x92C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x92C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x930 "CFG_VIN1A_D19_OUT,Delay Select Value in binary coded form for cfg_vin1a_d19_out interface" hexmask.long.word 0x930 18.--31. 1. "RESERVED," bitfld.long 0x930 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x930 11. "RESERVED," "0,1" bitfld.long 0x930 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x930 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x934 "CFG_VIN1A_D1_IN,Delay Select Value in binary coded form for cfg_vin1a_d1_in interface" hexmask.long.word 0x934 18.--31. 1. "RESERVED," bitfld.long 0x934 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x934 11. "RESERVED," "0,1" bitfld.long 0x934 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x934 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x938 "CFG_VIN1A_D1_OEN,Delay Select Value in binary coded form for cfg_vin1a_d1_oen interface" hexmask.long.word 0x938 18.--31. 1. "RESERVED," bitfld.long 0x938 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x938 11. "RESERVED," "0,1" bitfld.long 0x938 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x938 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x93C "CFG_VIN1A_D1_OUT,Delay Select Value in binary coded form for cfg_vin1a_d1_out interface" hexmask.long.word 0x93C 18.--31. 1. "RESERVED," bitfld.long 0x93C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x93C 11. "RESERVED," "0,1" bitfld.long 0x93C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x93C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x940 "CFG_VIN1A_D20_IN,Delay Select Value in binary coded form for cfg_vin1a_d20_in interface" hexmask.long.word 0x940 18.--31. 1. "RESERVED," bitfld.long 0x940 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x940 11. "RESERVED," "0,1" bitfld.long 0x940 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x940 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x944 "CFG_VIN1A_D20_OEN,Delay Select Value in binary coded form for cfg_vin1a_d20_oen interface" hexmask.long.word 0x944 18.--31. 1. "RESERVED," bitfld.long 0x944 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x944 11. "RESERVED," "0,1" bitfld.long 0x944 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x944 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x948 "CFG_VIN1A_D20_OUT,Delay Select Value in binary coded form for cfg_vin1a_d20_out interface" hexmask.long.word 0x948 18.--31. 1. "RESERVED," bitfld.long 0x948 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x948 11. "RESERVED," "0,1" bitfld.long 0x948 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x948 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x94C "CFG_VIN1A_D21_IN,Delay Select Value in binary coded form for cfg_vin1a_d21_in interface" hexmask.long.word 0x94C 18.--31. 1. "RESERVED," bitfld.long 0x94C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x94C 11. "RESERVED," "0,1" bitfld.long 0x94C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x94C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x950 "CFG_VIN1A_D21_OEN,Delay Select Value in binary coded form for cfg_vin1a_d21_oen interface" hexmask.long.word 0x950 18.--31. 1. "RESERVED," bitfld.long 0x950 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x950 11. "RESERVED," "0,1" bitfld.long 0x950 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x950 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x954 "CFG_VIN1A_D21_OUT,Delay Select Value in binary coded form for cfg_vin1a_d21_out interface" hexmask.long.word 0x954 18.--31. 1. "RESERVED," bitfld.long 0x954 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x954 11. "RESERVED," "0,1" bitfld.long 0x954 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x954 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x958 "CFG_VIN1A_D22_IN,Delay Select Value in binary coded form for cfg_vin1a_d22_in interface" hexmask.long.word 0x958 18.--31. 1. "RESERVED," bitfld.long 0x958 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x958 11. "RESERVED," "0,1" bitfld.long 0x958 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x958 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x95C "CFG_VIN1A_D22_OEN,Delay Select Value in binary coded form for cfg_vin1a_d22_oen interface" hexmask.long.word 0x95C 18.--31. 1. "RESERVED," bitfld.long 0x95C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x95C 11. "RESERVED," "0,1" bitfld.long 0x95C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x95C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x960 "CFG_VIN1A_D22_OUT,Delay Select Value in binary coded form for cfg_vin1a_d22_out interface" hexmask.long.word 0x960 18.--31. 1. "RESERVED," bitfld.long 0x960 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x960 11. "RESERVED," "0,1" bitfld.long 0x960 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x960 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x964 "CFG_VIN1A_D23_IN,Delay Select Value in binary coded form for cfg_vin1a_d23_in interface" hexmask.long.word 0x964 18.--31. 1. "RESERVED," bitfld.long 0x964 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x964 11. "RESERVED," "0,1" bitfld.long 0x964 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x964 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x968 "CFG_VIN1A_D23_OEN,Delay Select Value in binary coded form for cfg_vin1a_d23_oen interface" hexmask.long.word 0x968 18.--31. 1. "RESERVED," bitfld.long 0x968 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x968 11. "RESERVED," "0,1" bitfld.long 0x968 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x968 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x96C "CFG_VIN1A_D23_OUT,Delay Select Value in binary coded form for cfg_vin1a_d23_out interface" hexmask.long.word 0x96C 18.--31. 1. "RESERVED," bitfld.long 0x96C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x96C 11. "RESERVED," "0,1" bitfld.long 0x96C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x96C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x970 "CFG_VIN1A_D2_IN,Delay Select Value in binary coded form for cfg_vin1a_d2_in interface" hexmask.long.word 0x970 18.--31. 1. "RESERVED," bitfld.long 0x970 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x970 11. "RESERVED," "0,1" bitfld.long 0x970 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x970 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x974 "CFG_VIN1A_D2_OEN,Delay Select Value in binary coded form for cfg_vin1a_d2_oen interface" hexmask.long.word 0x974 18.--31. 1. "RESERVED," bitfld.long 0x974 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x974 11. "RESERVED," "0,1" bitfld.long 0x974 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x974 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x978 "CFG_VIN1A_D2_OUT,Delay Select Value in binary coded form for cfg_vin1a_d2_out interface" hexmask.long.word 0x978 18.--31. 1. "RESERVED," bitfld.long 0x978 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x978 11. "RESERVED," "0,1" bitfld.long 0x978 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x978 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x97C "CFG_VIN1A_D3_IN,Delay Select Value in binary coded form for cfg_vin1a_d3_in interface" hexmask.long.word 0x97C 18.--31. 1. "RESERVED," bitfld.long 0x97C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x97C 11. "RESERVED," "0,1" bitfld.long 0x97C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x97C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x980 "CFG_VIN1A_D3_OEN,Delay Select Value in binary coded form for cfg_vin1a_d3_oen interface" hexmask.long.word 0x980 18.--31. 1. "RESERVED," bitfld.long 0x980 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x980 11. "RESERVED," "0,1" bitfld.long 0x980 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x980 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x984 "CFG_VIN1A_D3_OUT,Delay Select Value in binary coded form for cfg_vin1a_d3_out interface" hexmask.long.word 0x984 18.--31. 1. "RESERVED," bitfld.long 0x984 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x984 11. "RESERVED," "0,1" bitfld.long 0x984 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x984 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x988 "CFG_VIN1A_D4_IN,Delay Select Value in binary coded form for cfg_vin1a_d4_in interface" hexmask.long.word 0x988 18.--31. 1. "RESERVED," bitfld.long 0x988 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x988 11. "RESERVED," "0,1" bitfld.long 0x988 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x988 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x98C "CFG_VIN1A_D4_OEN,Delay Select Value in binary coded form for cfg_vin1a_d4_oen interface" hexmask.long.word 0x98C 18.--31. 1. "RESERVED," bitfld.long 0x98C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x98C 11. "RESERVED," "0,1" bitfld.long 0x98C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x98C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x990 "CFG_VIN1A_D4_OUT,Delay Select Value in binary coded form for cfg_vin1a_d4_out interface" hexmask.long.word 0x990 18.--31. 1. "RESERVED," bitfld.long 0x990 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x990 11. "RESERVED," "0,1" bitfld.long 0x990 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x990 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x994 "CFG_VIN1A_D5_IN,Delay Select Value in binary coded form for cfg_vin1a_d5_in interface" hexmask.long.word 0x994 18.--31. 1. "RESERVED," bitfld.long 0x994 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x994 11. "RESERVED," "0,1" bitfld.long 0x994 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x994 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x998 "CFG_VIN1A_D5_OEN,Delay Select Value in binary coded form for cfg_vin1a_d5_oen interface" hexmask.long.word 0x998 18.--31. 1. "RESERVED," bitfld.long 0x998 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x998 11. "RESERVED," "0,1" bitfld.long 0x998 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x998 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x99C "CFG_VIN1A_D5_OUT,Delay Select Value in binary coded form for cfg_vin1a_d5_out interface" hexmask.long.word 0x99C 18.--31. 1. "RESERVED," bitfld.long 0x99C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x99C 11. "RESERVED," "0,1" bitfld.long 0x99C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x99C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9A0 "CFG_VIN1A_D6_IN,Delay Select Value in binary coded form for cfg_vin1a_d6_in interface" hexmask.long.word 0x9A0 18.--31. 1. "RESERVED," bitfld.long 0x9A0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9A0 11. "RESERVED," "0,1" bitfld.long 0x9A0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9A0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9A4 "CFG_VIN1A_D6_OEN,Delay Select Value in binary coded form for cfg_vin1a_d6_oen interface" hexmask.long.word 0x9A4 18.--31. 1. "RESERVED," bitfld.long 0x9A4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9A4 11. "RESERVED," "0,1" bitfld.long 0x9A4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9A4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9A8 "CFG_VIN1A_D6_OUT,Delay Select Value in binary coded form for cfg_vin1a_d6_out interface" hexmask.long.word 0x9A8 18.--31. 1. "RESERVED," bitfld.long 0x9A8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9A8 11. "RESERVED," "0,1" bitfld.long 0x9A8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9A8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9AC "CFG_VIN1A_D7_IN,Delay Select Value in binary coded form for cfg_vin1a_d7_in interface" hexmask.long.word 0x9AC 18.--31. 1. "RESERVED," bitfld.long 0x9AC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9AC 11. "RESERVED," "0,1" bitfld.long 0x9AC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9AC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9B0 "CFG_VIN1A_D7_OEN,Delay Select Value in binary coded form for cfg_vin1a_d7_oen interface" hexmask.long.word 0x9B0 18.--31. 1. "RESERVED," bitfld.long 0x9B0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9B0 11. "RESERVED," "0,1" bitfld.long 0x9B0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9B0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9B4 "CFG_VIN1A_D7_OUT,Delay Select Value in binary coded form for cfg_vin1a_d7_out interface" hexmask.long.word 0x9B4 18.--31. 1. "RESERVED," bitfld.long 0x9B4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9B4 11. "RESERVED," "0,1" bitfld.long 0x9B4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9B4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9B8 "CFG_VIN1A_D8_IN,Delay Select Value in binary coded form for cfg_vin1a_d8_in interface" hexmask.long.word 0x9B8 18.--31. 1. "RESERVED," bitfld.long 0x9B8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9B8 11. "RESERVED," "0,1" bitfld.long 0x9B8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9B8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9BC "CFG_VIN1A_D8_OEN,Delay Select Value in binary coded form for cfg_vin1a_d8_oen interface" hexmask.long.word 0x9BC 18.--31. 1. "RESERVED," bitfld.long 0x9BC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9BC 11. "RESERVED," "0,1" bitfld.long 0x9BC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9BC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9C0 "CFG_VIN1A_D8_OUT,Delay Select Value in binary coded form for cfg_vin1a_d8_out interface" hexmask.long.word 0x9C0 18.--31. 1. "RESERVED," bitfld.long 0x9C0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9C0 11. "RESERVED," "0,1" bitfld.long 0x9C0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9C0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9C4 "CFG_VIN1A_D9_IN,Delay Select Value in binary coded form for cfg_vin1a_d9_in interface" hexmask.long.word 0x9C4 18.--31. 1. "RESERVED," bitfld.long 0x9C4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9C4 11. "RESERVED," "0,1" bitfld.long 0x9C4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9C4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9C8 "CFG_VIN1A_D9_OEN,Delay Select Value in binary coded form for cfg_vin1a_d9_oen interface" hexmask.long.word 0x9C8 18.--31. 1. "RESERVED," bitfld.long 0x9C8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9C8 11. "RESERVED," "0,1" bitfld.long 0x9C8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9C8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9CC "CFG_VIN1A_D9_OUT,Delay Select Value in binary coded form for cfg_vin1a_d9_out interface" hexmask.long.word 0x9CC 18.--31. 1. "RESERVED," bitfld.long 0x9CC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9CC 11. "RESERVED," "0,1" bitfld.long 0x9CC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9CC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9D0 "CFG_VIN1A_DE0_IN,Delay Select Value in binary coded form for cfg_vin1a_de0_in interface" hexmask.long.word 0x9D0 18.--31. 1. "RESERVED," bitfld.long 0x9D0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9D0 11. "RESERVED," "0,1" bitfld.long 0x9D0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9D0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9D4 "CFG_VIN1A_DE0_OEN,Delay Select Value in binary coded form for cfg_vin1a_de0_oen interface" hexmask.long.word 0x9D4 18.--31. 1. "RESERVED," bitfld.long 0x9D4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9D4 11. "RESERVED," "0,1" bitfld.long 0x9D4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9D4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9D8 "CFG_VIN1A_DE0_OUT,Delay Select Value in binary coded form for cfg_vin1a_de0_out interface" hexmask.long.word 0x9D8 18.--31. 1. "RESERVED," bitfld.long 0x9D8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9D8 11. "RESERVED," "0,1" bitfld.long 0x9D8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9D8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9DC "CFG_VIN1A_FLD0_IN,Delay Select Value in binary coded form for cfg_vin1a_fld0_in interface" hexmask.long.word 0x9DC 18.--31. 1. "RESERVED," bitfld.long 0x9DC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9DC 11. "RESERVED," "0,1" bitfld.long 0x9DC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9DC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9E0 "CFG_VIN1A_FLD0_OEN,Delay Select Value in binary coded form for cfg_vin1a_fld0_oen interface" hexmask.long.word 0x9E0 18.--31. 1. "RESERVED," bitfld.long 0x9E0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9E0 11. "RESERVED," "0,1" bitfld.long 0x9E0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9E0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9E4 "CFG_VIN1A_FLD0_OUT,Delay Select Value in binary coded form for cfg_vin1a_fld0_out interface" hexmask.long.word 0x9E4 18.--31. 1. "RESERVED," bitfld.long 0x9E4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9E4 11. "RESERVED," "0,1" bitfld.long 0x9E4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9E4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9E8 "CFG_VIN1A_HSYNC0_IN,Delay Select Value in binary coded form for cfg_vin1a_hsync0_in interface" hexmask.long.word 0x9E8 18.--31. 1. "RESERVED," bitfld.long 0x9E8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9E8 11. "RESERVED," "0,1" bitfld.long 0x9E8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9E8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9EC "CFG_VIN1A_HSYNC0_OEN,Delay Select Value in binary coded form for cfg_vin1a_hsync0_oen interface" hexmask.long.word 0x9EC 18.--31. 1. "RESERVED," bitfld.long 0x9EC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9EC 11. "RESERVED," "0,1" bitfld.long 0x9EC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9EC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9F0 "CFG_VIN1A_HSYNC0_OUT,Delay Select Value in binary coded form for cfg_vin1a_hsync0_out interface" hexmask.long.word 0x9F0 18.--31. 1. "RESERVED," bitfld.long 0x9F0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9F0 11. "RESERVED," "0,1" bitfld.long 0x9F0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9F0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9F4 "CFG_VIN1A_VSYNC0_IN,Delay Select Value in binary coded form for cfg_vin1a_vsync0_in interface" hexmask.long.word 0x9F4 18.--31. 1. "RESERVED," bitfld.long 0x9F4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9F4 11. "RESERVED," "0,1" bitfld.long 0x9F4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9F4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9F8 "CFG_VIN1A_VSYNC0_OEN,Delay Select Value in binary coded form for cfg_vin1a_vsync0_oen interface" hexmask.long.word 0x9F8 18.--31. 1. "RESERVED," bitfld.long 0x9F8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9F8 11. "RESERVED," "0,1" bitfld.long 0x9F8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9F8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0x9FC "CFG_VIN1A_VSYNC0_OUT,Delay Select Value in binary coded form for cfg_vin1a_vsync0_out interface" hexmask.long.word 0x9FC 18.--31. 1. "RESERVED," bitfld.long 0x9FC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x9FC 11. "RESERVED," "0,1" bitfld.long 0x9FC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0x9FC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA00 "CFG_VIN1B_CLK1_IN,Delay Select Value in binary coded form for cfg_vin1b_clk1_in interface" hexmask.long.word 0xA00 18.--31. 1. "RESERVED," bitfld.long 0xA00 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA00 11. "RESERVED," "0,1" bitfld.long 0xA00 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA00 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA04 "CFG_VIN1B_CLK1_OEN,Delay Select Value in binary coded form for cfg_vin1b_clk1_oen interface" hexmask.long.word 0xA04 18.--31. 1. "RESERVED," bitfld.long 0xA04 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA04 11. "RESERVED," "0,1" bitfld.long 0xA04 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA04 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA08 "CFG_VIN1B_CLK1_OUT,Delay Select Value in binary coded form for cfg_vin1b_clk1_out interface" hexmask.long.word 0xA08 18.--31. 1. "RESERVED," bitfld.long 0xA08 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA08 11. "RESERVED," "0,1" bitfld.long 0xA08 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA08 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA0C "CFG_VIN2A_CLK0_IN,Delay Select Value in binary coded form for cfg_vin2a_clk0_in interface" hexmask.long.word 0xA0C 18.--31. 1. "RESERVED," bitfld.long 0xA0C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA0C 11. "RESERVED," "0,1" bitfld.long 0xA0C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA0C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA10 "CFG_VIN2A_CLK0_OEN,Delay Select Value in binary coded form for cfg_vin2a_clk0_oen interface" hexmask.long.word 0xA10 18.--31. 1. "RESERVED," bitfld.long 0xA10 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA10 11. "RESERVED," "0,1" bitfld.long 0xA10 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA10 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA14 "CFG_VIN2A_CLK0_OUT,Delay Select Value in binary coded form for cfg_vin2a_clk0_out interface" hexmask.long.word 0xA14 18.--31. 1. "RESERVED," bitfld.long 0xA14 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA14 11. "RESERVED," "0,1" bitfld.long 0xA14 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA14 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA18 "CFG_VIN2A_D0_IN,Delay Select Value in binary coded form for cfg_vin2a_d0_in interface" hexmask.long.word 0xA18 18.--31. 1. "RESERVED," bitfld.long 0xA18 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA18 11. "RESERVED," "0,1" bitfld.long 0xA18 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA18 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA1C "CFG_VIN2A_D0_OEN,Delay Select Value in binary coded form for cfg_vin2a_d0_oen interface" hexmask.long.word 0xA1C 18.--31. 1. "RESERVED," bitfld.long 0xA1C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA1C 11. "RESERVED," "0,1" bitfld.long 0xA1C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA1C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA20 "CFG_VIN2A_D0_OUT,Delay Select Value in binary coded form for cfg_vin2a_d0_out interface" hexmask.long.word 0xA20 18.--31. 1. "RESERVED," bitfld.long 0xA20 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA20 11. "RESERVED," "0,1" bitfld.long 0xA20 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA20 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA24 "CFG_VIN2A_D10_IN,Delay Select Value in binary coded form for cfg_vin2a_d10_in interface" hexmask.long.word 0xA24 18.--31. 1. "RESERVED," bitfld.long 0xA24 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA24 11. "RESERVED," "0,1" bitfld.long 0xA24 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA24 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA28 "CFG_VIN2A_D10_OEN,Delay Select Value in binary coded form for cfg_vin2a_d10_oen interface" hexmask.long.word 0xA28 18.--31. 1. "RESERVED," bitfld.long 0xA28 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA28 11. "RESERVED," "0,1" bitfld.long 0xA28 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA28 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA2C "CFG_VIN2A_D10_OUT,Delay Select Value in binary coded form for cfg_vin2a_d10_out interface" hexmask.long.word 0xA2C 18.--31. 1. "RESERVED," bitfld.long 0xA2C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA2C 11. "RESERVED," "0,1" bitfld.long 0xA2C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA2C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA30 "CFG_VIN2A_D11_IN,Delay Select Value in binary coded form for cfg_vin2a_d11_in interface" hexmask.long.word 0xA30 18.--31. 1. "RESERVED," bitfld.long 0xA30 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA30 11. "RESERVED," "0,1" bitfld.long 0xA30 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA30 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA34 "CFG_VIN2A_D11_OEN,Delay Select Value in binary coded form for cfg_vin2a_d11_oen interface" hexmask.long.word 0xA34 18.--31. 1. "RESERVED," bitfld.long 0xA34 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA34 11. "RESERVED," "0,1" bitfld.long 0xA34 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA34 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA38 "CFG_VIN2A_D11_OUT,Delay Select Value in binary coded form for cfg_vin2a_d11_out interface" hexmask.long.word 0xA38 18.--31. 1. "RESERVED," bitfld.long 0xA38 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA38 11. "RESERVED," "0,1" bitfld.long 0xA38 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA38 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA3C "CFG_VIN2A_D12_IN,Delay Select Value in binary coded form for cfg_vin2a_d12_in interface" hexmask.long.word 0xA3C 18.--31. 1. "RESERVED," bitfld.long 0xA3C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA3C 11. "RESERVED," "0,1" bitfld.long 0xA3C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA3C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA40 "CFG_VIN2A_D12_OEN,Delay Select Value in binary coded form for cfg_vin2a_d12_oen interface" hexmask.long.word 0xA40 18.--31. 1. "RESERVED," bitfld.long 0xA40 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA40 11. "RESERVED," "0,1" bitfld.long 0xA40 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA40 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA44 "CFG_VIN2A_D12_OUT,Delay Select Value in binary coded form for cfg_vin2a_d12_out interface" hexmask.long.word 0xA44 18.--31. 1. "RESERVED," bitfld.long 0xA44 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA44 11. "RESERVED," "0,1" bitfld.long 0xA44 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA44 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA48 "CFG_VIN2A_D13_IN,Delay Select Value in binary coded form for cfg_vin2a_d13_in interface" hexmask.long.word 0xA48 18.--31. 1. "RESERVED," bitfld.long 0xA48 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA48 11. "RESERVED," "0,1" bitfld.long 0xA48 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA48 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA4C "CFG_VIN2A_D13_OEN,Delay Select Value in binary coded form for cfg_vin2a_d13_oen interface" hexmask.long.word 0xA4C 18.--31. 1. "RESERVED," bitfld.long 0xA4C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA4C 11. "RESERVED," "0,1" bitfld.long 0xA4C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA4C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA50 "CFG_VIN2A_D13_OUT,Delay Select Value in binary coded form for cfg_vin2a_d13_out interface" hexmask.long.word 0xA50 18.--31. 1. "RESERVED," bitfld.long 0xA50 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA50 11. "RESERVED," "0,1" bitfld.long 0xA50 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA50 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA54 "CFG_VIN2A_D14_IN,Delay Select Value in binary coded form for cfg_vin2a_d14_in interface" hexmask.long.word 0xA54 18.--31. 1. "RESERVED," bitfld.long 0xA54 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA54 11. "RESERVED," "0,1" bitfld.long 0xA54 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA54 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA58 "CFG_VIN2A_D14_OEN,Delay Select Value in binary coded form for cfg_vin2a_d14_oen interface" hexmask.long.word 0xA58 18.--31. 1. "RESERVED," bitfld.long 0xA58 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA58 11. "RESERVED," "0,1" bitfld.long 0xA58 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA58 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA5C "CFG_VIN2A_D14_OUT,Delay Select Value in binary coded form for cfg_vin2a_d14_out interface" hexmask.long.word 0xA5C 18.--31. 1. "RESERVED," bitfld.long 0xA5C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA5C 11. "RESERVED," "0,1" bitfld.long 0xA5C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA5C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA60 "CFG_VIN2A_D15_IN,Delay Select Value in binary coded form for cfg_vin2a_d15_in interface" hexmask.long.word 0xA60 18.--31. 1. "RESERVED," bitfld.long 0xA60 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA60 11. "RESERVED," "0,1" bitfld.long 0xA60 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA60 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA64 "CFG_VIN2A_D15_OEN,Delay Select Value in binary coded form for cfg_vin2a_d15_oen interface" hexmask.long.word 0xA64 18.--31. 1. "RESERVED," bitfld.long 0xA64 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA64 11. "RESERVED," "0,1" bitfld.long 0xA64 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA64 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA68 "CFG_VIN2A_D15_OUT,Delay Select Value in binary coded form for cfg_vin2a_d15_out interface" hexmask.long.word 0xA68 18.--31. 1. "RESERVED," bitfld.long 0xA68 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA68 11. "RESERVED," "0,1" bitfld.long 0xA68 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA68 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA6C "CFG_VIN2A_D16_IN,Delay Select Value in binary coded form for cfg_vin2a_d16_in interface" hexmask.long.word 0xA6C 18.--31. 1. "RESERVED," bitfld.long 0xA6C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA6C 11. "RESERVED," "0,1" bitfld.long 0xA6C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA6C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA70 "CFG_VIN2A_D16_OEN,Delay Select Value in binary coded form for cfg_vin2a_d16_oen interface" hexmask.long.word 0xA70 18.--31. 1. "RESERVED," bitfld.long 0xA70 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA70 11. "RESERVED," "0,1" bitfld.long 0xA70 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA70 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA74 "CFG_VIN2A_D16_OUT,Delay Select Value in binary coded form for cfg_vin2a_d16_out interface" hexmask.long.word 0xA74 18.--31. 1. "RESERVED," bitfld.long 0xA74 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA74 11. "RESERVED," "0,1" bitfld.long 0xA74 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA74 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA78 "CFG_VIN2A_D17_IN,Delay Select Value in binary coded form for cfg_vin2a_d17_in interface" hexmask.long.word 0xA78 18.--31. 1. "RESERVED," bitfld.long 0xA78 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA78 11. "RESERVED," "0,1" bitfld.long 0xA78 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA78 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA7C "CFG_VIN2A_D17_OEN,Delay Select Value in binary coded form for cfg_vin2a_d17_oen interface" hexmask.long.word 0xA7C 18.--31. 1. "RESERVED," bitfld.long 0xA7C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA7C 11. "RESERVED," "0,1" bitfld.long 0xA7C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA7C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA80 "CFG_VIN2A_D17_OUT,Delay Select Value in binary coded form for cfg_vin2a_d17_out interface" hexmask.long.word 0xA80 18.--31. 1. "RESERVED," bitfld.long 0xA80 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA80 11. "RESERVED," "0,1" bitfld.long 0xA80 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA80 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA84 "CFG_VIN2A_D18_IN,Delay Select Value in binary coded form for cfg_vin2a_d18_in interface" hexmask.long.word 0xA84 18.--31. 1. "RESERVED," bitfld.long 0xA84 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA84 11. "RESERVED," "0,1" bitfld.long 0xA84 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA84 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA88 "CFG_VIN2A_D18_OEN,Delay Select Value in binary coded form for cfg_vin2a_d18_oen interface" hexmask.long.word 0xA88 18.--31. 1. "RESERVED," bitfld.long 0xA88 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA88 11. "RESERVED," "0,1" bitfld.long 0xA88 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA88 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA8C "CFG_VIN2A_D18_OUT,Delay Select Value in binary coded form for cfg_vin2a_d18_out interface" hexmask.long.word 0xA8C 18.--31. 1. "RESERVED," bitfld.long 0xA8C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA8C 11. "RESERVED," "0,1" bitfld.long 0xA8C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA8C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA90 "CFG_VIN2A_D19_IN,Delay Select Value in binary coded form for cfg_vin2a_d19_in interface" hexmask.long.word 0xA90 18.--31. 1. "RESERVED," bitfld.long 0xA90 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA90 11. "RESERVED," "0,1" bitfld.long 0xA90 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA90 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA94 "CFG_VIN2A_D19_OEN,Delay Select Value in binary coded form for cfg_vin2a_d19_oen interface" hexmask.long.word 0xA94 18.--31. 1. "RESERVED," bitfld.long 0xA94 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA94 11. "RESERVED," "0,1" bitfld.long 0xA94 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA94 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA98 "CFG_VIN2A_D19_OUT,Delay Select Value in binary coded form for cfg_vin2a_d19_out interface" hexmask.long.word 0xA98 18.--31. 1. "RESERVED," bitfld.long 0xA98 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA98 11. "RESERVED," "0,1" bitfld.long 0xA98 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA98 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xA9C "CFG_VIN2A_D1_IN,Delay Select Value in binary coded form for cfg_vin2a_d1_in interface" hexmask.long.word 0xA9C 18.--31. 1. "RESERVED," bitfld.long 0xA9C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xA9C 11. "RESERVED," "0,1" bitfld.long 0xA9C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xA9C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAA0 "CFG_VIN2A_D1_OEN,Delay Select Value in binary coded form for cfg_vin2a_d1_oen interface" hexmask.long.word 0xAA0 18.--31. 1. "RESERVED," bitfld.long 0xAA0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAA0 11. "RESERVED," "0,1" bitfld.long 0xAA0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAA0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAA4 "CFG_VIN2A_D1_OUT,Delay Select Value in binary coded form for cfg_vin2a_d1_out interface" hexmask.long.word 0xAA4 18.--31. 1. "RESERVED," bitfld.long 0xAA4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAA4 11. "RESERVED," "0,1" bitfld.long 0xAA4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAA4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAA8 "CFG_VIN2A_D20_IN,Delay Select Value in binary coded form for cfg_vin2a_d20_in interface" hexmask.long.word 0xAA8 18.--31. 1. "RESERVED," bitfld.long 0xAA8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAA8 11. "RESERVED," "0,1" bitfld.long 0xAA8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAA8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAAC "CFG_VIN2A_D20_OEN,Delay Select Value in binary coded form for cfg_vin2a_d20_oen interface" hexmask.long.word 0xAAC 18.--31. 1. "RESERVED," bitfld.long 0xAAC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAAC 11. "RESERVED," "0,1" bitfld.long 0xAAC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAAC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAB0 "CFG_VIN2A_D20_OUT,Delay Select Value in binary coded form for cfg_vin2a_d20_out interface" hexmask.long.word 0xAB0 18.--31. 1. "RESERVED," bitfld.long 0xAB0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAB0 11. "RESERVED," "0,1" bitfld.long 0xAB0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAB0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAB4 "CFG_VIN2A_D21_IN,Delay Select Value in binary coded form for cfg_vin2a_d21_in interface" hexmask.long.word 0xAB4 18.--31. 1. "RESERVED," bitfld.long 0xAB4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAB4 11. "RESERVED," "0,1" bitfld.long 0xAB4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAB4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAB8 "CFG_VIN2A_D21_OEN,Delay Select Value in binary coded form for cfg_vin2a_d21_oen interface" hexmask.long.word 0xAB8 18.--31. 1. "RESERVED," bitfld.long 0xAB8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAB8 11. "RESERVED," "0,1" bitfld.long 0xAB8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAB8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xABC "CFG_VIN2A_D21_OUT,Delay Select Value in binary coded form for cfg_vin2a_d21_out interface" hexmask.long.word 0xABC 18.--31. 1. "RESERVED," bitfld.long 0xABC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xABC 11. "RESERVED," "0,1" bitfld.long 0xABC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xABC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAC0 "CFG_VIN2A_D22_IN,Delay Select Value in binary coded form for cfg_vin2a_d22_in interface" hexmask.long.word 0xAC0 18.--31. 1. "RESERVED," bitfld.long 0xAC0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAC0 11. "RESERVED," "0,1" bitfld.long 0xAC0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAC0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAC4 "CFG_VIN2A_D22_OEN,Delay Select Value in binary coded form for cfg_vin2a_d22_oen interface" hexmask.long.word 0xAC4 18.--31. 1. "RESERVED," bitfld.long 0xAC4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAC4 11. "RESERVED," "0,1" bitfld.long 0xAC4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAC4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAC8 "CFG_VIN2A_D22_OUT,Delay Select Value in binary coded form for cfg_vin2a_d22_out interface" hexmask.long.word 0xAC8 18.--31. 1. "RESERVED," bitfld.long 0xAC8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAC8 11. "RESERVED," "0,1" bitfld.long 0xAC8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAC8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xACC "CFG_VIN2A_D23_IN,Delay Select Value in binary coded form for cfg_vin2a_d23_in interface" hexmask.long.word 0xACC 18.--31. 1. "RESERVED," bitfld.long 0xACC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xACC 11. "RESERVED," "0,1" bitfld.long 0xACC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xACC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAD0 "CFG_VIN2A_D23_OEN,Delay Select Value in binary coded form for cfg_vin2a_d23_oen interface" hexmask.long.word 0xAD0 18.--31. 1. "RESERVED," bitfld.long 0xAD0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAD0 11. "RESERVED," "0,1" bitfld.long 0xAD0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAD0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAD4 "CFG_VIN2A_D23_OUT,Delay Select Value in binary coded form for cfg_vin2a_d23_out interface" hexmask.long.word 0xAD4 18.--31. 1. "RESERVED," bitfld.long 0xAD4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAD4 11. "RESERVED," "0,1" bitfld.long 0xAD4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAD4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAD8 "CFG_VIN2A_D2_IN,Delay Select Value in binary coded form for cfg_vin2a_d2_in interface" hexmask.long.word 0xAD8 18.--31. 1. "RESERVED," bitfld.long 0xAD8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAD8 11. "RESERVED," "0,1" bitfld.long 0xAD8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAD8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xADC "CFG_VIN2A_D2_OEN,Delay Select Value in binary coded form for cfg_vin2a_d2_oen interface" hexmask.long.word 0xADC 18.--31. 1. "RESERVED," bitfld.long 0xADC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xADC 11. "RESERVED," "0,1" bitfld.long 0xADC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xADC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAE0 "CFG_VIN2A_D2_OUT,Delay Select Value in binary coded form for cfg_vin2a_d2_out interface" hexmask.long.word 0xAE0 18.--31. 1. "RESERVED," bitfld.long 0xAE0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAE0 11. "RESERVED," "0,1" bitfld.long 0xAE0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAE0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAE4 "CFG_VIN2A_D3_IN,Delay Select Value in binary coded form for cfg_vin2a_d3_in interface" hexmask.long.word 0xAE4 18.--31. 1. "RESERVED," bitfld.long 0xAE4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAE4 11. "RESERVED," "0,1" bitfld.long 0xAE4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAE4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAE8 "CFG_VIN2A_D3_OEN,Delay Select Value in binary coded form for cfg_vin2a_d3_oen interface" hexmask.long.word 0xAE8 18.--31. 1. "RESERVED," bitfld.long 0xAE8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAE8 11. "RESERVED," "0,1" bitfld.long 0xAE8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAE8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAEC "CFG_VIN2A_D3_OUT,Delay Select Value in binary coded form for cfg_vin2a_d3_out interface" hexmask.long.word 0xAEC 18.--31. 1. "RESERVED," bitfld.long 0xAEC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAEC 11. "RESERVED," "0,1" bitfld.long 0xAEC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAEC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAF0 "CFG_VIN2A_D4_IN,Delay Select Value in binary coded form for cfg_vin2a_d4_in interface" hexmask.long.word 0xAF0 18.--31. 1. "RESERVED," bitfld.long 0xAF0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAF0 11. "RESERVED," "0,1" bitfld.long 0xAF0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAF0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAF4 "CFG_VIN2A_D4_OEN,Delay Select Value in binary coded form for cfg_vin2a_d4_oen interface" hexmask.long.word 0xAF4 18.--31. 1. "RESERVED," bitfld.long 0xAF4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAF4 11. "RESERVED," "0,1" bitfld.long 0xAF4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAF4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAF8 "CFG_VIN2A_D4_OUT,Delay Select Value in binary coded form for cfg_vin2a_d4_out interface" hexmask.long.word 0xAF8 18.--31. 1. "RESERVED," bitfld.long 0xAF8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAF8 11. "RESERVED," "0,1" bitfld.long 0xAF8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAF8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xAFC "CFG_VIN2A_D5_IN,Delay Select Value in binary coded form for cfg_vin2a_d5_in interface" hexmask.long.word 0xAFC 18.--31. 1. "RESERVED," bitfld.long 0xAFC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xAFC 11. "RESERVED," "0,1" bitfld.long 0xAFC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xAFC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB00 "CFG_VIN2A_D5_OEN,Delay Select Value in binary coded form for cfg_vin2a_d5_oen interface" hexmask.long.word 0xB00 18.--31. 1. "RESERVED," bitfld.long 0xB00 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB00 11. "RESERVED," "0,1" bitfld.long 0xB00 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB00 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB04 "CFG_VIN2A_D5_OUT,Delay Select Value in binary coded form for cfg_vin2a_d5_out interface" hexmask.long.word 0xB04 18.--31. 1. "RESERVED," bitfld.long 0xB04 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB04 11. "RESERVED," "0,1" bitfld.long 0xB04 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB04 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB08 "CFG_VIN2A_D6_IN,Delay Select Value in binary coded form for cfg_vin2a_d6_in interface" hexmask.long.word 0xB08 18.--31. 1. "RESERVED," bitfld.long 0xB08 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB08 11. "RESERVED," "0,1" bitfld.long 0xB08 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB08 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB0C "CFG_VIN2A_D6_OEN,Delay Select Value in binary coded form for cfg_vin2a_d6_oen interface" hexmask.long.word 0xB0C 18.--31. 1. "RESERVED," bitfld.long 0xB0C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB0C 11. "RESERVED," "0,1" bitfld.long 0xB0C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB0C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB10 "CFG_VIN2A_D6_OUT,Delay Select Value in binary coded form for cfg_vin2a_d6_out interface" hexmask.long.word 0xB10 18.--31. 1. "RESERVED," bitfld.long 0xB10 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB10 11. "RESERVED," "0,1" bitfld.long 0xB10 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB10 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB14 "CFG_VIN2A_D7_IN,Delay Select Value in binary coded form for cfg_vin2a_d7_in interface" hexmask.long.word 0xB14 18.--31. 1. "RESERVED," bitfld.long 0xB14 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB14 11. "RESERVED," "0,1" bitfld.long 0xB14 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB14 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB18 "CFG_VIN2A_D7_OEN,Delay Select Value in binary coded form for cfg_vin2a_d7_oen interface" hexmask.long.word 0xB18 18.--31. 1. "RESERVED," bitfld.long 0xB18 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB18 11. "RESERVED," "0,1" bitfld.long 0xB18 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB18 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB1C "CFG_VIN2A_D7_OUT,Delay Select Value in binary coded form for cfg_vin2a_d7_out interface" hexmask.long.word 0xB1C 18.--31. 1. "RESERVED," bitfld.long 0xB1C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB1C 11. "RESERVED," "0,1" bitfld.long 0xB1C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB1C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB20 "CFG_VIN2A_D8_IN,Delay Select Value in binary coded form for cfg_vin2a_d8_in interface" hexmask.long.word 0xB20 18.--31. 1. "RESERVED," bitfld.long 0xB20 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB20 11. "RESERVED," "0,1" bitfld.long 0xB20 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB20 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB24 "CFG_VIN2A_D8_OEN,Delay Select Value in binary coded form for cfg_vin2a_d8_oen interface" hexmask.long.word 0xB24 18.--31. 1. "RESERVED," bitfld.long 0xB24 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB24 11. "RESERVED," "0,1" bitfld.long 0xB24 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB24 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB28 "CFG_VIN2A_D8_OUT,Delay Select Value in binary coded form for cfg_vin2a_d8_out interface" hexmask.long.word 0xB28 18.--31. 1. "RESERVED," bitfld.long 0xB28 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB28 11. "RESERVED," "0,1" bitfld.long 0xB28 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB28 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB2C "CFG_VIN2A_D9_IN,Delay Select Value in binary coded form for cfg_vin2a_d9_in interface" hexmask.long.word 0xB2C 18.--31. 1. "RESERVED," bitfld.long 0xB2C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB2C 11. "RESERVED," "0,1" bitfld.long 0xB2C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB2C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB30 "CFG_VIN2A_D9_OEN,Delay Select Value in binary coded form for cfg_vin2a_d9_oen interface" hexmask.long.word 0xB30 18.--31. 1. "RESERVED," bitfld.long 0xB30 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB30 11. "RESERVED," "0,1" bitfld.long 0xB30 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB30 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB34 "CFG_VIN2A_D9_OUT,Delay Select Value in binary coded form for cfg_vin2a_d9_out interface" hexmask.long.word 0xB34 18.--31. 1. "RESERVED," bitfld.long 0xB34 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB34 11. "RESERVED," "0,1" bitfld.long 0xB34 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB34 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB38 "CFG_VIN2A_DE0_IN,Delay Select Value in binary coded form for cfg_vin2a_de0_in interface" hexmask.long.word 0xB38 18.--31. 1. "RESERVED," bitfld.long 0xB38 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB38 11. "RESERVED," "0,1" bitfld.long 0xB38 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB38 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB3C "CFG_VIN2A_DE0_OEN,Delay Select Value in binary coded form for cfg_vin2a_de0_oen interface" hexmask.long.word 0xB3C 18.--31. 1. "RESERVED," bitfld.long 0xB3C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB3C 11. "RESERVED," "0,1" bitfld.long 0xB3C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB3C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB40 "CFG_VIN2A_DE0_OUT,Delay Select Value in binary coded form for cfg_vin2a_de0_out interface" hexmask.long.word 0xB40 18.--31. 1. "RESERVED," bitfld.long 0xB40 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB40 11. "RESERVED," "0,1" bitfld.long 0xB40 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB40 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB44 "CFG_VIN2A_FLD0_IN,Delay Select Value in binary coded form for cfg_vin2a_fld0_in interface" hexmask.long.word 0xB44 18.--31. 1. "RESERVED," bitfld.long 0xB44 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB44 11. "RESERVED," "0,1" bitfld.long 0xB44 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB44 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB48 "CFG_VIN2A_FLD0_OEN,Delay Select Value in binary coded form for cfg_vin2a_fld0_oen interface" hexmask.long.word 0xB48 18.--31. 1. "RESERVED," bitfld.long 0xB48 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB48 11. "RESERVED," "0,1" bitfld.long 0xB48 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB48 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB4C "CFG_VIN2A_FLD0_OUT,Delay Select Value in binary coded form for cfg_vin2a_fld0_out interface" hexmask.long.word 0xB4C 18.--31. 1. "RESERVED," bitfld.long 0xB4C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB4C 11. "RESERVED," "0,1" bitfld.long 0xB4C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB4C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB50 "CFG_VIN2A_HSYNC0_IN,Delay Select Value in binary coded form for cfg_vin2a_hsync0_in interface" hexmask.long.word 0xB50 18.--31. 1. "RESERVED," bitfld.long 0xB50 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB50 11. "RESERVED," "0,1" bitfld.long 0xB50 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB50 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB54 "CFG_VIN2A_HSYNC0_OEN,Delay Select Value in binary coded form for cfg_vin2a_hsync0_oen interface" hexmask.long.word 0xB54 18.--31. 1. "RESERVED," bitfld.long 0xB54 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB54 11. "RESERVED," "0,1" bitfld.long 0xB54 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB54 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB58 "CFG_VIN2A_HSYNC0_OUT,Delay Select Value in binary coded form for cfg_vin2a_hsync0_out interface" hexmask.long.word 0xB58 18.--31. 1. "RESERVED," bitfld.long 0xB58 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB58 11. "RESERVED," "0,1" bitfld.long 0xB58 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB58 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB5C "CFG_VIN2A_VSYNC0_IN,Delay Select Value in binary coded form for cfg_vin2a_vsync0_in interface" hexmask.long.word 0xB5C 18.--31. 1. "RESERVED," bitfld.long 0xB5C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB5C 11. "RESERVED," "0,1" bitfld.long 0xB5C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB5C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB60 "CFG_VIN2A_VSYNC0_OEN,Delay Select Value in binary coded form for cfg_vin2a_vsync0_oen interface" hexmask.long.word 0xB60 18.--31. 1. "RESERVED," bitfld.long 0xB60 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB60 11. "RESERVED," "0,1" bitfld.long 0xB60 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB60 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB64 "CFG_VIN2A_VSYNC0_OUT,Delay Select Value in binary coded form for cfg_vin2a_vsync0_out interface" hexmask.long.word 0xB64 18.--31. 1. "RESERVED," bitfld.long 0xB64 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB64 11. "RESERVED," "0,1" bitfld.long 0xB64 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB64 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB68 "CFG_VOUT1_CLK_IN,Delay Select Value in binary coded form for cfg_vout1_clk_in interface" hexmask.long.word 0xB68 18.--31. 1. "RESERVED," bitfld.long 0xB68 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB68 11. "RESERVED," "0,1" bitfld.long 0xB68 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB68 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB6C "CFG_VOUT1_CLK_OEN,Delay Select Value in binary coded form for cfg_vout1_clk_oen interface" hexmask.long.word 0xB6C 18.--31. 1. "RESERVED," bitfld.long 0xB6C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB6C 11. "RESERVED," "0,1" bitfld.long 0xB6C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB6C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB70 "CFG_VOUT1_CLK_OUT,Delay Select Value in binary coded form for cfg_vout1_clk_out interface" hexmask.long.word 0xB70 18.--31. 1. "RESERVED," bitfld.long 0xB70 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB70 11. "RESERVED," "0,1" bitfld.long 0xB70 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB70 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB74 "CFG_VOUT1_D0_IN,Delay Select Value in binary coded form for cfg_vout1_d0_in interface" hexmask.long.word 0xB74 18.--31. 1. "RESERVED," bitfld.long 0xB74 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB74 11. "RESERVED," "0,1" bitfld.long 0xB74 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB74 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB78 "CFG_VOUT1_D0_OEN,Delay Select Value in binary coded form for cfg_vout1_d0_oen interface" hexmask.long.word 0xB78 18.--31. 1. "RESERVED," bitfld.long 0xB78 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB78 11. "RESERVED," "0,1" bitfld.long 0xB78 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB78 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB7C "CFG_VOUT1_D0_OUT,Delay Select Value in binary coded form for cfg_vout1_d0_out interface" hexmask.long.word 0xB7C 18.--31. 1. "RESERVED," bitfld.long 0xB7C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB7C 11. "RESERVED," "0,1" bitfld.long 0xB7C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB7C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB80 "CFG_VOUT1_D10_IN,Delay Select Value in binary coded form for cfg_vout1_d10_in interface" hexmask.long.word 0xB80 18.--31. 1. "RESERVED," bitfld.long 0xB80 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB80 11. "RESERVED," "0,1" bitfld.long 0xB80 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB80 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB84 "CFG_VOUT1_D10_OEN,Delay Select Value in binary coded form for cfg_vout1_d10_oen interface" hexmask.long.word 0xB84 18.--31. 1. "RESERVED," bitfld.long 0xB84 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB84 11. "RESERVED," "0,1" bitfld.long 0xB84 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB84 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB88 "CFG_VOUT1_D10_OUT,Delay Select Value in binary coded form for cfg_vout1_d10_out interface" hexmask.long.word 0xB88 18.--31. 1. "RESERVED," bitfld.long 0xB88 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB88 11. "RESERVED," "0,1" bitfld.long 0xB88 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB88 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB8C "CFG_VOUT1_D11_IN,Delay Select Value in binary coded form for cfg_vout1_d11_in interface" hexmask.long.word 0xB8C 18.--31. 1. "RESERVED," bitfld.long 0xB8C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB8C 11. "RESERVED," "0,1" bitfld.long 0xB8C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB8C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB90 "CFG_VOUT1_D11_OEN,Delay Select Value in binary coded form for cfg_vout1_d11_oen interface" hexmask.long.word 0xB90 18.--31. 1. "RESERVED," bitfld.long 0xB90 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB90 11. "RESERVED," "0,1" bitfld.long 0xB90 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB90 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB94 "CFG_VOUT1_D11_OUT,Delay Select Value in binary coded form for cfg_vout1_d11_out interface" hexmask.long.word 0xB94 18.--31. 1. "RESERVED," bitfld.long 0xB94 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB94 11. "RESERVED," "0,1" bitfld.long 0xB94 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB94 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB98 "CFG_VOUT1_D12_IN,Delay Select Value in binary coded form for cfg_vout1_d12_in interface" hexmask.long.word 0xB98 18.--31. 1. "RESERVED," bitfld.long 0xB98 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB98 11. "RESERVED," "0,1" bitfld.long 0xB98 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB98 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xB9C "CFG_VOUT1_D12_OEN,Delay Select Value in binary coded form for cfg_vout1_d12_oen interface" hexmask.long.word 0xB9C 18.--31. 1. "RESERVED," bitfld.long 0xB9C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xB9C 11. "RESERVED," "0,1" bitfld.long 0xB9C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xB9C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBA0 "CFG_VOUT1_D12_OUT,Delay Select Value in binary coded form for cfg_vout1_d12_out interface" hexmask.long.word 0xBA0 18.--31. 1. "RESERVED," bitfld.long 0xBA0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBA0 11. "RESERVED," "0,1" bitfld.long 0xBA0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBA0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBA4 "CFG_VOUT1_D13_IN,Delay Select Value in binary coded form for cfg_vout1_d13_in interface" hexmask.long.word 0xBA4 18.--31. 1. "RESERVED," bitfld.long 0xBA4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBA4 11. "RESERVED," "0,1" bitfld.long 0xBA4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBA4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBA8 "CFG_VOUT1_D13_OEN,Delay Select Value in binary coded form for cfg_vout1_d13_oen interface" hexmask.long.word 0xBA8 18.--31. 1. "RESERVED," bitfld.long 0xBA8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBA8 11. "RESERVED," "0,1" bitfld.long 0xBA8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBA8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBAC "CFG_VOUT1_D13_OUT,Delay Select Value in binary coded form for cfg_vout1_d13_out interface" hexmask.long.word 0xBAC 18.--31. 1. "RESERVED," bitfld.long 0xBAC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBAC 11. "RESERVED," "0,1" bitfld.long 0xBAC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBAC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBB0 "CFG_VOUT1_D14_IN,Delay Select Value in binary coded form for cfg_vout1_d14_in interface" hexmask.long.word 0xBB0 18.--31. 1. "RESERVED," bitfld.long 0xBB0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBB0 11. "RESERVED," "0,1" bitfld.long 0xBB0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBB0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBB4 "CFG_VOUT1_D14_OEN,Delay Select Value in binary coded form for cfg_vout1_d14_oen interface" hexmask.long.word 0xBB4 18.--31. 1. "RESERVED," bitfld.long 0xBB4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBB4 11. "RESERVED," "0,1" bitfld.long 0xBB4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBB4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBB8 "CFG_VOUT1_D14_OUT,Delay Select Value in binary coded form for cfg_vout1_d14_out interface" hexmask.long.word 0xBB8 18.--31. 1. "RESERVED," bitfld.long 0xBB8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBB8 11. "RESERVED," "0,1" bitfld.long 0xBB8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBB8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBBC "CFG_VOUT1_D15_IN,Delay Select Value in binary coded form for cfg_vout1_d15_in interface" hexmask.long.word 0xBBC 18.--31. 1. "RESERVED," bitfld.long 0xBBC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBBC 11. "RESERVED," "0,1" bitfld.long 0xBBC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBBC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBC0 "CFG_VOUT1_D15_OEN,Delay Select Value in binary coded form for cfg_vout1_d15_oen interface" hexmask.long.word 0xBC0 18.--31. 1. "RESERVED," bitfld.long 0xBC0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBC0 11. "RESERVED," "0,1" bitfld.long 0xBC0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBC0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBC4 "CFG_VOUT1_D15_OUT,Delay Select Value in binary coded form for cfg_vout1_d15_out interface" hexmask.long.word 0xBC4 18.--31. 1. "RESERVED," bitfld.long 0xBC4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBC4 11. "RESERVED," "0,1" bitfld.long 0xBC4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBC4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBC8 "CFG_VOUT1_D16_IN,Delay Select Value in binary coded form for cfg_vout1_d16_in interface" hexmask.long.word 0xBC8 18.--31. 1. "RESERVED," bitfld.long 0xBC8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBC8 11. "RESERVED," "0,1" bitfld.long 0xBC8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBC8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBCC "CFG_VOUT1_D16_OEN,Delay Select Value in binary coded form for cfg_vout1_d16_oen interface" hexmask.long.word 0xBCC 18.--31. 1. "RESERVED," bitfld.long 0xBCC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBCC 11. "RESERVED," "0,1" bitfld.long 0xBCC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBCC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBD0 "CFG_VOUT1_D16_OUT,Delay Select Value in binary coded form for cfg_vout1_d16_out interface" hexmask.long.word 0xBD0 18.--31. 1. "RESERVED," bitfld.long 0xBD0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBD0 11. "RESERVED," "0,1" bitfld.long 0xBD0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBD0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBD4 "CFG_VOUT1_D17_IN,Delay Select Value in binary coded form for cfg_vout1_d17_in interface" hexmask.long.word 0xBD4 18.--31. 1. "RESERVED," bitfld.long 0xBD4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBD4 11. "RESERVED," "0,1" bitfld.long 0xBD4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBD4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBD8 "CFG_VOUT1_D17_OEN,Delay Select Value in binary coded form for cfg_vout1_d17_oen interface" hexmask.long.word 0xBD8 18.--31. 1. "RESERVED," bitfld.long 0xBD8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBD8 11. "RESERVED," "0,1" bitfld.long 0xBD8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBD8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBDC "CFG_VOUT1_D17_OUT,Delay Select Value in binary coded form for cfg_vout1_d17_out interface" hexmask.long.word 0xBDC 18.--31. 1. "RESERVED," bitfld.long 0xBDC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBDC 11. "RESERVED," "0,1" bitfld.long 0xBDC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBDC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBE0 "CFG_VOUT1_D18_IN,Delay Select Value in binary coded form for cfg_vout1_d18_in interface" hexmask.long.word 0xBE0 18.--31. 1. "RESERVED," bitfld.long 0xBE0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBE0 11. "RESERVED," "0,1" bitfld.long 0xBE0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBE0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBE4 "CFG_VOUT1_D18_OEN,Delay Select Value in binary coded form for cfg_vout1_d18_oen interface" hexmask.long.word 0xBE4 18.--31. 1. "RESERVED," bitfld.long 0xBE4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBE4 11. "RESERVED," "0,1" bitfld.long 0xBE4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBE4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBE8 "CFG_VOUT1_D18_OUT,Delay Select Value in binary coded form for cfg_vout1_d18_out interface" hexmask.long.word 0xBE8 18.--31. 1. "RESERVED," bitfld.long 0xBE8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBE8 11. "RESERVED," "0,1" bitfld.long 0xBE8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBE8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBEC "CFG_VOUT1_D19_IN,Delay Select Value in binary coded form for cfg_vout1_d19_in interface" hexmask.long.word 0xBEC 18.--31. 1. "RESERVED," bitfld.long 0xBEC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBEC 11. "RESERVED," "0,1" bitfld.long 0xBEC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBEC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBF0 "CFG_VOUT1_D19_OEN,Delay Select Value in binary coded form for cfg_vout1_d19_oen interface" hexmask.long.word 0xBF0 18.--31. 1. "RESERVED," bitfld.long 0xBF0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBF0 11. "RESERVED," "0,1" bitfld.long 0xBF0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBF0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBF4 "CFG_VOUT1_D19_OUT,Delay Select Value in binary coded form for cfg_vout1_d19_out interface" hexmask.long.word 0xBF4 18.--31. 1. "RESERVED," bitfld.long 0xBF4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBF4 11. "RESERVED," "0,1" bitfld.long 0xBF4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBF4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBF8 "CFG_VOUT1_D1_IN,Delay Select Value in binary coded form for cfg_vout1_d1_in interface" hexmask.long.word 0xBF8 18.--31. 1. "RESERVED," bitfld.long 0xBF8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBF8 11. "RESERVED," "0,1" bitfld.long 0xBF8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBF8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xBFC "CFG_VOUT1_D1_OEN,Delay Select Value in binary coded form for cfg_vout1_d1_oen interface" hexmask.long.word 0xBFC 18.--31. 1. "RESERVED," bitfld.long 0xBFC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xBFC 11. "RESERVED," "0,1" bitfld.long 0xBFC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xBFC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC00 "CFG_VOUT1_D1_OUT,Delay Select Value in binary coded form for cfg_vout1_d1_out interface" hexmask.long.word 0xC00 18.--31. 1. "RESERVED," bitfld.long 0xC00 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC00 11. "RESERVED," "0,1" bitfld.long 0xC00 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC00 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC04 "CFG_VOUT1_D20_IN,Delay Select Value in binary coded form for cfg_vout1_d20_in interface" hexmask.long.word 0xC04 18.--31. 1. "RESERVED," bitfld.long 0xC04 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC04 11. "RESERVED," "0,1" bitfld.long 0xC04 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC04 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC08 "CFG_VOUT1_D20_OEN,Delay Select Value in binary coded form for cfg_vout1_d20_oen interface" hexmask.long.word 0xC08 18.--31. 1. "RESERVED," bitfld.long 0xC08 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC08 11. "RESERVED," "0,1" bitfld.long 0xC08 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC08 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC0C "CFG_VOUT1_D20_OUT,Delay Select Value in binary coded form for cfg_vout1_d20_out interface" hexmask.long.word 0xC0C 18.--31. 1. "RESERVED," bitfld.long 0xC0C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC0C 11. "RESERVED," "0,1" bitfld.long 0xC0C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC0C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC10 "CFG_VOUT1_D21_IN,Delay Select Value in binary coded form for cfg_vout1_d21_in interface" hexmask.long.word 0xC10 18.--31. 1. "RESERVED," bitfld.long 0xC10 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC10 11. "RESERVED," "0,1" bitfld.long 0xC10 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC10 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC14 "CFG_VOUT1_D21_OEN,Delay Select Value in binary coded form for cfg_vout1_d21_oen interface" hexmask.long.word 0xC14 18.--31. 1. "RESERVED," bitfld.long 0xC14 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC14 11. "RESERVED," "0,1" bitfld.long 0xC14 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC14 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC18 "CFG_VOUT1_D21_OUT,Delay Select Value in binary coded form for cfg_vout1_d21_out interface" hexmask.long.word 0xC18 18.--31. 1. "RESERVED," bitfld.long 0xC18 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC18 11. "RESERVED," "0,1" bitfld.long 0xC18 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC18 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC1C "CFG_VOUT1_D22_IN,Delay Select Value in binary coded form for cfg_vout1_d22_in interface" hexmask.long.word 0xC1C 18.--31. 1. "RESERVED," bitfld.long 0xC1C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC1C 11. "RESERVED," "0,1" bitfld.long 0xC1C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC1C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC20 "CFG_VOUT1_D22_OEN,Delay Select Value in binary coded form for cfg_vout1_d22_oen interface" hexmask.long.word 0xC20 18.--31. 1. "RESERVED," bitfld.long 0xC20 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC20 11. "RESERVED," "0,1" bitfld.long 0xC20 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC20 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC24 "CFG_VOUT1_D22_OUT,Delay Select Value in binary coded form for cfg_vout1_d22_out interface" hexmask.long.word 0xC24 18.--31. 1. "RESERVED," bitfld.long 0xC24 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC24 11. "RESERVED," "0,1" bitfld.long 0xC24 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC24 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC28 "CFG_VOUT1_D23_IN,Delay Select Value in binary coded form for cfg_vout1_d23_in interface" hexmask.long.word 0xC28 18.--31. 1. "RESERVED," bitfld.long 0xC28 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC28 11. "RESERVED," "0,1" bitfld.long 0xC28 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC28 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC2C "CFG_VOUT1_D23_OEN,Delay Select Value in binary coded form for cfg_vout1_d23_oen interface" hexmask.long.word 0xC2C 18.--31. 1. "RESERVED," bitfld.long 0xC2C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC2C 11. "RESERVED," "0,1" bitfld.long 0xC2C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC2C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC30 "CFG_VOUT1_D23_OUT,Delay Select Value in binary coded form for cfg_vout1_d23_out interface" hexmask.long.word 0xC30 18.--31. 1. "RESERVED," bitfld.long 0xC30 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC30 11. "RESERVED," "0,1" bitfld.long 0xC30 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC30 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC34 "CFG_VOUT1_D2_IN,Delay Select Value in binary coded form for cfg_vout1_d2_in interface" hexmask.long.word 0xC34 18.--31. 1. "RESERVED," bitfld.long 0xC34 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC34 11. "RESERVED," "0,1" bitfld.long 0xC34 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC34 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC38 "CFG_VOUT1_D2_OEN,Delay Select Value in binary coded form for cfg_vout1_d2_oen interface" hexmask.long.word 0xC38 18.--31. 1. "RESERVED," bitfld.long 0xC38 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC38 11. "RESERVED," "0,1" bitfld.long 0xC38 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC38 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC3C "CFG_VOUT1_D2_OUT,Delay Select Value in binary coded form for cfg_vout1_d2_out interface" hexmask.long.word 0xC3C 18.--31. 1. "RESERVED," bitfld.long 0xC3C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC3C 11. "RESERVED," "0,1" bitfld.long 0xC3C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC3C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC40 "CFG_VOUT1_D3_IN,Delay Select Value in binary coded form for cfg_vout1_d3_in interface" hexmask.long.word 0xC40 18.--31. 1. "RESERVED," bitfld.long 0xC40 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC40 11. "RESERVED," "0,1" bitfld.long 0xC40 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC40 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC44 "CFG_VOUT1_D3_OEN,Delay Select Value in binary coded form for cfg_vout1_d3_oen interface" hexmask.long.word 0xC44 18.--31. 1. "RESERVED," bitfld.long 0xC44 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC44 11. "RESERVED," "0,1" bitfld.long 0xC44 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC44 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC48 "CFG_VOUT1_D3_OUT,Delay Select Value in binary coded form for cfg_vout1_d3_out interface" hexmask.long.word 0xC48 18.--31. 1. "RESERVED," bitfld.long 0xC48 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC48 11. "RESERVED," "0,1" bitfld.long 0xC48 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC48 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC4C "CFG_VOUT1_D4_IN,Delay Select Value in binary coded form for cfg_vout1_d4_in interface" hexmask.long.word 0xC4C 18.--31. 1. "RESERVED," bitfld.long 0xC4C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC4C 11. "RESERVED," "0,1" bitfld.long 0xC4C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC4C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC50 "CFG_VOUT1_D4_OEN,Delay Select Value in binary coded form for cfg_vout1_d4_oen interface" hexmask.long.word 0xC50 18.--31. 1. "RESERVED," bitfld.long 0xC50 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC50 11. "RESERVED," "0,1" bitfld.long 0xC50 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC50 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC54 "CFG_VOUT1_D4_OUT,Delay Select Value in binary coded form for cfg_vout1_d4_out interface" hexmask.long.word 0xC54 18.--31. 1. "RESERVED," bitfld.long 0xC54 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC54 11. "RESERVED," "0,1" bitfld.long 0xC54 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC54 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC58 "CFG_VOUT1_D5_IN,Delay Select Value in binary coded form for cfg_vout1_d5_in interface" hexmask.long.word 0xC58 18.--31. 1. "RESERVED," bitfld.long 0xC58 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC58 11. "RESERVED," "0,1" bitfld.long 0xC58 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC58 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC5C "CFG_VOUT1_D5_OEN,Delay Select Value in binary coded form for cfg_vout1_d5_oen interface" hexmask.long.word 0xC5C 18.--31. 1. "RESERVED," bitfld.long 0xC5C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC5C 11. "RESERVED," "0,1" bitfld.long 0xC5C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC5C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC60 "CFG_VOUT1_D5_OUT,Delay Select Value in binary coded form for cfg_vout1_d5_out interface" hexmask.long.word 0xC60 18.--31. 1. "RESERVED," bitfld.long 0xC60 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC60 11. "RESERVED," "0,1" bitfld.long 0xC60 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC60 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC64 "CFG_VOUT1_D6_IN,Delay Select Value in binary coded form for cfg_vout1_d6_in interface" hexmask.long.word 0xC64 18.--31. 1. "RESERVED," bitfld.long 0xC64 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC64 11. "RESERVED," "0,1" bitfld.long 0xC64 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC64 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC68 "CFG_VOUT1_D6_OEN,Delay Select Value in binary coded form for cfg_vout1_d6_oen interface" hexmask.long.word 0xC68 18.--31. 1. "RESERVED," bitfld.long 0xC68 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC68 11. "RESERVED," "0,1" bitfld.long 0xC68 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC68 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC6C "CFG_VOUT1_D6_OUT,Delay Select Value in binary coded form for cfg_vout1_d6_out interface" hexmask.long.word 0xC6C 18.--31. 1. "RESERVED," bitfld.long 0xC6C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC6C 11. "RESERVED," "0,1" bitfld.long 0xC6C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC6C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC70 "CFG_VOUT1_D7_IN,Delay Select Value in binary coded form for cfg_vout1_d7_in interface" hexmask.long.word 0xC70 18.--31. 1. "RESERVED," bitfld.long 0xC70 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC70 11. "RESERVED," "0,1" bitfld.long 0xC70 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC70 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC74 "CFG_VOUT1_D7_OEN,Delay Select Value in binary coded form for cfg_vout1_d7_oen interface" hexmask.long.word 0xC74 18.--31. 1. "RESERVED," bitfld.long 0xC74 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC74 11. "RESERVED," "0,1" bitfld.long 0xC74 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC74 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC78 "CFG_VOUT1_D7_OUT,Delay Select Value in binary coded form for cfg_vout1_d7_out interface" hexmask.long.word 0xC78 18.--31. 1. "RESERVED," bitfld.long 0xC78 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC78 11. "RESERVED," "0,1" bitfld.long 0xC78 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC78 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC7C "CFG_VOUT1_D8_IN,Delay Select Value in binary coded form for cfg_vout1_d8_in interface" hexmask.long.word 0xC7C 18.--31. 1. "RESERVED," bitfld.long 0xC7C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC7C 11. "RESERVED," "0,1" bitfld.long 0xC7C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC7C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC80 "CFG_VOUT1_D8_OEN,Delay Select Value in binary coded form for cfg_vout1_d8_oen interface" hexmask.long.word 0xC80 18.--31. 1. "RESERVED," bitfld.long 0xC80 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC80 11. "RESERVED," "0,1" bitfld.long 0xC80 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC80 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC84 "CFG_VOUT1_D8_OUT,Delay Select Value in binary coded form for cfg_vout1_d8_out interface" hexmask.long.word 0xC84 18.--31. 1. "RESERVED," bitfld.long 0xC84 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC84 11. "RESERVED," "0,1" bitfld.long 0xC84 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC84 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC88 "CFG_VOUT1_D9_IN,Delay Select Value in binary coded form for cfg_vout1_d9_in interface" hexmask.long.word 0xC88 18.--31. 1. "RESERVED," bitfld.long 0xC88 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC88 11. "RESERVED," "0,1" bitfld.long 0xC88 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC88 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC8C "CFG_VOUT1_D9_OEN,Delay Select Value in binary coded form for cfg_vout1_d9_oen interface" hexmask.long.word 0xC8C 18.--31. 1. "RESERVED," bitfld.long 0xC8C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC8C 11. "RESERVED," "0,1" bitfld.long 0xC8C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC8C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC90 "CFG_VOUT1_D9_OUT,Delay Select Value in binary coded form for cfg_vout1_d9_out interface" hexmask.long.word 0xC90 18.--31. 1. "RESERVED," bitfld.long 0xC90 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC90 11. "RESERVED," "0,1" bitfld.long 0xC90 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC90 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC94 "CFG_VOUT1_DE_IN,Delay Select Value in binary coded form for cfg_vout1_de_in interface" hexmask.long.word 0xC94 18.--31. 1. "RESERVED," bitfld.long 0xC94 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC94 11. "RESERVED," "0,1" bitfld.long 0xC94 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC94 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC98 "CFG_VOUT1_DE_OEN,Delay Select Value in binary coded form for cfg_vout1_de_oen interface" hexmask.long.word 0xC98 18.--31. 1. "RESERVED," bitfld.long 0xC98 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC98 11. "RESERVED," "0,1" bitfld.long 0xC98 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC98 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xC9C "CFG_VOUT1_DE_OUT,Delay Select Value in binary coded form for cfg_vout1_de_out interface" hexmask.long.word 0xC9C 18.--31. 1. "RESERVED," bitfld.long 0xC9C 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xC9C 11. "RESERVED," "0,1" bitfld.long 0xC9C 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xC9C 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCA0 "CFG_VOUT1_FLD_IN,Delay Select Value in binary coded form for cfg_vout1_fld_in interface" hexmask.long.word 0xCA0 18.--31. 1. "RESERVED," bitfld.long 0xCA0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCA0 11. "RESERVED," "0,1" bitfld.long 0xCA0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCA0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCA4 "CFG_VOUT1_FLD_OEN,Delay Select Value in binary coded form for cfg_vout1_fld_oen interface" hexmask.long.word 0xCA4 18.--31. 1. "RESERVED," bitfld.long 0xCA4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCA4 11. "RESERVED," "0,1" bitfld.long 0xCA4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCA4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCA8 "CFG_VOUT1_FLD_OUT,Delay Select Value in binary coded form for cfg_vout1_fld_out interface" hexmask.long.word 0xCA8 18.--31. 1. "RESERVED," bitfld.long 0xCA8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCA8 11. "RESERVED," "0,1" bitfld.long 0xCA8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCA8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCAC "CFG_VOUT1_HSYNC_IN,Delay Select Value in binary coded form for cfg_vout1_hsync_in interface" hexmask.long.word 0xCAC 18.--31. 1. "RESERVED," bitfld.long 0xCAC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCAC 11. "RESERVED," "0,1" bitfld.long 0xCAC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCAC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCB0 "CFG_VOUT1_HSYNC_OEN,Delay Select Value in binary coded form for cfg_vout1_hsync_oen interface" hexmask.long.word 0xCB0 18.--31. 1. "RESERVED," bitfld.long 0xCB0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCB0 11. "RESERVED," "0,1" bitfld.long 0xCB0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCB0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCB4 "CFG_VOUT1_HSYNC_OUT,Delay Select Value in binary coded form for cfg_vout1_hsync_out interface" hexmask.long.word 0xCB4 18.--31. 1. "RESERVED," bitfld.long 0xCB4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCB4 11. "RESERVED," "0,1" bitfld.long 0xCB4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCB4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCB8 "CFG_VOUT1_VSYNC_IN,Delay Select Value in binary coded form for cfg_vout1_vsync_in interface" hexmask.long.word 0xCB8 18.--31. 1. "RESERVED," bitfld.long 0xCB8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCB8 11. "RESERVED," "0,1" bitfld.long 0xCB8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCB8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCBC "CFG_VOUT1_VSYNC_OEN,Delay Select Value in binary coded form for cfg_vout1_vsync_oen interface" hexmask.long.word 0xCBC 18.--31. 1. "RESERVED," bitfld.long 0xCBC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCBC 11. "RESERVED," "0,1" bitfld.long 0xCBC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCBC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCC0 "CFG_VOUT1_VSYNC_OUT,Delay Select Value in binary coded form for cfg_vout1_vsync_out interface" hexmask.long.word 0xCC0 18.--31. 1. "RESERVED," bitfld.long 0xCC0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCC0 11. "RESERVED," "0,1" bitfld.long 0xCC0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCC0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCC4 "CFG_XREF_CLK0_IN,Delay Select Value in binary coded form for cfg_xref_clk0_in interface" hexmask.long.word 0xCC4 18.--31. 1. "RESERVED," bitfld.long 0xCC4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCC4 11. "RESERVED," "0,1" bitfld.long 0xCC4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCC4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCC8 "CFG_XREF_CLK0_OEN,Delay Select Value in binary coded form for cfg_xref_clk0_oen interface" hexmask.long.word 0xCC8 18.--31. 1. "RESERVED," bitfld.long 0xCC8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCC8 11. "RESERVED," "0,1" bitfld.long 0xCC8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCC8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCCC "CFG_XREF_CLK0_OUT,Delay Select Value in binary coded form for cfg_xref_clk0_out interface" hexmask.long.word 0xCCC 18.--31. 1. "RESERVED," bitfld.long 0xCCC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCCC 11. "RESERVED," "0,1" bitfld.long 0xCCC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCCC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCD0 "CFG_XREF_CLK1_IN,Delay Select Value in binary coded form for cfg_xref_clk1_in interface" hexmask.long.word 0xCD0 18.--31. 1. "RESERVED," bitfld.long 0xCD0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCD0 11. "RESERVED," "0,1" bitfld.long 0xCD0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCD0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCD4 "CFG_XREF_CLK1_OEN,Delay Select Value in binary coded form for cfg_xref_clk1_oen interface" hexmask.long.word 0xCD4 18.--31. 1. "RESERVED," bitfld.long 0xCD4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCD4 11. "RESERVED," "0,1" bitfld.long 0xCD4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCD4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCD8 "CFG_XREF_CLK1_OUT,Delay Select Value in binary coded form for cfg_xref_clk1_out interface" hexmask.long.word 0xCD8 18.--31. 1. "RESERVED," bitfld.long 0xCD8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCD8 11. "RESERVED," "0,1" bitfld.long 0xCD8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCD8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCDC "CFG_XREF_CLK2_IN,Delay Select Value in binary coded form for cfg_xref_clk2_in interface" hexmask.long.word 0xCDC 18.--31. 1. "RESERVED," bitfld.long 0xCDC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCDC 11. "RESERVED," "0,1" bitfld.long 0xCDC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCDC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCE0 "CFG_XREF_CLK2_OEN,Delay Select Value in binary coded form for cfg_xref_clk2_oen interface" hexmask.long.word 0xCE0 18.--31. 1. "RESERVED," bitfld.long 0xCE0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCE0 11. "RESERVED," "0,1" bitfld.long 0xCE0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCE0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCE4 "CFG_XREF_CLK2_OUT,Delay Select Value in binary coded form for cfg_xref_clk2_out interface" hexmask.long.word 0xCE4 18.--31. 1. "RESERVED," bitfld.long 0xCE4 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCE4 11. "RESERVED," "0,1" bitfld.long 0xCE4 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCE4 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCE8 "CFG_XREF_CLK3_IN,Delay Select Value in binary coded form for cfg_xref_clk3_in interface" hexmask.long.word 0xCE8 18.--31. 1. "RESERVED," bitfld.long 0xCE8 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCE8 11. "RESERVED," "0,1" bitfld.long 0xCE8 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCE8 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCEC "CFG_XREF_CLK3_OEN,Delay Select Value in binary coded form for cfg_xref_clk3_oen interface" hexmask.long.word 0xCEC 18.--31. 1. "RESERVED," bitfld.long 0xCEC 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCEC 11. "RESERVED," "0,1" bitfld.long 0xCEC 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCEC 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" line.long 0xCF0 "CFG_XREF_CLK3_OUT,Delay Select Value in binary coded form for cfg_xref_clk3_out interface" hexmask.long.word 0xCF0 18.--31. 1. "RESERVED," bitfld.long 0xCF0 12.--17. "SIGNATURE,Write to this register will succeed only if data on these bits carries a signature of 6'h29 (6'b101001)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0xCF0 11. "RESERVED," "0,1" bitfld.long 0xCF0 10. "LOCK_BIT,When '1' prevents HW update to this MMR" "0,1" hexmask.long.word 0xCF0 0.--9. 1. "BINARY_DELAY,Delay Select Value in binary coded form" tree.end tree "IPU1_C0_RW_TABLE" base ad:0xE00FE000 group.long 0x00++0x07 line.long 0x00 "CORTEXM4_RW_PID1,Peripheral Identification register- allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" line.long 0x04 "CORTEXM4_RW_PID2,Peripheral Identification register - allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" tree.end tree "IPU1_C1_RW_TABLE" base ad:0xE00FE000 group.long 0x00++0x07 line.long 0x00 "CORTEXM4_RW_PID1,Peripheral Identification register- allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" line.long 0x04 "CORTEXM4_RW_PID2,Peripheral Identification register - allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" tree.end tree "IPU1_FW" base ad:0x4A15B000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "IPU1_FW_CFG_TARG" base ad:0x4A15C000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end sif (cpuis("AM572XIPU?-CORE0")) tree "IPU1_MMU_IPU1" base ad:0x55082000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" tree.end endif tree "IPU1_MMU_L3_MAIN" base ad:0x58882000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" tree.end tree "IPU1_TARG" base ad:0x44001000 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "IPU1_UNICACHE_CFG" base ad:0x58880000 group.long 0x04++0x1F line.long 0x00 "CACHE_CONFIG,Configuration Register" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4. "LOCK_MAIN,Lock access to maintenance registers" "LOCK_MAIN_0,LOCK_MAIN_1" bitfld.long 0x00 3. "LOCK_PORT,Lock access to interface registers" "LOCK_PORT_0,LOCK_PORT_1" bitfld.long 0x00 2. "LOCK_INT,Lock access to interrupt registers" "LOCK_INT_0,LOCK_INT_1" bitfld.long 0x00 1. "BYPASS,Bypass cache" "BYPASS_0,BYPASS_1" newline bitfld.long 0x00 0. "CACHE_LOCK,Unicache lock" "CACHE_LOCK_0,CACHE_LOCK_1" line.long 0x04 "CACHE_INT,Interrupt Register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 5.--8. "PORT,Slave interface number that has recorded an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4. "READ,Interface read response error" "0,1" bitfld.long 0x04 3. "WRITE,Interface write response error" "0,1" bitfld.long 0x04 2. "MAINT,Maintenance is completed" "0,1" newline bitfld.long 0x04 1. "PAGEFAULT,Unicache MMU page fault" "0,1" bitfld.long 0x04 0. "CONFIG,Configuration error" "0,1" line.long 0x08 "CACHE_OCP,Interface Configuration Register" hexmask.long 0x08 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 5. "CLEANBUF,Clean write and prefetch buffers in cache" "CLEANBUF_0,CLEANBUF_1" bitfld.long 0x08 4. "PREFETCH,Always prefetch data" "PREFETCH_0,PREFETCH_1" bitfld.long 0x08 3. "CACHED,Follow cacheable sideband signals" "CACHED_0,CACHED_1" bitfld.long 0x08 2. "WRALLOCATE,Follow write allocate sideband signals" "WRALLOCATE_0,WRALLOCATE_1" newline bitfld.long 0x08 1. "WRBUFFER,Write throughs and write back no allocate are buffered" "WRBUFFER_0,WRBUFFER_1" bitfld.long 0x08 0. "WRAP,OCP wrap mode (critical word first)" "WRAP_0,WRAP_1" line.long 0x0C "CACHE_MAINT,Maintenance Configuration Register" hexmask.long 0x0C 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 5. "INTERRUPT,Generate interrupt when maintenance operation is complete" "INTERRUPT_0,INTERRUPT_1" bitfld.long 0x0C 4. "INVALIDATE,Invalidate lines in region defined by maintenance start/end addresses" "INVALIDATE_0,INVALIDATE_1" bitfld.long 0x0C 3. "CLEAN,Evict dirty lines in region defined by maintenance start/end addresses" "CLEAN_0,CLEAN_1" bitfld.long 0x0C 2. "UNLOCK,Unlock region defined by maintenance start/end addresses" "UNLOCK_0,UNLOCK_1" newline bitfld.long 0x0C 1. "LOCK,Lock region defined by maintenance start/end addresses" "LOCK_0,LOCK_1" bitfld.long 0x0C 0. "PRELOAD,Preload region defined by maintenance start/end addresses" "PRELOAD_0,PRELOAD_1" line.long 0x10 "CACHE_MTSTART,Maintenance Start Configuration Register" line.long 0x14 "CACHE_MTEND,Maintenance End Configuration Register" line.long 0x18 "CACHE_CTADDR,Cache Test Address Register" line.long 0x1C "CACHE_CTDATA,Cache Test Data Register" tree.end tree "IPU1_UNICACHE_MMU_AMMU" base ad:0x58880800 group.long 0x4A8++0x13 line.long 0x00 "CACHE_MMU_MAINT,Maintenance configuration register" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 10. "G_FLUSH,Global flush bit" "G_FLUSH_0,G_FLUSH_1" rbitfld.long 0x00 8.--9. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 7. "L1_CACHE1,Do maintenance operation in L1 cache" "L1_CACHE1_0,L1_CACHE1_1" newline bitfld.long 0x00 6. "CPU_INTERRUPT,Generate interrupt to cpu when maintenance operation initiated by CPU is complete" "CPU_INTERRUPT_0,CPU_INTERRUPT_1" bitfld.long 0x00 5. "HOST_INTERRUPT,Generate interrupt when maintenance operation is complete" "HOST_INTERRUPT_0,HOST_INTERRUPT_1" bitfld.long 0x00 4. "INVALIDATE,Invalidate lines in region defined by maintenance start/end addresses" "INVALIDATE_0,INVALIDATE_1" bitfld.long 0x00 3. "CLEAN,Evict dirty lines in region defined by maintenance start/end addresses" "CLEAN_0,CLEAN_1" newline bitfld.long 0x00 2. "UNLOCK,Unlock region defined by maintenance start/end addresses" "UNLOCK_0,UNLOCK_1" bitfld.long 0x00 1. "LOCK,Lock region defined by maintenance start/end addresses" "LOCK_0,LOCK_1" bitfld.long 0x00 0. "PRELOAD,Preload region defined by maintenance start/end addresses" "PRELOAD_0,PRELOAD_1" line.long 0x04 "CACHE_MMU_MTSTART,Maintenance start configuration register" line.long 0x08 "CACHE_MMU_MTEND,Maintenance end configuration register" line.long 0x0C "CACHE_MMU_MAINTST,Maintenance status register" hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0. "STATUS,Status bit" "STATUS_0_r,STATUS_1_r" line.long 0x10 "CACHE_MMU_MMUCONFIG,MMU configuration register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "PRIVILEGE,Privilege bit" "PRIVILEGE_0,PRIVILEGE_1" bitfld.long 0x10 0. "MMU_LOCK,MMU lock" "MMU_LOCK_0,MMU_LOCK_1" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x2A0)++0x03 line.long 0x00 "CACHE_MMU_SMALL_MAINT_k_$1,Small page maintenance configuration" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4. "INTERRUPT,Generate interrupt when maintenance operation is complete" "0,1" newline bitfld.long 0x00 3. "INVALIDATE,Invalidate page" "0,1" bitfld.long 0x00 2. "CLEAN,Evict page" "0,1" newline bitfld.long 0x00 1. "LOCK,Lock page" "0,1" bitfld.long 0x00 0. "PRELOAD,Preload page" "0,1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x220)++0x03 line.long 0x00 "CACHE_MMU_SMALL_POLICY_k_$1,Small page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 9.--15. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 8. "COHERENCY,Coherency" "0,1" bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" newline bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" bitfld.long 0x00 5. "READ,Read only" "0,1" newline bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" newline bitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" newline bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1A0)++0x03 line.long 0x00 "CACHE_MMU_SMALL_XLTE_k_$1,Small page translated address" hexmask.long.tbyte 0x00 12.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.word 0x00 1.--11. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x120)++0x03 line.long 0x00 "CACHE_MMU_SMALL_ADDR_k_$1,Small page address" hexmask.long.tbyte 0x00 12.--31. 1. "ADDRESS,Logical source address" hexmask.long.word 0x00 0.--11. 1. "RESERVED,Reserved" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xE0)++0x03 line.long 0x00 "CACHE_MMU_MED_POLICY_j_$1,Medium page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" newline bitfld.long 0x00 5. "READ,Read only" "0,1" bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" newline bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" bitfld.long 0x00 2. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xA0)++0x03 line.long 0x00 "CACHE_MMU_MED_XLTE_j_$1,Medium page translated address" hexmask.long.word 0x00 17.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.word 0x00 1.--16. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x60)++0x03 line.long 0x00 "CACHE_MMU_MED_ADDR_j_$1,Medium page address" hexmask.long.word 0x00 17.--31. 1. "ADDRESS,Logical source address" hexmask.long.tbyte 0x00 0.--16. 1. "RESERVED,Reserved" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x40)++0x03 line.long 0x00 "CACHE_MMU_LARGE_POLICY_i_$1,Large page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" newline bitfld.long 0x00 5. "READ,Read only" "0,1" bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" newline bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x20)++0x03 line.long 0x00 "CACHE_MMU_LARGE_XLTE_i_$1,Large page translated address" hexmask.long.byte 0x00 25.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.tbyte 0x00 1.--24. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x00)++0x03 line.long 0x00 "CACHE_MMU_LARGE_ADDR_i_$1,Large page address" hexmask.long.byte 0x00 25.--31. 1. "ADDRESS,Logical source address" hexmask.long 0x00 0.--24. 1. "RESERVED,Reserved" repeat.end tree.end tree "IPU1_UNICACHE_SCTM" base ad:0x58880400 group.long 0x00++0x03 line.long 0x00 "CACHE_SCTM_CTCNTL," rbitfld.long 0x00 26.--31. "NUMSTM,Number of timers that can export via STM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 18.--25. 1. "NUMINPT,Number of event input signals" rbitfld.long 0x00 13.--17. "NUMTIMR,Number of timers in the module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 7.--12. "NUMCNTR,Number of counters in the module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 3.--6. "REVISION,Revision ID of SCTM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--2. "IDLEMODE,Idle mode control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 0. "ENBL,SCTM global enable" "ENBL_0,ENBL_1" rgroup.long 0x7C++0x03 line.long 0x00 "CACHE_SCTM_CTDBGNUM,Counter Timer Number Debug Event Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NUMEVT,Number of input selectors for debug events" "0,1,2,3,4,5,6,7" group.long 0xF0++0x03 line.long 0x00 "CACHE_SCTM_CTGNBL,These registers provide for simultaneous enable/disable of 32 counters" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "ENABLE,The counter enable bit field" group.long 0xF8++0x03 line.long 0x00 "CACHE_SCTM_CTGRST,These registers provide for simultaneous reset of 32 counters" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "RESET,The counter reset bit field" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0x180)++0x03 line.long 0x00 "CACHE_SCTM_CTCNTR_k_$1,These registers contain the value of an individual counter in the module" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x108)++0x03 line.long 0x00 "CACHE_SCTM_CTCR_WOT_j_$1,These registers contain the control and status settings for a single counter in the module" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16.--20. "INPSEL,Counter input selection1-31: Index of event input signal selected" "INPSEL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "CHNSDW,Counter has a shadow register for chain reads" "CHNSDW_0,CHNSDW_1" newline rbitfld.long 0x00 6. "OVRFLW,Counter has wrapped since it was last" "OVRFLW_0,OVRFLW_1" bitfld.long 0x00 5. "IDLE,Counter ignores processor IDLE state" "IDLE_0,IDLE_1" newline bitfld.long 0x00 4. "FREE,Counter ignores processor debug halt state" "FREE_0,FREE_1" bitfld.long 0x00 3. "DURMODE,Counter is in duration or occurrence mode" "DURMODE_0,DURMODE_1" newline bitfld.long 0x00 2. "CHAIN,Counter is chained to an adjacent counter" "CHAIN_0,CHAIN_1" bitfld.long 0x00 1. "RESET,Counter reset control" "RESET_0,RESET_1" newline bitfld.long 0x00 0. "ENBL,Counter enable control" "ENBL_0,ENBL_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x100)++0x03 line.long 0x00 "CACHE_SCTM_CTCR_WT_i_$1,These registers contain the control and status settings for a single counter in the module" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16.--20. "INPSEL,Counter Timer input selection1-31: Index of event input signal selected" "INPSEL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 11.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. "RESTART,Restart the timer after an interval match" "RESTART_0,RESTART_1" newline bitfld.long 0x00 9. "DBG,Signal debug logic on interval match" "DBG_0,DBG_1" bitfld.long 0x00 8. "INT,Generate interrupt on interval match" "INT_0,INT_1" newline rbitfld.long 0x00 7. "CHNSDW,Counter has a shadow register for chain reads" "CHNSDW_0,CHNSDW_1" rbitfld.long 0x00 6. "OVRFLW,Counter has wrapped since it was last" "OVRFLW_0,OVRFLW_1" newline bitfld.long 0x00 5. "IDLE,Counter ignores processor IDLE state" "IDLE_0,IDLE_1" bitfld.long 0x00 4. "FREE,Counter ignores processor debug halt state" "FREE_0,FREE_1" newline bitfld.long 0x00 3. "DURMODE,Counter is in duration or occurrence mode" "DURMODE_0,DURMODE_1" bitfld.long 0x00 2. "CHAIN,Counter is chained to an adjacent counter" "CHAIN_0,CHAIN_1" newline bitfld.long 0x00 1. "RESET,Counter reset control" "RESET_0,RESET_1" bitfld.long 0x00 0. "ENBL,Counter enable control" "ENBL_0,ENBL_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x40)++0x03 line.long 0x00 "CACHE_SCTM_TINTVLR_i_$1,These registers contain the interval match value for the corresponding timers in the SCTM" repeat.end tree.end tree "IPU1_WUGEN" base ad:0x58881000 group.long 0x00++0x13 line.long 0x00 "CORTEXM4_CTRL_REG,The register is used by one CPU to interrupt the other. thus used as a handshake between the two CPUs" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "INT_CORTEX_2,Interrupt to IPUx_C1" "0,1" hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "INT_CORTEX_1,Interrupt to IPUx_C0" "0,1" line.long 0x04 "STANDBY_CORE_SYSCONFIG,Standby protocol" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--1. "STANDBYMODE," "?,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" line.long 0x08 "IDLE_CORE_SYSCONFIG,Idle protocol" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0.--1. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" line.long 0x0C "WUGEN_MEVT0,This register contains the interrupt mask (LSB) wake-up enable bit per interrupt request" bitfld.long 0x0C 31. "MIRQ31,Interrupt Mask bit 31" "0,1" bitfld.long 0x0C 30. "MIRQ30,Interrupt Mask bit 30" "0,1" bitfld.long 0x0C 29. "MIRQ29,Interrupt Mask bit 29" "0,1" bitfld.long 0x0C 28. "MIRQ28,Interrupt Mask bit 28" "0,1" bitfld.long 0x0C 27. "MIRQ27,Interrupt Mask bit 27" "0,1" bitfld.long 0x0C 26. "MIRQ26,Interrupt Mask bit 26" "0,1" newline bitfld.long 0x0C 25. "MIRQ25,Interrupt Mask bit 25" "0,1" bitfld.long 0x0C 24. "MIRQ24,Interrupt Mask bit 24" "0,1" bitfld.long 0x0C 23. "MIRQ23,Interrupt Mask bit 23" "0,1" bitfld.long 0x0C 22. "MIRQ22,Interrupt Mask bit 22" "0,1" bitfld.long 0x0C 21. "MIRQ21,Interrupt Mask bit 21" "0,1" bitfld.long 0x0C 20. "MIRQ20,Interrupt Mask bit 20" "0,1" newline bitfld.long 0x0C 19. "MIRQ19,Interrupt Mask bit 19" "0,1" bitfld.long 0x0C 18. "MIRQ18,Interrupt Mask bit 18" "0,1" bitfld.long 0x0C 17. "MIRQ17,Interrupt Mask bit 17" "0,1" bitfld.long 0x0C 16. "MIRQ16,Interrupt Mask bit 16" "0,1" bitfld.long 0x0C 15. "MIRQ15,Interrupt Mask bit 15" "0,1" bitfld.long 0x0C 14. "MIRQ14,Interrupt Mask bit 14" "0,1" newline bitfld.long 0x0C 13. "MIRQ13,Interrupt Mask bit 13" "0,1" bitfld.long 0x0C 12. "MIRQ12,Interrupt Mask bit 12" "0,1" bitfld.long 0x0C 11. "MIRQ11,Interrupt Mask bit 11" "0,1" bitfld.long 0x0C 10. "MIRQ10,Interrupt Mask bit 10" "0,1" bitfld.long 0x0C 9. "MIRQ9,Interrupt Mask bit 9" "0,1" bitfld.long 0x0C 8. "MIRQ8,Interrupt Mask bit 8" "0,1" newline bitfld.long 0x0C 7. "MIRQ7,Interrupt Mask bit 7" "0,1" bitfld.long 0x0C 6. "MIRQ6,Interrupt Mask bit 6" "0,1" bitfld.long 0x0C 5. "MIRQ5,Interrupt Mask bit 5" "0,1" bitfld.long 0x0C 4. "MIRQ4,Interrupt Mask bit 4" "0,1" bitfld.long 0x0C 3. "MIRQ3,Interrupt Mask bit 3" "0,1" bitfld.long 0x0C 2. "MIRQ2,Interrupt Mask bit 2" "0,1" newline bitfld.long 0x0C 1. "MIRQ1,Interrupt Mask bit 1" "0,1" bitfld.long 0x0C 0. "MIRQ0,Interrupt Mask bit 0" "0,1" line.long 0x10 "WUGEN_MEVT1,This register contains the interrupt mask (MSB) wake-up enable bit per interrupt request" bitfld.long 0x10 31. "MIRQ63,Interrupt Mask bit 63" "0,1" bitfld.long 0x10 30. "MIRQ62,Interrupt Mask bit 62" "0,1" bitfld.long 0x10 29. "MIRQ61,Interrupt Mask bit 61" "0,1" bitfld.long 0x10 28. "MIRQ60,Interrupt Mask bit 60" "0,1" bitfld.long 0x10 27. "MIRQ59,Interrupt Mask bit 59" "0,1" bitfld.long 0x10 26. "MIRQ58,Interrupt Mask bit 58" "0,1" newline bitfld.long 0x10 25. "MIRQ57,Interrupt Mask bit 57" "0,1" bitfld.long 0x10 24. "MIRQ56,Interrupt Mask bit 56" "0,1" bitfld.long 0x10 23. "MIRQ55,Interrupt Mask bit 55" "0,1" bitfld.long 0x10 22. "MIRQ54,Interrupt Mask bit 54" "0,1" bitfld.long 0x10 21. "MIRQ53,Interrupt Mask bit 53" "0,1" bitfld.long 0x10 20. "MIRQ52,Interrupt Mask bit 52" "0,1" newline bitfld.long 0x10 19. "MIRQ51,Interrupt Mask bit 51" "0,1" bitfld.long 0x10 18. "MIRQ50,Interrupt Mask bit 50" "0,1" bitfld.long 0x10 17. "MIRQ49,Interrupt Mask bit 49" "0,1" bitfld.long 0x10 16. "MIRQ48,Interrupt Mask bit 48" "0,1" bitfld.long 0x10 15. "MIRQ47,Interrupt Mask bit 47" "0,1" bitfld.long 0x10 14. "MIRQ46,Interrupt Mask bit 46" "0,1" newline bitfld.long 0x10 13. "MIRQ45,Interrupt Mask bit 45" "0,1" bitfld.long 0x10 12. "MIRQ44,Interrupt Mask bit 44" "0,1" bitfld.long 0x10 11. "MIRQ43,Interrupt Mask bit 43" "0,1" bitfld.long 0x10 10. "MIRQ42,Interrupt Mask bit 42" "0,1" bitfld.long 0x10 9. "MIRQ41,Interrupt Mask bit 41" "0,1" bitfld.long 0x10 8. "MIRQ40,Interrupt Mask bit 40" "0,1" newline bitfld.long 0x10 7. "MIRQ39,Interrupt Mask bit 39" "0,1" bitfld.long 0x10 6. "MIRQ38,Interrupt Mask bit 38" "0,1" bitfld.long 0x10 5. "MIRQ37,Interrupt Mask bit 37" "0,1" bitfld.long 0x10 4. "MIRQ36,Interrupt Mask bit 36" "0,1" bitfld.long 0x10 3. "MIRQ35,Interrupt Mask bit 35" "0,1" bitfld.long 0x10 2. "MIRQ34,Interrupt Mask bit 34" "0,1" newline bitfld.long 0x10 1. "MIRQ33,Interrupt Mask bit 33" "0,1" bitfld.long 0x10 0. "MIRQ32,Interrupt Mask bit 32" "0,1" tree.end tree "IPU2_C0_RW_TABLE" base ad:0xE00FE000 group.long 0x00++0x07 line.long 0x00 "CORTEXM4_RW_PID1,Peripheral Identification register- allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" line.long 0x04 "CORTEXM4_RW_PID2,Peripheral Identification register - allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" tree.end tree "IPU2_C1_RW_TABLE" base ad:0xE00FE000 group.long 0x00++0x07 line.long 0x00 "CORTEXM4_RW_PID1,Peripheral Identification register- allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" line.long 0x04 "CORTEXM4_RW_PID2,Peripheral Identification register - allows the user software to differentiate between the two ARM Cortex-M4 processors (two CPUs)" tree.end tree "IPU2_FW" base ad:0x4A218000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "IPU2_FW_CFG_TARG" base ad:0x4A219000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end sif (cpuis("AM572XIPU?-CORE1")) tree "IPU2_MMU_IPU2" base ad:0x55082000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" tree.end endif tree "IPU2_MMU_L3_MAIN" base ad:0x55082000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" tree.end tree "IPU2_TARG" base ad:0x44001100 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "IPU2_UNICACHE_CFG" base ad:0x55080000 group.long 0x04++0x1F line.long 0x00 "CACHE_CONFIG,Configuration Register" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4. "LOCK_MAIN,Lock access to maintenance registers" "LOCK_MAIN_0,LOCK_MAIN_1" bitfld.long 0x00 3. "LOCK_PORT,Lock access to interface registers" "LOCK_PORT_0,LOCK_PORT_1" bitfld.long 0x00 2. "LOCK_INT,Lock access to interrupt registers" "LOCK_INT_0,LOCK_INT_1" bitfld.long 0x00 1. "BYPASS,Bypass cache" "BYPASS_0,BYPASS_1" newline bitfld.long 0x00 0. "CACHE_LOCK,Unicache lock" "CACHE_LOCK_0,CACHE_LOCK_1" line.long 0x04 "CACHE_INT,Interrupt Register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 5.--8. "PORT,Slave interface number that has recorded an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 4. "READ,Interface read response error" "0,1" bitfld.long 0x04 3. "WRITE,Interface write response error" "0,1" bitfld.long 0x04 2. "MAINT,Maintenance is completed" "0,1" newline bitfld.long 0x04 1. "PAGEFAULT,Unicache MMU page fault" "0,1" bitfld.long 0x04 0. "CONFIG,Configuration error" "0,1" line.long 0x08 "CACHE_OCP,Interface Configuration Register" hexmask.long 0x08 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 5. "CLEANBUF,Clean write and prefetch buffers in cache" "CLEANBUF_0,CLEANBUF_1" bitfld.long 0x08 4. "PREFETCH,Always prefetch data" "PREFETCH_0,PREFETCH_1" bitfld.long 0x08 3. "CACHED,Follow cacheable sideband signals" "CACHED_0,CACHED_1" bitfld.long 0x08 2. "WRALLOCATE,Follow write allocate sideband signals" "WRALLOCATE_0,WRALLOCATE_1" newline bitfld.long 0x08 1. "WRBUFFER,Write throughs and write back no allocate are buffered" "WRBUFFER_0,WRBUFFER_1" bitfld.long 0x08 0. "WRAP,OCP wrap mode (critical word first)" "WRAP_0,WRAP_1" line.long 0x0C "CACHE_MAINT,Maintenance Configuration Register" hexmask.long 0x0C 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 5. "INTERRUPT,Generate interrupt when maintenance operation is complete" "INTERRUPT_0,INTERRUPT_1" bitfld.long 0x0C 4. "INVALIDATE,Invalidate lines in region defined by maintenance start/end addresses" "INVALIDATE_0,INVALIDATE_1" bitfld.long 0x0C 3. "CLEAN,Evict dirty lines in region defined by maintenance start/end addresses" "CLEAN_0,CLEAN_1" bitfld.long 0x0C 2. "UNLOCK,Unlock region defined by maintenance start/end addresses" "UNLOCK_0,UNLOCK_1" newline bitfld.long 0x0C 1. "LOCK,Lock region defined by maintenance start/end addresses" "LOCK_0,LOCK_1" bitfld.long 0x0C 0. "PRELOAD,Preload region defined by maintenance start/end addresses" "PRELOAD_0,PRELOAD_1" line.long 0x10 "CACHE_MTSTART,Maintenance Start Configuration Register" line.long 0x14 "CACHE_MTEND,Maintenance End Configuration Register" line.long 0x18 "CACHE_CTADDR,Cache Test Address Register" line.long 0x1C "CACHE_CTDATA,Cache Test Data Register" tree.end tree "IPU2_UNICACHE_MMU_AMMU" base ad:0x55080800 group.long 0x4A8++0x13 line.long 0x00 "CACHE_MMU_MAINT,Maintenance configuration register" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 10. "G_FLUSH,Global flush bit" "G_FLUSH_0,G_FLUSH_1" rbitfld.long 0x00 8.--9. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 7. "L1_CACHE1,Do maintenance operation in L1 cache" "L1_CACHE1_0,L1_CACHE1_1" newline bitfld.long 0x00 6. "CPU_INTERRUPT,Generate interrupt to cpu when maintenance operation initiated by CPU is complete" "CPU_INTERRUPT_0,CPU_INTERRUPT_1" bitfld.long 0x00 5. "HOST_INTERRUPT,Generate interrupt when maintenance operation is complete" "HOST_INTERRUPT_0,HOST_INTERRUPT_1" bitfld.long 0x00 4. "INVALIDATE,Invalidate lines in region defined by maintenance start/end addresses" "INVALIDATE_0,INVALIDATE_1" bitfld.long 0x00 3. "CLEAN,Evict dirty lines in region defined by maintenance start/end addresses" "CLEAN_0,CLEAN_1" newline bitfld.long 0x00 2. "UNLOCK,Unlock region defined by maintenance start/end addresses" "UNLOCK_0,UNLOCK_1" bitfld.long 0x00 1. "LOCK,Lock region defined by maintenance start/end addresses" "LOCK_0,LOCK_1" bitfld.long 0x00 0. "PRELOAD,Preload region defined by maintenance start/end addresses" "PRELOAD_0,PRELOAD_1" line.long 0x04 "CACHE_MMU_MTSTART,Maintenance start configuration register" line.long 0x08 "CACHE_MMU_MTEND,Maintenance end configuration register" line.long 0x0C "CACHE_MMU_MAINTST,Maintenance status register" hexmask.long 0x0C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0. "STATUS,Status bit" "STATUS_0_r,STATUS_1_r" line.long 0x10 "CACHE_MMU_MMUCONFIG,MMU configuration register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "PRIVILEGE,Privilege bit" "PRIVILEGE_0,PRIVILEGE_1" bitfld.long 0x10 0. "MMU_LOCK,MMU lock" "MMU_LOCK_0,MMU_LOCK_1" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x2A0)++0x03 line.long 0x00 "CACHE_MMU_SMALL_MAINT_k_$1,Small page maintenance configuration" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4. "INTERRUPT,Generate interrupt when maintenance operation is complete" "0,1" newline bitfld.long 0x00 3. "INVALIDATE,Invalidate page" "0,1" bitfld.long 0x00 2. "CLEAN,Evict page" "0,1" newline bitfld.long 0x00 1. "LOCK,Lock page" "0,1" bitfld.long 0x00 0. "PRELOAD,Preload page" "0,1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x220)++0x03 line.long 0x00 "CACHE_MMU_SMALL_POLICY_k_$1,Small page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 9.--15. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 8. "COHERENCY,Coherency" "0,1" bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" newline bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" bitfld.long 0x00 5. "READ,Read only" "0,1" newline bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" newline bitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" newline bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1A0)++0x03 line.long 0x00 "CACHE_MMU_SMALL_XLTE_k_$1,Small page translated address" hexmask.long.tbyte 0x00 12.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.word 0x00 1.--11. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x120)++0x03 line.long 0x00 "CACHE_MMU_SMALL_ADDR_k_$1,Small page address" hexmask.long.tbyte 0x00 12.--31. 1. "ADDRESS,Logical source address" hexmask.long.word 0x00 0.--11. 1. "RESERVED,Reserved" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xE0)++0x03 line.long 0x00 "CACHE_MMU_MED_POLICY_j_$1,Medium page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" newline bitfld.long 0x00 5. "READ,Read only" "0,1" bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" newline bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" bitfld.long 0x00 2. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0xA0)++0x03 line.long 0x00 "CACHE_MMU_MED_XLTE_j_$1,Medium page translated address" hexmask.long.word 0x00 17.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.word 0x00 1.--16. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x60)++0x03 line.long 0x00 "CACHE_MMU_MED_ADDR_j_$1,Medium page address" hexmask.long.word 0x00 17.--31. 1. "ADDRESS,Logical source address" hexmask.long.tbyte 0x00 0.--16. 1. "RESERVED,Reserved" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x40)++0x03 line.long 0x00 "CACHE_MMU_LARGE_POLICY_i_$1,Large page policy" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 19. "L1_WR_POLICY,L1 write policy" "L1_WR_POLICY_0,L1_WR_POLICY_1" newline bitfld.long 0x00 18. "L1_ALLOCATE,L1 allocate policy" "L1_ALLOCATE_0,L1_ALLOCATE_1" bitfld.long 0x00 17. "L1_POSTED,L1 posted policy" "L1_POSTED_0,L1_POSTED_1" newline bitfld.long 0x00 16. "L1_CACHEABLE,L1 cache policy" "L1_CACHEABLE_0,L1_CACHEABLE_1" hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 7. "EXCLUSION,Cache exclusion" "EXCLUSION_0,EXCLUSION_1" bitfld.long 0x00 6. "PRELOAD,Preload region" "PRELOAD_0,PRELOAD_1" newline bitfld.long 0x00 5. "READ,Read only" "0,1" bitfld.long 0x00 4. "EXECUTE,Execute only" "0,1" newline bitfld.long 0x00 3. "VOLATILE,Volatile qualifier" "VOLATILE_0,VOLATILE_1" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 1. "SIZE,Size of page" "SIZE_0,SIZE_1" bitfld.long 0x00 0. "ENABLE,Enable page" "ENABLE_0,ENABLE_1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x20)++0x03 line.long 0x00 "CACHE_MMU_LARGE_XLTE_i_$1,Large page translated address" hexmask.long.byte 0x00 25.--31. 1. "ADDRESS,Logical source translated address" hexmask.long.tbyte 0x00 1.--24. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "IGNORE,Do not use translated address" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x00)++0x03 line.long 0x00 "CACHE_MMU_LARGE_ADDR_i_$1,Large page address" hexmask.long.byte 0x00 25.--31. 1. "ADDRESS,Logical source address" hexmask.long 0x00 0.--24. 1. "RESERVED,Reserved" repeat.end tree.end tree "IPU2_UNICACHE_SCTM" base ad:0x55080400 group.long 0x00++0x03 line.long 0x00 "CACHE_SCTM_CTCNTL," rbitfld.long 0x00 26.--31. "NUMSTM,Number of timers that can export via STM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.long.byte 0x00 18.--25. 1. "NUMINPT,Number of event input signals" rbitfld.long 0x00 13.--17. "NUMTIMR,Number of timers in the module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 7.--12. "NUMCNTR,Number of counters in the module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 3.--6. "REVISION,Revision ID of SCTM" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 1.--2. "IDLEMODE,Idle mode control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 0. "ENBL,SCTM global enable" "ENBL_0,ENBL_1" rgroup.long 0x7C++0x03 line.long 0x00 "CACHE_SCTM_CTDBGNUM,Counter Timer Number Debug Event Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NUMEVT,Number of input selectors for debug events" "0,1,2,3,4,5,6,7" group.long 0xF0++0x03 line.long 0x00 "CACHE_SCTM_CTGNBL,These registers provide for simultaneous enable/disable of 32 counters" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "ENABLE,The counter enable bit field" group.long 0xF8++0x03 line.long 0x00 "CACHE_SCTM_CTGRST,These registers provide for simultaneous reset of 32 counters" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "RESET,The counter reset bit field" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0x180)++0x03 line.long 0x00 "CACHE_SCTM_CTCNTR_k_$1,These registers contain the value of an individual counter in the module" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x108)++0x03 line.long 0x00 "CACHE_SCTM_CTCR_WOT_j_$1,These registers contain the control and status settings for a single counter in the module" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16.--20. "INPSEL,Counter input selection1-31: Index of event input signal selected" "INPSEL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "CHNSDW,Counter has a shadow register for chain reads" "CHNSDW_0,CHNSDW_1" newline rbitfld.long 0x00 6. "OVRFLW,Counter has wrapped since it was last" "OVRFLW_0,OVRFLW_1" bitfld.long 0x00 5. "IDLE,Counter ignores processor IDLE state" "IDLE_0,IDLE_1" newline bitfld.long 0x00 4. "FREE,Counter ignores processor debug halt state" "FREE_0,FREE_1" bitfld.long 0x00 3. "DURMODE,Counter is in duration or occurrence mode" "DURMODE_0,DURMODE_1" newline bitfld.long 0x00 2. "CHAIN,Counter is chained to an adjacent counter" "CHAIN_0,CHAIN_1" bitfld.long 0x00 1. "RESET,Counter reset control" "RESET_0,RESET_1" newline bitfld.long 0x00 0. "ENBL,Counter enable control" "ENBL_0,ENBL_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x100)++0x03 line.long 0x00 "CACHE_SCTM_CTCR_WT_i_$1,These registers contain the control and status settings for a single counter in the module" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16.--20. "INPSEL,Counter Timer input selection1-31: Index of event input signal selected" "INPSEL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 11.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. "RESTART,Restart the timer after an interval match" "RESTART_0,RESTART_1" newline bitfld.long 0x00 9. "DBG,Signal debug logic on interval match" "DBG_0,DBG_1" bitfld.long 0x00 8. "INT,Generate interrupt on interval match" "INT_0,INT_1" newline rbitfld.long 0x00 7. "CHNSDW,Counter has a shadow register for chain reads" "CHNSDW_0,CHNSDW_1" rbitfld.long 0x00 6. "OVRFLW,Counter has wrapped since it was last" "OVRFLW_0,OVRFLW_1" newline bitfld.long 0x00 5. "IDLE,Counter ignores processor IDLE state" "IDLE_0,IDLE_1" bitfld.long 0x00 4. "FREE,Counter ignores processor debug halt state" "FREE_0,FREE_1" newline bitfld.long 0x00 3. "DURMODE,Counter is in duration or occurrence mode" "DURMODE_0,DURMODE_1" bitfld.long 0x00 2. "CHAIN,Counter is chained to an adjacent counter" "CHAIN_0,CHAIN_1" newline bitfld.long 0x00 1. "RESET,Counter reset control" "RESET_0,RESET_1" bitfld.long 0x00 0. "ENBL,Counter enable control" "ENBL_0,ENBL_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x40)++0x03 line.long 0x00 "CACHE_SCTM_TINTVLR_i_$1,These registers contain the interval match value for the corresponding timers in the SCTM" repeat.end tree.end tree "IPU2_WUGEN" base ad:0x55081000 group.long 0x00++0x13 line.long 0x00 "CORTEXM4_CTRL_REG,The register is used by one CPU to interrupt the other. thus used as a handshake between the two CPUs" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "INT_CORTEX_2,Interrupt to IPUx_C1" "0,1" hexmask.long.word 0x00 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "INT_CORTEX_1,Interrupt to IPUx_C0" "0,1" line.long 0x04 "STANDBY_CORE_SYSCONFIG,Standby protocol" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--1. "STANDBYMODE," "?,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" line.long 0x08 "IDLE_CORE_SYSCONFIG,Idle protocol" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0.--1. "IDLEMODE," "?,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" line.long 0x0C "WUGEN_MEVT0,This register contains the interrupt mask (LSB) wake-up enable bit per interrupt request" bitfld.long 0x0C 31. "MIRQ31,Interrupt Mask bit 31" "0,1" bitfld.long 0x0C 30. "MIRQ30,Interrupt Mask bit 30" "0,1" bitfld.long 0x0C 29. "MIRQ29,Interrupt Mask bit 29" "0,1" bitfld.long 0x0C 28. "MIRQ28,Interrupt Mask bit 28" "0,1" bitfld.long 0x0C 27. "MIRQ27,Interrupt Mask bit 27" "0,1" bitfld.long 0x0C 26. "MIRQ26,Interrupt Mask bit 26" "0,1" newline bitfld.long 0x0C 25. "MIRQ25,Interrupt Mask bit 25" "0,1" bitfld.long 0x0C 24. "MIRQ24,Interrupt Mask bit 24" "0,1" bitfld.long 0x0C 23. "MIRQ23,Interrupt Mask bit 23" "0,1" bitfld.long 0x0C 22. "MIRQ22,Interrupt Mask bit 22" "0,1" bitfld.long 0x0C 21. "MIRQ21,Interrupt Mask bit 21" "0,1" bitfld.long 0x0C 20. "MIRQ20,Interrupt Mask bit 20" "0,1" newline bitfld.long 0x0C 19. "MIRQ19,Interrupt Mask bit 19" "0,1" bitfld.long 0x0C 18. "MIRQ18,Interrupt Mask bit 18" "0,1" bitfld.long 0x0C 17. "MIRQ17,Interrupt Mask bit 17" "0,1" bitfld.long 0x0C 16. "MIRQ16,Interrupt Mask bit 16" "0,1" bitfld.long 0x0C 15. "MIRQ15,Interrupt Mask bit 15" "0,1" bitfld.long 0x0C 14. "MIRQ14,Interrupt Mask bit 14" "0,1" newline bitfld.long 0x0C 13. "MIRQ13,Interrupt Mask bit 13" "0,1" bitfld.long 0x0C 12. "MIRQ12,Interrupt Mask bit 12" "0,1" bitfld.long 0x0C 11. "MIRQ11,Interrupt Mask bit 11" "0,1" bitfld.long 0x0C 10. "MIRQ10,Interrupt Mask bit 10" "0,1" bitfld.long 0x0C 9. "MIRQ9,Interrupt Mask bit 9" "0,1" bitfld.long 0x0C 8. "MIRQ8,Interrupt Mask bit 8" "0,1" newline bitfld.long 0x0C 7. "MIRQ7,Interrupt Mask bit 7" "0,1" bitfld.long 0x0C 6. "MIRQ6,Interrupt Mask bit 6" "0,1" bitfld.long 0x0C 5. "MIRQ5,Interrupt Mask bit 5" "0,1" bitfld.long 0x0C 4. "MIRQ4,Interrupt Mask bit 4" "0,1" bitfld.long 0x0C 3. "MIRQ3,Interrupt Mask bit 3" "0,1" bitfld.long 0x0C 2. "MIRQ2,Interrupt Mask bit 2" "0,1" newline bitfld.long 0x0C 1. "MIRQ1,Interrupt Mask bit 1" "0,1" bitfld.long 0x0C 0. "MIRQ0,Interrupt Mask bit 0" "0,1" line.long 0x10 "WUGEN_MEVT1,This register contains the interrupt mask (MSB) wake-up enable bit per interrupt request" bitfld.long 0x10 31. "MIRQ63,Interrupt Mask bit 63" "0,1" bitfld.long 0x10 30. "MIRQ62,Interrupt Mask bit 62" "0,1" bitfld.long 0x10 29. "MIRQ61,Interrupt Mask bit 61" "0,1" bitfld.long 0x10 28. "MIRQ60,Interrupt Mask bit 60" "0,1" bitfld.long 0x10 27. "MIRQ59,Interrupt Mask bit 59" "0,1" bitfld.long 0x10 26. "MIRQ58,Interrupt Mask bit 58" "0,1" newline bitfld.long 0x10 25. "MIRQ57,Interrupt Mask bit 57" "0,1" bitfld.long 0x10 24. "MIRQ56,Interrupt Mask bit 56" "0,1" bitfld.long 0x10 23. "MIRQ55,Interrupt Mask bit 55" "0,1" bitfld.long 0x10 22. "MIRQ54,Interrupt Mask bit 54" "0,1" bitfld.long 0x10 21. "MIRQ53,Interrupt Mask bit 53" "0,1" bitfld.long 0x10 20. "MIRQ52,Interrupt Mask bit 52" "0,1" newline bitfld.long 0x10 19. "MIRQ51,Interrupt Mask bit 51" "0,1" bitfld.long 0x10 18. "MIRQ50,Interrupt Mask bit 50" "0,1" bitfld.long 0x10 17. "MIRQ49,Interrupt Mask bit 49" "0,1" bitfld.long 0x10 16. "MIRQ48,Interrupt Mask bit 48" "0,1" bitfld.long 0x10 15. "MIRQ47,Interrupt Mask bit 47" "0,1" bitfld.long 0x10 14. "MIRQ46,Interrupt Mask bit 46" "0,1" newline bitfld.long 0x10 13. "MIRQ45,Interrupt Mask bit 45" "0,1" bitfld.long 0x10 12. "MIRQ44,Interrupt Mask bit 44" "0,1" bitfld.long 0x10 11. "MIRQ43,Interrupt Mask bit 43" "0,1" bitfld.long 0x10 10. "MIRQ42,Interrupt Mask bit 42" "0,1" bitfld.long 0x10 9. "MIRQ41,Interrupt Mask bit 41" "0,1" bitfld.long 0x10 8. "MIRQ40,Interrupt Mask bit 40" "0,1" newline bitfld.long 0x10 7. "MIRQ39,Interrupt Mask bit 39" "0,1" bitfld.long 0x10 6. "MIRQ38,Interrupt Mask bit 38" "0,1" bitfld.long 0x10 5. "MIRQ37,Interrupt Mask bit 37" "0,1" bitfld.long 0x10 4. "MIRQ36,Interrupt Mask bit 36" "0,1" bitfld.long 0x10 3. "MIRQ35,Interrupt Mask bit 35" "0,1" bitfld.long 0x10 2. "MIRQ34,Interrupt Mask bit 34" "0,1" newline bitfld.long 0x10 1. "MIRQ33,Interrupt Mask bit 33" "0,1" bitfld.long 0x10 0. "MIRQ32,Interrupt Mask bit 32" "0,1" tree.end tree "IPU_PRM" base ad:0x4AE06500 group.long 0x00++0x07 line.long 0x00 "PM_IPU_PWRSTCTRL,This register controls the IPU domain power state to reach upon a domain sleep transition" hexmask.long.word 0x00 22.--31. 1. "RESERVED," rbitfld.long 0x00 20.--21. "PERIPHMEM_ONSTATE,PERIPHMEM memory state when domain is ON" "?,?,?,PERIPHMEM_ONSTATE_3" newline rbitfld.long 0x00 18.--19. "RESERVED," "0,1,2,3" rbitfld.long 0x00 16.--17. "AESSMEM_ONSTATE,AESSMEM memory state when domain is ON" "?,?,?,AESSMEM_ONSTATE_3" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 10. "PERIPHMEM_RETSTATE,PERIPHMEM memory state when domain is RETENTION" "PERIPHMEM_RETSTATE_0,PERIPHMEM_RETSTATE_1" newline rbitfld.long 0x00 9. "RESERVED," "0,1" bitfld.long 0x00 8. "AESSMEM_RETSTATE,AESSMEM memory state when domain is RETENTION" "AESSMEM_RETSTATE_0,AESSMEM_RETSTATE_1" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" rbitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,?" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_IPU_PWRSTST,This register provides a status on the IPU domain current power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 10.--19. 1. "RESERVED," rbitfld.long 0x04 8.--9. "PERIPHMEM_STATEST,PERIPHMEM memory state status" "PERIPHMEM_STATEST_0,PERIPHMEM_STATEST_1,PERIPHMEM_STATEST_2,PERIPHMEM_STATEST_3" newline rbitfld.long 0x04 6.--7. "RESERVED," "0,1,2,3" rbitfld.long 0x04 4.--5. "AESSMEM_STATEST,AESSMEM memory state status" "AESSMEM_STATEST_0,AESSMEM_STATEST_1,AESSMEM_STATEST_2,AESSMEM_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_IPU1_RSTCTRL,This register controls the release of the IPU1 sub-system resets" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 2. "RST_IPU,IPU1 system reset control" "RST_IPU_0,RST_IPU_1" newline bitfld.long 0x00 1. "RST_CPU1,IPU Cortex M4 CPU1 reset control" "RST_CPU1_0,RST_CPU1_1" bitfld.long 0x00 0. "RST_CPU0,IPU Cortex M4 CPU0 reset control" "RST_CPU0_0,RST_CPU0_1" line.long 0x04 "RM_IPU1_RSTST,This register logs the different reset sources of the IPU1 SS" hexmask.long 0x04 7.--31. 1. "RESERVED," bitfld.long 0x04 6. "RST_ICECRUSHER_CPU1,Cortex M4 CPU1 has been reset due to IPU ICECRUSHER1 reset source" "RST_ICECRUSHER_CPU1_0,RST_ICECRUSHER_CPU1_1" newline bitfld.long 0x04 5. "RST_ICECRUSHER_CPU0,Cortex M4 CPU0 has been reset due to IPU ICECRUSHER0 reset source" "RST_ICECRUSHER_CPU0_0,RST_ICECRUSHER_CPU0_1" bitfld.long 0x04 4. "RST_EMULATION_CPU1,Cortex M4 CPU1 has been reset due to emulation reset source for example assert reset command initiated by the icepick module" "RST_EMULATION_CPU1_0,RST_EMULATION_CPU1_1" newline bitfld.long 0x04 3. "RST_EMULATION_CPU0,Cortex M4 CPU0 has been reset due to emulation reset source for example assert reset command initiated by the icepick module" "RST_EMULATION_CPU0_0,RST_EMULATION_CPU0_1" bitfld.long 0x04 2. "RST_IPU,IPU system software reset status" "RST_IPU_0,RST_IPU_1" newline bitfld.long 0x04 1. "RST_CPU1,IPU Cortex-M4 CPU1 software reset status" "RST_CPU1_0,RST_CPU1_1" bitfld.long 0x04 0. "RST_CPU0,IPU Cortex-M4 CPU0 software reset status" "RST_CPU0_0,RST_CPU0_1" group.long 0x24++0x03 line.long 0x00 "RM_IPU1_IPU1_CONTEXT,This register contains dedicated IPU1 context statuses" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "LOSTMEM_IPU_L2RAM,Specify if memory-based context in IPU_L2RAM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_IPU_L2RAM_0,LOSTMEM_IPU_L2RAM_1" newline bitfld.long 0x00 8. "LOSTMEM_IPU_UNICACHE,Specify if memory-based context in IPU_UNICACHE memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_IPU_UNICACHE_0,LOSTMEM_IPU_UNICACHE_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0x50++0x03 line.long 0x00 "PM_IPU_MCASP1_WKDEP,This register controls wakeup dependency based on MCASP1 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP1_DMA_DSP2,Wakeup dependency from MCASP1 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_DMA_DSP2_0,WKUPDEP_MCASP1_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP1_DMA_SDMA,Wakeup dependency from MCASP1 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_DMA_SDMA_0,WKUPDEP_MCASP1_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP1_DMA_DSP1,Wakeup dependency from MCASP1 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_DMA_DSP1_0,WKUPDEP_MCASP1_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP1_IRQ_EVE4,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_EVE4_0,WKUPDEP_MCASP1_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP1_IRQ_EVE3,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_EVE3_0,WKUPDEP_MCASP1_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP1_IRQ_EVE2,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_EVE2_0,WKUPDEP_MCASP1_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP1_IRQ_EVE1,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_EVE1_0,WKUPDEP_MCASP1_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP1_IRQ_DSP2,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_DSP2_0,WKUPDEP_MCASP1_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP1_IRQ_IPU1,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_IPU1_0,WKUPDEP_MCASP1_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP1_IRQ_DSP1,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_DSP1_0,WKUPDEP_MCASP1_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP1_IRQ_IPU2,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_IPU2_0,WKUPDEP_MCASP1_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP1_IRQ_MPU,Wakeup dependency from MCASP1 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP1_IRQ_MPU_0,WKUPDEP_MCASP1_IRQ_MPU_1" group.long 0x58++0x03 line.long 0x00 "PM_IPU_TIMER5_WKDEP,This register controls wakeup dependency based on TIMER5 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER5_EVE4,Wakeup dependency from TIMER5 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_EVE4_0,WKUPDEP_TIMER5_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER5_EVE3,Wakeup dependency from TIMER5 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_EVE3_0,WKUPDEP_TIMER5_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER5_EVE2,Wakeup dependency from TIMER5 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_EVE2_0,WKUPDEP_TIMER5_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER5_EVE1,Wakeup dependency from TIMER5 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_EVE1_0,WKUPDEP_TIMER5_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER5_DSP2,Wakeup dependency from TIMER5 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_DSP2_0,WKUPDEP_TIMER5_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER5_IPU1,Wakeup dependency from TIMER5 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_IPU1_0,WKUPDEP_TIMER5_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER5_DSP1,Wakeup dependency from TIMER5 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_DSP1_0,WKUPDEP_TIMER5_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER5_IPU2,Wakeup dependency from TIMER5 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_IPU2_0,WKUPDEP_TIMER5_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER5_MPU,Wakeup dependency from TIMER5 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER5_MPU_0,WKUPDEP_TIMER5_MPU_1" group.long 0x60++0x03 line.long 0x00 "PM_IPU_TIMER6_WKDEP,This register controls wakeup dependency based on TIMER6 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER6_EVE4,Wakeup dependency from TIMER6 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_EVE4_0,WKUPDEP_TIMER6_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER6_EVE3,Wakeup dependency from TIMER6 (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_EVE3_0,WKUPDEP_TIMER6_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER6_EVE2,Wakeup dependency from TIMER6 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_EVE2_0,WKUPDEP_TIMER6_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER6_EVE1,Wakeup dependency from TIMER6 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_EVE1_0,WKUPDEP_TIMER6_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER6_DSP2,Wakeup dependency from TIMER6 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_DSP2_0,WKUPDEP_TIMER6_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER6_IPU1,Wakeup dependency from TIMER6 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_IPU1_0,WKUPDEP_TIMER6_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER6_DSP1,Wakeup dependency from TIMER6 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_DSP1_0,WKUPDEP_TIMER6_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER6_IPU2,Wakeup dependency from TIMER6 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_IPU2_0,WKUPDEP_TIMER6_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER6_MPU,Wakeup dependency from TIMER6 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER6_MPU_0,WKUPDEP_TIMER6_MPU_1" group.long 0x68++0x03 line.long 0x00 "PM_IPU_TIMER7_WKDEP,This register controls wakeup dependency based on TIMER7 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER7_EVE4,Wakeup dependency from TIMER7 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_EVE4_0,WKUPDEP_TIMER7_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER7_EVE3,Wakeup dependency from TIMER7 (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_EVE3_0,WKUPDEP_TIMER7_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER7_EVE2,Wakeup dependency from TIMER7 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_EVE2_0,WKUPDEP_TIMER7_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER7_EVE1,Wakeup dependency from TIMER7 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_EVE1_0,WKUPDEP_TIMER7_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER7_DSP2,Wakeup dependency from TIMER7 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_DSP2_0,WKUPDEP_TIMER7_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER7_IPU1,Wakeup dependency from TIMER7 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_IPU1_0,WKUPDEP_TIMER7_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER7_DSP1,Wakeup dependency from TIMER7 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_DSP1_0,WKUPDEP_TIMER7_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER7_IPU2,Wakeup dependency from TIMER7 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_IPU2_0,WKUPDEP_TIMER7_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER7_MPU,Wakeup dependency from TIMER7 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER7_MPU_0,WKUPDEP_TIMER7_MPU_1" group.long 0x70++0x03 line.long 0x00 "PM_IPU_TIMER8_WKDEP,This register controls wakeup dependency based on TIMER8 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER8_EVE4,Wakeup dependency from TIMER8 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_EVE4_0,WKUPDEP_TIMER8_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER8_EVE3,Wakeup dependency from TIMER8 (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_EVE3_0,WKUPDEP_TIMER8_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER8_EVE2,Wakeup dependency from TIMER8 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_EVE2_0,WKUPDEP_TIMER8_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER8_EVE1,Wakeup dependency from TIMER8 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_EVE1_0,WKUPDEP_TIMER8_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER8_DSP2,Wakeup dependency from TIMER8 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_DSP2_0,WKUPDEP_TIMER8_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER8_IPU1,Wakeup dependency from TIMER8 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_IPU1_0,WKUPDEP_TIMER8_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER8_DSP1,Wakeup dependency from TIMER8 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_DSP1_0,WKUPDEP_TIMER8_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER8_IPU2,Wakeup dependency from TIMER8 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_IPU2_0,WKUPDEP_TIMER8_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER8_MPU,Wakeup dependency from TIMER8 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER8_MPU_0,WKUPDEP_TIMER8_MPU_1" group.long 0x78++0x03 line.long 0x00 "PM_IPU_I2C5_WKDEP,This register controls wakeup dependency based on I2C5 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_I2C5_DMA_DSP2,Wakeup dependency from I2C5 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_DMA_DSP2_0,WKUPDEP_I2C5_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_I2C5_DMA_SDMA,Wakeup dependency from I2C5 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_DMA_SDMA_0,WKUPDEP_I2C5_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_I2C5_DMA_DSP1,Wakeup dependency from I2C5 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_DMA_DSP1_0,WKUPDEP_I2C5_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_I2C5_IRQ_EVE4,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_EVE4_0,WKUPDEP_I2C5_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_I2C5_IRQ_EVE3,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_EVE3_0,WKUPDEP_I2C5_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_I2C5_IRQ_EVE2,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_EVE2_0,WKUPDEP_I2C5_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_I2C5_IRQ_EVE1,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_EVE1_0,WKUPDEP_I2C5_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_I2C5_IRQ_DSP2,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_DSP2_0,WKUPDEP_I2C5_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_I2C5_IRQ_IPU1,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_IPU1_0,WKUPDEP_I2C5_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_I2C5_IRQ_DSP1,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_DSP1_0,WKUPDEP_I2C5_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_I2C5_IRQ_IPU2,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_IPU2_0,WKUPDEP_I2C5_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_I2C5_IRQ_MPU,Wakeup dependency from I2C5 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C5_IRQ_MPU_0,WKUPDEP_I2C5_IRQ_MPU_1" group.long 0x80++0x03 line.long 0x00 "PM_IPU_UART6_WKDEP,This register controls wakeup dependency based on UART6 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART6_EVE4,Wakeup dependency from UART6 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_EVE4_0,WKUPDEP_UART6_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART6_EVE3,Wakeup dependency from UART6 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_EVE3_0,WKUPDEP_UART6_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART6_EVE2,Wakeup dependency from UART6 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_EVE2_0,WKUPDEP_UART6_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART6_EVE1,Wakeup dependency from UART6 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_EVE1_0,WKUPDEP_UART6_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART6_DSP2,Wakeup dependency from UART6 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_DSP2_0,WKUPDEP_UART6_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART6_IPU1,Wakeup dependency from UART6 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_IPU1_0,WKUPDEP_UART6_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART6_SDMA,Wakeup dependency from UART6 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_SDMA_0,WKUPDEP_UART6_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART6_DSP1,Wakeup dependency from UART6 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_DSP1_0,WKUPDEP_UART6_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART6_IPU2,Wakeup dependency from UART6 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_IPU2_0,WKUPDEP_UART6_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART6_MPU,Wakeup dependency from UART6 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART6_MPU_0,WKUPDEP_UART6_MPU_1" tree.end tree "IVA_CONFIG_FW" base ad:0x4A220000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "IVA_CONFIG_FW_CFG_TARG" base ad:0x4A221000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "IVA_CONFIG_TARG" base ad:0x44001600 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "IVA_MBOX" base ad:0x5A05A800 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end tree "IVA_PRM" base ad:0x4AE06F00 group.long 0x00++0x07 line.long 0x00 "PM_IVA_PWRSTCTRL,This register controls the IVA power state to reach upon a domain sleep transition" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," rbitfld.long 0x00 22.--23. "TCM2_MEM_ONSTATE,TCM_CORE memory state when domain is ON" "?,?,?,TCM2_MEM_ONSTATE_3" newline rbitfld.long 0x00 20.--21. "TCM1_MEM_ONSTATE,TCM1 memory state when domain is ON" "?,?,?,TCM1_MEM_ONSTATE_3" rbitfld.long 0x00 18.--19. "SL2_MEM_ONSTATE,SL2 memory state when domain is ON" "?,?,?,SL2_MEM_ONSTATE_3" newline rbitfld.long 0x00 16.--17. "HWA_MEM_ONSTATE,HWA memory state when domain is ON" "?,?,?,HWA_MEM_ONSTATE_3" rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "TCM2_MEM_RETSTATE,TCM2 memory state when domain is RETENTION" "TCM2_MEM_RETSTATE_0,TCM2_MEM_RETSTATE_1" bitfld.long 0x00 10. "TCM1_MEM_RETSTATE,TCM1 memory state when domain is RETENTION" "TCM1_MEM_RETSTATE_0,TCM1_MEM_RETSTATE_1" newline bitfld.long 0x00 9. "SL2_MEM_RETSTATE,SL2 memory state when domain is RETENTION" "SL2_MEM_RETSTATE_0,SL2_MEM_RETSTATE_1" rbitfld.long 0x00 8. "HWA_MEM_RETSTATE,HWA memory state when domain is RETENTION" "HWA_MEM_RETSTATE_0,?" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" rbitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,?" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_IVA_PWRSTST,This register provides a status on the current IVA power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.byte 0x04 12.--19. 1. "RESERVED," rbitfld.long 0x04 10.--11. "TCM2_MEM_STATEST,TCM2 memory state status" "TCM2_MEM_STATEST_0,TCM2_MEM_STATEST_1,TCM2_MEM_STATEST_2,TCM2_MEM_STATEST_3" newline rbitfld.long 0x04 8.--9. "TCM1_MEM_STATEST,TCM1 memory state status" "TCM1_MEM_STATEST_0,TCM1_MEM_STATEST_1,TCM1_MEM_STATEST_2,TCM1_MEM_STATEST_3" rbitfld.long 0x04 6.--7. "SL2_MEM_STATEST,SL2 memory state status" "SL2_MEM_STATEST_0,SL2_MEM_STATEST_1,SL2_MEM_STATEST_2,SL2_MEM_STATEST_3" newline rbitfld.long 0x04 4.--5. "HWA_MEM_STATEST,HWA memory state status" "HWA_MEM_STATEST_0,HWA_MEM_STATEST_1,HWA_MEM_STATEST_2,HWA_MEM_STATEST_3" rbitfld.long 0x04 3. "RESERVED," "0,1" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_IVA_RSTCTRL,This register controls the release of the IVA sub-system resets" hexmask.long 0x00 3.--31. 1. "RESERVED," bitfld.long 0x00 2. "RST_LOGIC,IVA logic and SL2 reset control" "RST_LOGIC_0,RST_LOGIC_1" newline bitfld.long 0x00 1. "RST_SEQ2,IVA Sequencer2 reset control" "RST_SEQ2_0,RST_SEQ2_1" bitfld.long 0x00 0. "RST_SEQ1,IVA sequencer1 reset control" "RST_SEQ1_0,RST_SEQ1_1" line.long 0x04 "RM_IVA_RSTST,This register logs the different reset sources of the IVA domain" hexmask.long 0x04 7.--31. 1. "RESERVED," bitfld.long 0x04 6. "RST_ICECRUSHER_SEQ2,Sequencer2 CPU has been reset due to IVA ICECRUSHER2 reset event" "RST_ICECRUSHER_SEQ2_0,RST_ICECRUSHER_SEQ2_1" newline bitfld.long 0x04 5. "RST_ICECRUSHER_SEQ1,Sequencer1 CPU has been reset due to IVA ICECRUSHER1 reset event" "RST_ICECRUSHER_SEQ1_0,RST_ICECRUSHER_SEQ1_1" bitfld.long 0x04 4. "RST_EMULATION_SEQ2,Sequencer2 CPU has been reset due to emulation reset source e.g" "RST_EMULATION_SEQ2_0,RST_EMULATION_SEQ2_1" newline bitfld.long 0x04 3. "RST_EMULATION_SEQ1,Sequencer1 CPU has been reset due to emulation reset source e.g" "RST_EMULATION_SEQ1_0,RST_EMULATION_SEQ1_1" bitfld.long 0x04 2. "RST_LOGIC,IVA logic and SL2 SW reset" "RST_LOGIC_0,RST_LOGIC_1" newline bitfld.long 0x04 1. "RST_SEQ2,IVA Sequencer2 CPU SW reset" "RST_SEQ2_0,RST_SEQ2_1" bitfld.long 0x04 0. "RST_SEQ1,IVA Sequencer1 CPU SW reset" "RST_SEQ1_0,RST_SEQ1_1" group.long 0x24++0x03 line.long 0x00 "RM_IVA_IVA_CONTEXT,This register contains dedicated IVA context statuses" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 10. "LOSTMEM_HWA_MEM,Specify if memory-based context in HWA_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_HWA_MEM_0,LOSTMEM_HWA_MEM_1" newline bitfld.long 0x00 9. "LOSTMEM_TCM2_MEM,Specify if memory-based context in TCM2_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_TCM2_MEM_0,LOSTMEM_TCM2_MEM_1" bitfld.long 0x00 8. "LOSTMEM_TCM1_MEM,Specify if memory-based context in TCM1_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_TCM1_MEM_0,LOSTMEM_TCM1_MEM_1" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0x2C++0x03 line.long 0x00 "RM_IVA_SL2_CONTEXT,This register contains dedicated SL2 context statuses" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," bitfld.long 0x00 8. "LOSTMEM_SL2_MEM,Specify if memory-based context in SL2_MEM memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_SL2_MEM_0,LOSTMEM_SL2_MEM_1" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "IVA_SL2IF_FW" base ad:0x4A21E000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 3. (list 1. 2. 3. )(list 0x00 0x10 0x20 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "IVA_SL2IF_FW_CFG_TARG" base ad:0x4A21F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "IVA_SL2IF_TARG" base ad:0x44001800 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "KBD" base ad:0x4AE1C000 rgroup.long 0x00++0x03 line.long 0x00 "KBD_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "KBD_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long 0x00 6.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 5. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 3.--4. "IDLEMODE,Power Management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Reads return 0" "0,1" newline bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" rbitfld.long 0x00 0. "RESERVED,Reads return 0" "0,1" group.long 0x1C++0x53 line.long 0x00 "KBD_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if an new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "LINE_NUMBER,Software End Of Interrupt (EOI) control" "LINE_NUMBER_0,LINE_NUMBER_1" line.long 0x04 "KBD_IRQSTATUS_RAW,Per-event raw interrupt status vector Raw status is set even if event is not enabled" hexmask.long 0x04 4.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x04 3. "MISS_EVENT,IRQ status for Miss event" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 2. "IT_TIMEOUT,IRQ status for Timeout" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "IT_LONG_KEY,IRQ status for Long key" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "IT_EVENT,IRQ status for Event" "No action Read,Trigger IRQ event by software" line.long 0x08 "KBD_IRQSTATUS,Per-event 'enabled' interrupt status vector" hexmask.long 0x08 4.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x08 3. "MISS_EVENT,IRQ status for Miss event Read always returns zero" "No action Write,Clear pending event if any" newline bitfld.long 0x08 2. "IT_TIMEOUT,IRQ status for Timeout" "No action Read,Clear pending event if any" bitfld.long 0x08 1. "IT_LONG_KEY,IRQ status for Long key" "No action Read,Clear pending event if any" newline bitfld.long 0x08 0. "IT_EVENT,IRQ status for Event" "No action Read,Clear pending event if any" line.long 0x0C "KBD_IRQENABLE_SET,Per-event interrupt enable bit vector Write 1 to set (enable interrupt)" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x0C 2. "IT_TIMEOUT_EN,IRQ enable for Timeout" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 1. "IT_LONG_KEY_EN,IRQ enable for Long key" "No action Read,Set IRQ enable" bitfld.long 0x0C 0. "IT_EVENT_EN,IRQ enable for Event" "No action Read,Set IRQ enable" line.long 0x10 "KBD_IRQENABLE_CLR,Per-event interrupt enable bit vector Write 1 to clear (disable interrupt)" hexmask.long 0x10 3.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x10 2. "IT_TIMEOUT_EN,IRQ enable for Timeout" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 1. "IT_LONG_KEY_EN,IRQ enable for Long key" "No action Read,Clear IRQ enable" bitfld.long 0x10 0. "IT_EVENT_EN,IRQ enable for Event" "No action Read,Clear IRQ enable" line.long 0x14 "KBD_IRQWAKEEN,The Keyboard Wake-up Enable Register allows the user to mask the expected source of wake-up event that will generate a wake-up request" hexmask.long 0x14 3.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x14 2. "WUP_TIMEOUT_ENA,Timeout wakeup enable" "WUP_TIMEOUT_ENA_0,WUP_TIMEOUT_ENA_1" newline bitfld.long 0x14 1. "WUP_LONG_KEY_ENA,Long key wakeup enable" "WUP_LONG_KEY_ENA_0,WUP_LONG_KEY_ENA_1" bitfld.long 0x14 0. "WUP_EVENT_ENA,Event wakeup enable" "WUP_EVENT_ENA_0,WUP_EVENT_ENA_1" line.long 0x18 "KBD_PENDING,The software must read the pending write bits to insure that following write access will not be discarded due to on going write synchronization process" hexmask.long 0x18 4.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x18 3. "PEND_TIMEOUT,Write pending bit forKBD_TIMEOUT register" "PEND_TIMEOUT_0_r,PEND_TIMEOUT_1_r" newline bitfld.long 0x18 2. "PEND_LONG_KEY,Write pending bit forKBD_KEYLONGTIME register" "PEND_LONG_KEY_0_r,PEND_LONG_KEY_1_r" bitfld.long 0x18 1. "PEND_DEBOUNCING,Write pending bit forKBD_DEBOUNCINGTIME register" "PEND_DEBOUNCING_0_r,PEND_DEBOUNCING_1_r" newline bitfld.long 0x18 0. "PEND_CTRL,Write pending bit forKBD_CTRL register" "PEND_CTRL_0_r,PEND_CTRL_1_r" line.long 0x1C "KBD_CTRL,This register sets the functional configuration of the module" hexmask.long.tbyte 0x1C 9.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x1C 8. "REPEAT_MODE,Repeat mode enable" "REPEAT_MODE_0,REPEAT_MODE_1" newline bitfld.long 0x1C 7. "TIMEOUT_LONG_KEY,Timeout long key mode enable" "TIMEOUT_LONG_KEY_0,TIMEOUT_LONG_KEY_1" bitfld.long 0x1C 6. "TIMEOUT_EMPTY,Timeout empty mode enable" "TIMEOUT_EMPTY_0,TIMEOUT_EMPTY_1" newline bitfld.long 0x1C 5. "LONG_KEY,Long key mode enable" "LONG_KEY_0,LONG_KEY_1" bitfld.long 0x1C 2.--4. "PTV,Pre-scale clock timer value" "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 1. "NSOFTWARE_MODE,Select hardware or software mode for key decoding" "NSOFTWARE_MODE_0,NSOFTWARE_MODE_1" rbitfld.long 0x1C 0. "RESERVED,Reads return 0" "0,1" line.long 0x20 "KBD_DEBOUNCINGTIME,This register is used to filter glitches on the press key or release key" hexmask.long 0x20 6.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x20 0.--5. "DEBOUNCING_VALUE,This value correspond to the desired value of debouncing time" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x24 "KBD_KEYLONGTIME,This register is used to measure duration of a key press. to allow. shortcut detection" hexmask.long.tbyte 0x24 12.--31. 1. "RESERVED,Reads return 0" hexmask.long.word 0x24 0.--11. 1. "LONG_KEY_VALUE,This value correspond to the desired value of the long key interrupt or repeat mode value" line.long 0x28 "KBD_TIMEOUT,This register is used to detect a long inactivity on the keyboard" hexmask.long.word 0x28 16.--31. 1. "RESERVED,Reads return 0" hexmask.long.word 0x28 0.--15. 1. "TIMEOUT_VALUE,This value correspond to the desired value of the time out interrupt" line.long 0x2C "KBD_STATEMACHINE,This register indicates the state of the sequencer" hexmask.long 0x2C 4.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x2C 0.--3. "STATE_MACHINE,The state of internal state machine" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x30 "KBD_ROWINPUTS,This register stores the value of the rows input" hexmask.long.tbyte 0x30 9.--31. 1. "RESERVED,Reads return 0" hexmask.long.word 0x30 0.--8. 1. "KBR_LATCH,The value of the rows input" line.long 0x34 "KBD_COLUMNOUTPUTS,This register holds the value of the columns output" hexmask.long.tbyte 0x34 9.--31. 1. "RESERVED,Reads return 0" hexmask.long.word 0x34 0.--8. 1. "KBC_REG,The value of the columns output" line.long 0x38 "KBD_FULLCODE31_0,The register codes the row 0. row 1. row 2 and row 3" line.long 0x3C "KBD_FULLCODE63_32,The register codes the row 4. row 5. row 6 and row 7" line.long 0x40 "KBD_FULLCODE17_0,The register codes the row 0 and row 1" hexmask.long.byte 0x40 25.--31. 1. "RESERVED," hexmask.long.word 0x40 16.--24. 1. "ROW1,A bit at one indicate that the corresponding key is pressed" newline hexmask.long.byte 0x40 9.--15. 1. "RESERVED," hexmask.long.word 0x40 0.--8. 1. "ROW0,A bit at one indicate that the corresponding key is pressed" line.long 0x44 "KBD_FULLCODE35_18,The register codes the row 2 and row 3" hexmask.long.byte 0x44 25.--31. 1. "RESERVED," hexmask.long.word 0x44 16.--24. 1. "ROW3,A bit at one indicate that the corresponding key is pressed" newline hexmask.long.byte 0x44 9.--15. 1. "RESERVED," hexmask.long.word 0x44 0.--8. 1. "ROW2,A bit at one indicate that the corresponding key is pressed" line.long 0x48 "KBD_FULLCODE53_36,The register codes the row 4 and row 5" hexmask.long.byte 0x48 25.--31. 1. "RESERVED," hexmask.long.word 0x48 16.--24. 1. "ROW5,A bit at one indicate that the corresponding key is pressed" newline hexmask.long.byte 0x48 9.--15. 1. "RESERVED," hexmask.long.word 0x48 0.--8. 1. "ROW4,A bit at one indicate that the corresponding key is pressed" line.long 0x4C "KBD_FULLCODE71_54,The register codes the row 6 and row 7" hexmask.long.byte 0x4C 25.--31. 1. "RESERVED," hexmask.long.word 0x4C 16.--24. 1. "ROW7,A bit at one indicate that the corresponding key is pressed" newline hexmask.long.byte 0x4C 9.--15. 1. "RESERVED," hexmask.long.word 0x4C 0.--8. 1. "ROW6,A bit at one indicate that the corresponding key is pressed" line.long 0x50 "KBD_FULLCODE80_72,The register codes the row 8" hexmask.long.tbyte 0x50 9.--31. 1. "RESERVED," hexmask.long.word 0x50 0.--8. 1. "ROW8,A bit at one indicate that the corresponding key is pressed" tree.end tree "KBD_TARG" base ad:0x4AE1D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "L3_INSTR" base ad:0x45000100 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L3_INSTR_FW" base ad:0x4A226000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "L3_INSTR_FW_CFG_TARG" base ad:0x4A227000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "L3INIT_PRM" base ad:0x4AE07300 group.long 0x00++0x07 line.long 0x00 "PM_L3INIT_PWRSTCTRL,This register controls the L3INIT power state to reach upon a domain sleep transition.Note: In the L3INIT power domain OFF state is only allowed in systems where Ethernet RGMII is NOT used in the system - this is very application.." hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "L3INIT_BANK2_ONSTATE,L3INIT BANK2 state when domain is ON" "?,?,?,L3INIT_BANK2_ONSTATE_3" newline rbitfld.long 0x00 14.--15. "L3INIT_BANK1_ONSTATE,L3INIT BANK1 state when domain is ON" "?,?,?,L3INIT_BANK1_ONSTATE_3" rbitfld.long 0x00 10.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 9. "L3INIT_BANK2_RETSTATE,L3INIT BANK2 state when domain is RETENTION" "?,L3INIT_BANK2_RETSTATE_1" rbitfld.long 0x00 8. "L3INIT_BANK1_RETSTATE,L3INIT BANK1 state when domain is RETENTION" "L3INIT_BANK1_RETSTATE_0,?" newline rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,LOGICRETSTATE_1" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_L3INIT_PWRSTST,This register provides a status on the current L3INIT power domain state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" newline hexmask.long.word 0x04 8.--19. 1. "RESERVED," rbitfld.long 0x04 6.--7. "L3INIT_BANK2_STATEST,L3INIT BANK2 state status" "L3INIT_BANK2_STATEST_0,L3INIT_BANK2_STATEST_1,L3INIT_BANK2_STATEST_2,L3INIT_BANK2_STATEST_3" newline rbitfld.long 0x04 4.--5. "L3INIT_BANK1_STATEST,L3INIT BANK1 state status" "L3INIT_BANK1_STATEST_0,L3INIT_BANK1_STATEST_1,L3INIT_BANK1_STATEST_2,L3INIT_BANK1_STATEST_3" rbitfld.long 0x04 3. "RESERVED," "0,1" newline rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x10++0x07 line.long 0x00 "RM_PCIESS_RSTCTRL,This register controls the release of the PCIESS local reset" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "RST_LOCAL_PCIE2,PCIESS2 local reset control" "RST_LOCAL_PCIE2_0,RST_LOCAL_PCIE2_1" newline bitfld.long 0x00 0. "RST_LOCAL_PCIE1,PCIESS1 local reset control" "RST_LOCAL_PCIE1_0,RST_LOCAL_PCIE1_1" line.long 0x04 "RM_PCIESS_RSTST,This register logs the different reset sources of the PCIESS domain" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 1. "RST_LOCAL_PCIE2,PCIESS2 local SW reset" "RST_LOCAL_PCIE2_0,RST_LOCAL_PCIE2_1" newline bitfld.long 0x04 0. "RST_LOCAL_PCIE1,PCIESS1 local SW reset" "RST_LOCAL_PCIE1_0,RST_LOCAL_PCIE1_1" group.long 0x28++0x03 line.long 0x00 "PM_L3INIT_MMC1_WKDEP,This register controls wakeup dependency based on MMC1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MMC1_EVE4,Wakeup dependency from MMC1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_EVE4_0,WKUPDEP_MMC1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MMC1_EVE3,Wakeup dependency from MMC1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_EVE3_0,WKUPDEP_MMC1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MMC1_EVE2,Wakeup dependency from MMC1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_EVE2_0,WKUPDEP_MMC1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MMC1_EVE1,Wakeup dependency from MMC1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_EVE1_0,WKUPDEP_MMC1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MMC1_DSP2,Wakeup dependency from MMC1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_DSP2_0,WKUPDEP_MMC1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MMC1_IPU1,Wakeup dependency from MMC1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_IPU1_0,WKUPDEP_MMC1_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MMC1_SDMA,Wakeup dependency from MMC1 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_SDMA_0,WKUPDEP_MMC1_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MMC1_DSP1,Wakeup dependency from MMC1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_DSP1_0,WKUPDEP_MMC1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MMC1_IPU2,Wakeup dependency from MMC1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_IPU2_0,WKUPDEP_MMC1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MMC1_MPU,Wakeup dependency from MMC1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC1_MPU_0,WKUPDEP_MMC1_MPU_1" group.long 0x30++0x03 line.long 0x00 "PM_L3INIT_MMC2_WKDEP,This register controls wakeup dependency based on MMC2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MMC2_EVE4,Wakeup dependency from MMC2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_EVE4_0,WKUPDEP_MMC2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MMC2_EVE3,Wakeup dependency from MMC2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_EVE3_0,WKUPDEP_MMC2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MMC2_EVE2,Wakeup dependency from MMC2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_EVE2_0,WKUPDEP_MMC2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MMC2_EVE1,Wakeup dependency from MMC2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_EVE1_0,WKUPDEP_MMC2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MMC2_DSP2,Wakeup dependency from MMC2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_DSP2_0,WKUPDEP_MMC2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MMC2_IPU1,Wakeup dependency from MMC2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_IPU1_0,WKUPDEP_MMC2_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MMC2_SDMA,Wakeup dependency from MMC2 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_SDMA_0,WKUPDEP_MMC2_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MMC2_DSP1,Wakeup dependency from MMC2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_DSP1_0,WKUPDEP_MMC2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MMC2_IPU2,Wakeup dependency from MMC2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_IPU2_0,WKUPDEP_MMC2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MMC2_MPU,Wakeup dependency from MMC2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC2_MPU_0,WKUPDEP_MMC2_MPU_1" group.long 0x40++0x17 line.long 0x00 "PM_L3INIT_USB_OTG_SS2_WKDEP,This register controls wakeup dependency based on USB_OTG_SS2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_USB_OTG_SS2_EVE4,Wakeup dependency from USB2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_EVE4_0,WKUPDEP_USB_OTG_SS2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_USB_OTG_SS2_EVE3,Wakeup dependency from USB2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_EVE3_0,WKUPDEP_USB_OTG_SS2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_USB_OTG_SS2_EVE2,Wakeup dependency from USB2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_EVE2_0,WKUPDEP_USB_OTG_SS2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_USB_OTG_SS2_EVE1,Wakeup dependency from USB2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_EVE1_0,WKUPDEP_USB_OTG_SS2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_USB_OTG_SS2_DSP2,Wakeup dependency from USB2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_DSP2_0,WKUPDEP_USB_OTG_SS2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_USB_OTG_SS2_IPU1,Wakeup dependency from USB2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_IPU1_0,WKUPDEP_USB_OTG_SS2_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_USB_OTG_SS2_DSP1,Wakeup dependency from USB2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_DSP1_0,WKUPDEP_USB_OTG_SS2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_USB_OTG_SS2_IPU2,Wakeup dependency from USB2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_IPU2_0,WKUPDEP_USB_OTG_SS2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_USB_OTG_SS2_MPU,Wakeup dependency from USB2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS2_MPU_0,WKUPDEP_USB_OTG_SS2_MPU_1" line.long 0x04 "RM_L3INIT_USB_OTG_SS2_CONTEXT,This register contains dedicated USB_OTG_SS2 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in L3INIT_BANK1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline rbitfld.long 0x04 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" line.long 0x08 "PM_L3INIT_USB_OTG_SS3_WKDEP,This register controls wakeup dependency based on USB_OTG_SS3 service requests" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "WKUPDEP_USB_OTG_SS3_EVE4,Wakeup dependency from USB3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_EVE4_0,WKUPDEP_USB_OTG_SS3_EVE4_1" newline bitfld.long 0x08 8. "WKUPDEP_USB_OTG_SS3_EVE3,Wakeup dependency from USB3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_EVE3_0,WKUPDEP_USB_OTG_SS3_EVE3_1" bitfld.long 0x08 7. "WKUPDEP_USB_OTG_SS3_EVE2,Wakeup dependency from USB3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_EVE2_0,WKUPDEP_USB_OTG_SS3_EVE2_1" newline bitfld.long 0x08 6. "WKUPDEP_USB_OTG_SS3_EVE1,Wakeup dependency from USB3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_EVE1_0,WKUPDEP_USB_OTG_SS3_EVE1_1" bitfld.long 0x08 5. "WKUPDEP_USB_OTG_SS3_DSP2,Wakeup dependency from USB3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_DSP2_0,WKUPDEP_USB_OTG_SS3_DSP2_1" newline bitfld.long 0x08 4. "WKUPDEP_USB_OTG_SS3_IPU1,Wakeup dependency from USB3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_IPU1_0,WKUPDEP_USB_OTG_SS3_IPU1_1" rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 2. "WKUPDEP_USB_OTG_SS3_DSP1,Wakeup dependency from USB3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_DSP1_0,WKUPDEP_USB_OTG_SS3_DSP1_1" bitfld.long 0x08 1. "WKUPDEP_USB_OTG_SS3_IPU2,Wakeup dependency from USB3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_IPU2_0,WKUPDEP_USB_OTG_SS3_IPU2_1" newline bitfld.long 0x08 0. "WKUPDEP_USB_OTG_SS3_MPU,Wakeup dependency from USB3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS3_MPU_0,WKUPDEP_USB_OTG_SS3_MPU_1" line.long 0x0C "RM_L3INIT_USB_OTG_SS3_CONTEXT,This register contains dedicated USB_OTG_SS3 context statuses" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED," bitfld.long 0x0C 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in L3INIT_BANK1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline rbitfld.long 0x0C 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" newline rbitfld.long 0x0C 0. "RESERVED," "0,1" line.long 0x10 "PM_L3INIT_USB_OTG_SS4_WKDEP,This register controls wakeup dependency based on USB_OTG_SS4 service requests" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," bitfld.long 0x10 9. "WKUPDEP_USB_OTG_SS4_EVE4,Wakeup dependency from USB4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_EVE4_0,WKUPDEP_USB_OTG_SS4_EVE4_1" newline bitfld.long 0x10 8. "WKUPDEP_USB_OTG_SS4_EVE3,Wakeup dependency from USB4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_EVE3_0,WKUPDEP_USB_OTG_SS4_EVE3_1" bitfld.long 0x10 7. "WKUPDEP_USB_OTG_SS4_EVE2,Wakeup dependency from USB4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_EVE2_0,WKUPDEP_USB_OTG_SS4_EVE2_1" newline bitfld.long 0x10 6. "WKUPDEP_USB_OTG_SS4_EVE1,Wakeup dependency from USB4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_EVE1_0,WKUPDEP_USB_OTG_SS4_EVE1_1" bitfld.long 0x10 5. "WKUPDEP_USB_OTG_SS4_DSP2,Wakeup dependency from USB4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_DSP2_0,WKUPDEP_USB_OTG_SS4_DSP2_1" newline bitfld.long 0x10 4. "WKUPDEP_USB_OTG_SS4_IPU1,Wakeup dependency from USB4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_IPU1_0,WKUPDEP_USB_OTG_SS4_IPU1_1" rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "WKUPDEP_USB_OTG_SS4_DSP1,Wakeup dependency from USB4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_DSP1_0,WKUPDEP_USB_OTG_SS4_DSP1_1" bitfld.long 0x10 1. "WKUPDEP_USB_OTG_SS4_IPU2,Wakeup dependency from USB4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_IPU2_0,WKUPDEP_USB_OTG_SS4_IPU2_1" newline bitfld.long 0x10 0. "WKUPDEP_USB_OTG_SS4_MPU,Wakeup dependency from USB4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS4_MPU_0,WKUPDEP_USB_OTG_SS4_MPU_1" line.long 0x14 "RM_L3INIT_USB_OTG_SS4_CONTEXT,This register contains dedicated USB_OTG_SS4 context statuses" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED," bitfld.long 0x14 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in L3INIT_BANK1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline rbitfld.long 0x14 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" newline rbitfld.long 0x14 0. "RESERVED," "0,1" group.long 0x88++0x07 line.long 0x00 "PM_L3INIT_SATA_WKDEP,This register controls wakeup dependency based on SATA service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_SATA_EVE4,Wakeup dependency from SATA module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_EVE4_0,WKUPDEP_SATA_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_SATA_EVE3,Wakeup dependency from SATA module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_EVE3_0,WKUPDEP_SATA_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_SATA_EVE2,Wakeup dependency from SATA module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_EVE2_0,WKUPDEP_SATA_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_SATA_EVE1,Wakeup dependency from SATA module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_EVE1_0,WKUPDEP_SATA_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_SATA_DSP2,Wakeup dependency from SATA module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_DSP2_0,WKUPDEP_SATA_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_SATA_IPU1,Wakeup dependency from SATA module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_IPU1_0,WKUPDEP_SATA_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_SATA_DSP1,Wakeup dependency from SATA module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_DSP1_0,WKUPDEP_SATA_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_SATA_IPU2,Wakeup dependency from SATA module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_SATA_IPU2_0,WKUPDEP_SATA_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_SATA_MPU,Wakeup dependency from SATA module (SWakeup signal) towards MPU + L3MAIN1 + L4CFG domains" "WKUPDEP_SATA_MPU_0,WKUPDEP_SATA_MPU_1" line.long 0x04 "RM_L3INIT_SATA_CONTEXT,This register contains dedicated SATA context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in L3INIT_BANK1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0xB0++0x0F line.long 0x00 "PM_PCIE_PCIESS1_WKDEP,This register controls wakeup dependency based on PCIESS1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_PCIESS1_EVE4,Wakeup dependency from PCIESS1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_EVE4_0,WKUPDEP_PCIESS1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_PCIESS1_EVE3,Wakeup dependency from PCIESS1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_EVE3_0,WKUPDEP_PCIESS1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_PCIESS1_EVE2,Wakeup dependency from PCIESS1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_EVE2_0,WKUPDEP_PCIESS1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_PCIESS1_EVE1,Wakeup dependency from PCIESS1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_EVE1_0,WKUPDEP_PCIESS1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_PCIESS1_DSP2,Wakeup dependency from PCIESS1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_DSP2_0,WKUPDEP_PCIESS1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_PCIESS1_IPU1,Wakeup dependency from PCIESS1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_IPU1_0,WKUPDEP_PCIESS1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_PCIESS1_DSP1,Wakeup dependency from PCIESS1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_DSP1_0,WKUPDEP_PCIESS1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_PCIESS1_IPU2,Wakeup dependency from PCIESS1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_IPU2_0,WKUPDEP_PCIESS1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_PCIESS1_MPU,Wakeup dependency from PCIESS1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS1_MPU_0,WKUPDEP_PCIESS1_MPU_1" line.long 0x04 "RM_PCIE_PCIESS1_CONTEXT,This register contains dedicated PCIESS1 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in PCIESS1_BANK memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x08 "PM_PCIE_PCIESS2_WKDEP,This register controls wakeup dependency based on PCIESS2 service requests" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "WKUPDEP_PCIESS2_EVE4,Wakeup dependency from PCIESS2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_EVE4_0,WKUPDEP_PCIESS2_EVE4_1" newline bitfld.long 0x08 8. "WKUPDEP_PCIESS2_EVE3,Wakeup dependency from PCIESS2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_EVE3_0,WKUPDEP_PCIESS2_EVE3_1" bitfld.long 0x08 7. "WKUPDEP_PCIESS2_EVE2,Wakeup dependency from PCIESS2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_EVE2_0,WKUPDEP_PCIESS2_EVE2_1" newline bitfld.long 0x08 6. "WKUPDEP_PCIESS2_EVE1,Wakeup dependency from PCIESS2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_EVE1_0,WKUPDEP_PCIESS2_EVE1_1" bitfld.long 0x08 5. "WKUPDEP_PCIESS2_DSP2,Wakeup dependency from PCIESS2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_DSP2_0,WKUPDEP_PCIESS2_DSP2_1" newline bitfld.long 0x08 4. "WKUPDEP_PCIESS2_IPU1,Wakeup dependency from PCIESS2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_IPU1_0,WKUPDEP_PCIESS2_IPU1_1" rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 2. "WKUPDEP_PCIESS2_DSP1,Wakeup dependency from PCIESS2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_DSP1_0,WKUPDEP_PCIESS2_DSP1_1" bitfld.long 0x08 1. "WKUPDEP_PCIESS2_IPU2,Wakeup dependency from PCIESS2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_IPU2_0,WKUPDEP_PCIESS2_IPU2_1" newline bitfld.long 0x08 0. "WKUPDEP_PCIESS2_MPU,Wakeup dependency from PCIESS2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_PCIESS2_MPU_0,WKUPDEP_PCIESS2_MPU_1" line.long 0x0C "RM_PCIE_PCIESS2_CONTEXT,This register contains dedicated PCIESS2 context statuses" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED," bitfld.long 0x0C 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in PCIESS1_BANK memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline hexmask.long.byte 0x0C 1.--7. 1. "RESERVED," bitfld.long 0x0C 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0xF0++0x07 line.long 0x00 "PM_L3INIT_USB_OTG_SS1_WKDEP,This register controls wakeup dependency based on USB_OTG_SS1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_USB_OTG_SS1_EVE4,Wakeup dependency from USB1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_EVE4_0,WKUPDEP_USB_OTG_SS1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_USB_OTG_SS1_EVE3,Wakeup dependency from USB1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_EVE3_0,WKUPDEP_USB_OTG_SS1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_USB_OTG_SS1_EVE2,Wakeup dependency from USB1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_EVE2_0,WKUPDEP_USB_OTG_SS1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_USB_OTG_SS1_EVE1,Wakeup dependency from USB1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_EVE1_0,WKUPDEP_USB_OTG_SS1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_USB_OTG_SS1_DSP2,Wakeup dependency from USB1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_DSP2_0,WKUPDEP_USB_OTG_SS1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_USB_OTG_SS1_IPU1,Wakeup dependency from USB1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_IPU1_0,WKUPDEP_USB_OTG_SS1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_USB_OTG_SS1_DSP1,Wakeup dependency from USB1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_DSP1_0,WKUPDEP_USB_OTG_SS1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_USB_OTG_SS1_IPU2,Wakeup dependency from USB1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_IPU2_0,WKUPDEP_USB_OTG_SS1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_USB_OTG_SS1_MPU,Wakeup dependency from USB1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_USB_OTG_SS1_MPU_0,WKUPDEP_USB_OTG_SS1_MPU_1" line.long 0x04 "RM_L3INIT_USB_OTG_SS1_CONTEXT,This register contains dedicated USB_OTG_SS1 context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_L3INIT_BANK1,Specify if memory-based context in L3INIT_BANK1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_L3INIT_BANK1_0,LOSTMEM_L3INIT_BANK1_1" newline rbitfld.long 0x04 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" tree.end tree "L4_CFG_TARG" base ad:0x44000500 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER1_P1_TARG" base ad:0x44001C00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER1_P2_TARG" base ad:0x44001F00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER1_P3_TARG" base ad:0x44002100 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER2_P1_TARG" base ad:0x44002300 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER2_P2_TARG" base ad:0x44002400 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER2_P3_TARG" base ad:0x44002500 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER3_P1_TARG" base ad:0x44002600 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER3_P2_TARG" base ad:0x44002700 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_PER3_P3_TARG" base ad:0x44000E00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4_WKUP_COUNTER_32K" base ad:0x4AE04000 rgroup.long 0x00++0x03 line.long 0x00 "REVISION,This register contains the sync counter IP revision code" group.long 0x10++0x03 line.long 0x00 "SYSCONFIG,This register is used for idle modes only" hexmask.long 0x00 5.--31. 1. "Reserved,Reads return 0" bitfld.long 0x00 3.--4. "IDLEMODE,Power management REQ/ACK control" "0,1,2,3" rbitfld.long 0x00 1.--2. "Reserved,Reads return 0" "0,1,2,3" bitfld.long 0x00 0. "SYNCMODE,Synchronization scheme0x0 Gray synchronization scheme" "0,1" rgroup.long 0x30++0x03 line.long 0x00 "CR,This register contains the 32-kHz sync counter value" tree.end tree "L4_WKUP_TARG" base ad:0x44001D00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "L4PER_PRM" base ad:0x4AE07400 group.long 0x28++0x03 line.long 0x00 "PM_L4PER_TIMER10_WKDEP,This register controls wakeup dependency based on TIMER10 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER10_EVE4,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_EVE4_0,WKUPDEP_TIMER10_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER10_EVE3,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_EVE3_0,WKUPDEP_TIMER10_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER10_EVE2,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_EVE2_0,WKUPDEP_TIMER10_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER10_EVE1,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_EVE1_0,WKUPDEP_TIMER10_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER10_DSP2,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_DSP2_0,WKUPDEP_TIMER10_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER10_IPU1,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_IPU1_0,WKUPDEP_TIMER10_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER10_DSP1,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_DSP1_0,WKUPDEP_TIMER10_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER10_IPU2,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_IPU2_0,WKUPDEP_TIMER10_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER10_MPU,Wakeup dependency from TIMER10 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER10_MPU_0,WKUPDEP_TIMER10_MPU_1" group.long 0x30++0x03 line.long 0x00 "PM_L4PER_TIMER11_WKDEP,This register controls wakeup dependency based on TIMER11 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER11_EVE4,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_EVE4_0,WKUPDEP_TIMER11_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER11_EVE3,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_EVE3_0,WKUPDEP_TIMER11_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER11_EVE2,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_EVE2_0,WKUPDEP_TIMER11_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER11_EVE1,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_EVE1_0,WKUPDEP_TIMER11_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER11_DSP2,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_DSP2_0,WKUPDEP_TIMER11_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER11_IPU1,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_IPU1_0,WKUPDEP_TIMER11_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER11_DSP1,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_DSP1_0,WKUPDEP_TIMER11_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER11_IPU2,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_IPU2_0,WKUPDEP_TIMER11_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER11_MPU,Wakeup dependency from TIMER11 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER11_MPU_0,WKUPDEP_TIMER11_MPU_1" group.long 0x38++0x03 line.long 0x00 "PM_L4PER_TIMER2_WKDEP,This register controls wakeup dependency based on TIMER2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER2_EVE4,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_EVE4_0,WKUPDEP_TIMER2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER2_EVE3,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_EVE3_0,WKUPDEP_TIMER2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER2_EVE2,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_EVE2_0,WKUPDEP_TIMER2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER2_EVE1,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_EVE1_0,WKUPDEP_TIMER2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER2_DSP2,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_DSP2_0,WKUPDEP_TIMER2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER2_IPU1,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_IPU1_0,WKUPDEP_TIMER2_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER2_DSP1,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_DSP1_0,WKUPDEP_TIMER2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER2_IPU2,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_IPU2_0,WKUPDEP_TIMER2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER2_MPU,Wakeup dependency from TIMER2 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER2_MPU_0,WKUPDEP_TIMER2_MPU_1" group.long 0x40++0x03 line.long 0x00 "PM_L4PER_TIMER3_WKDEP,This register controls wakeup dependency based on TIMER3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER3_EVE4,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_EVE4_0,WKUPDEP_TIMER3_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER3_EVE3,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_EVE3_0,WKUPDEP_TIMER3_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER3_EVE2,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_EVE2_0,WKUPDEP_TIMER3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER3_EVE1,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_EVE1_0,WKUPDEP_TIMER3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER3_DSP2,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_DSP2_0,WKUPDEP_TIMER3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER3_IPU1,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_IPU1_0,WKUPDEP_TIMER3_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER3_DSP1,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_DSP1_0,WKUPDEP_TIMER3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER3_IPU2,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_IPU2_0,WKUPDEP_TIMER3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER3_MPU,Wakeup dependency from TIMER3 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER3_MPU_0,WKUPDEP_TIMER3_MPU_1" group.long 0x48++0x03 line.long 0x00 "PM_L4PER_TIMER4_WKDEP,This register controls wakeup dependency based on TIMER4 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER4_EVE4,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_EVE4_0,WKUPDEP_TIMER4_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER4_EVE3,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_EVE3_0,WKUPDEP_TIMER4_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER4_EVE2,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_EVE2_0,WKUPDEP_TIMER4_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER4_EVE1,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_EVE1_0,WKUPDEP_TIMER4_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER4_DSP2,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_DSP2_0,WKUPDEP_TIMER4_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER4_IPU1,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_IPU1_0,WKUPDEP_TIMER4_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER4_DSP1,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_DSP1_0,WKUPDEP_TIMER4_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER4_IPU2,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_IPU2_0,WKUPDEP_TIMER4_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER4_MPU,Wakeup dependency from TIMER4 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER4_MPU_0,WKUPDEP_TIMER4_MPU_1" group.long 0x50++0x03 line.long 0x00 "PM_L4PER_TIMER9_WKDEP,This register controls wakeup dependency based on TIMER9 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER9_EVE4,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_EVE4_0,WKUPDEP_TIMER9_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER9_EVE3,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_EVE3_0,WKUPDEP_TIMER9_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER9_EVE2,x(SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_EVE2_0,WKUPDEP_TIMER9_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER9_EVE1,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_EVE1_0,WKUPDEP_TIMER9_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER9_DSP2,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_DSP2_0,WKUPDEP_TIMER9_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER9_IPU1,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_IPU1_0,WKUPDEP_TIMER9_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER9_DSP1,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_DSP1_0,WKUPDEP_TIMER9_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER9_IPU2,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_IPU2_0,WKUPDEP_TIMER9_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER9_MPU,Wakeup dependency from TIMER9 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER9_MPU_0,WKUPDEP_TIMER9_MPU_1" group.long 0x60++0x03 line.long 0x00 "PM_L4PER_GPIO2_WKDEP,This register controls wakeup dependency based on GPIO2 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO2_IRQ2_EVE4,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_EVE4_0,WKUPDEP_GPIO2_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO2_IRQ2_EVE3,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_EVE3_0,WKUPDEP_GPIO2_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO2_IRQ2_EVE2,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_EVE2_0,WKUPDEP_GPIO2_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO2_IRQ2_EVE1,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_EVE1_0,WKUPDEP_GPIO2_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO2_IRQ2_DSP2,Wakeup dependency from GPIO2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_DSP2_0,WKUPDEP_GPIO2_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO2_IRQ2_IPU1,Wakeup dependency from GPIO2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_IPU1_0,WKUPDEP_GPIO2_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO2_IRQ2_DSP1,Wakeup dependency from GPIO2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_DSP1_0,WKUPDEP_GPIO2_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO2_IRQ2_IPU2,Wakeup dependency from GPIO2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_IPU2_0,WKUPDEP_GPIO2_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO2_IRQ2_MPU,Wakeup dependency from GPIO2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ2_MPU_0,WKUPDEP_GPIO2_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO2_IRQ1_EVE4,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_EVE4_0,WKUPDEP_GPIO2_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO2_IRQ1_EVE3,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_EVE3_0,WKUPDEP_GPIO2_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO2_IRQ1_EVE2,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_EVE2_0,WKUPDEP_GPIO2_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO2_IRQ1_EVE1,Wakeup dependency from GPIO2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_EVE1_0,WKUPDEP_GPIO2_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO2_IRQ1_DSP2,Wakeup dependency from GPIO2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_DSP2_0,WKUPDEP_GPIO2_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO2_IRQ1_IPU1,Wakeup dependency from GPIO2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_IPU1_0,WKUPDEP_GPIO2_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO2_IRQ1_DSP1,Wakeup dependency from GPIO2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_DSP1_0,WKUPDEP_GPIO2_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO2_IRQ1_IPU2,Wakeup dependency from GPIO2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_IPU2_0,WKUPDEP_GPIO2_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO2_IRQ1_MPU,Wakeup dependency from GPIO2 module (SWakeup signal for POROCPSINTERRUPT1 ) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO2_IRQ1_MPU_0,WKUPDEP_GPIO2_IRQ1_MPU_1" group.long 0x68++0x03 line.long 0x00 "PM_L4PER_GPIO3_WKDEP,This register controls wakeup dependency based on GPIO3 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO3_IRQ2_EVE4,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_EVE4_0,WKUPDEP_GPIO3_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO3_IRQ2_EVE3,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_EVE3_0,WKUPDEP_GPIO3_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO3_IRQ2_EVE2,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_EVE2_0,WKUPDEP_GPIO3_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO3_IRQ2_EVE1,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_EVE1_0,WKUPDEP_GPIO3_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO3_IRQ2_DSP2,Wakeup dependency from GPIO3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_DSP2_0,WKUPDEP_GPIO3_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO3_IRQ2_IPU1,Wakeup dependency from GPIO3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_IPU1_0,WKUPDEP_GPIO3_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO3_IRQ2_DSP1,Wakeup dependency from GPIO3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_DSP1_0,WKUPDEP_GPIO3_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO3_IRQ2_IPU2,Wakeup dependency from GPIO3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_IPU2_0,WKUPDEP_GPIO3_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO3_IRQ2_MPU,Wakeup dependency from GPIO3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ2_MPU_0,WKUPDEP_GPIO3_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO3_IRQ1_EVE4,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_EVE4_0,WKUPDEP_GPIO3_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO3_IRQ1_EVE3,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_EVE3_0,WKUPDEP_GPIO3_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO3_IRQ1_EVE2,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_EVE2_0,WKUPDEP_GPIO3_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO3_IRQ1_EVE1,Wakeup dependency from GPIO3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_EVE1_0,WKUPDEP_GPIO3_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO3_IRQ1_DSP2,Wakeup dependency from GPIO3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_DSP2_0,WKUPDEP_GPIO3_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO3_IRQ1_IPU1,Wakeup dependency from GPIO3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_IPU1_0,WKUPDEP_GPIO3_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO3_IRQ1_DSP1,Wakeup dependency from GPIO3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_DSP1_0,WKUPDEP_GPIO3_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO3_IRQ1_IPU2,3Wakeup dependency from GPIO3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_IPU2_0,WKUPDEP_GPIO3_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO3_IRQ1_MPU,Wakeup dependency from GPIO3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO3_IRQ1_MPU_0,WKUPDEP_GPIO3_IRQ1_MPU_1" group.long 0x70++0x03 line.long 0x00 "PM_L4PER_GPIO4_WKDEP,This register controls wakeup dependency based on GPIO4 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO4_IRQ2_EVE4,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_EVE4_0,WKUPDEP_GPIO4_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO4_IRQ2_EVE3,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_EVE3_0,WKUPDEP_GPIO4_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO4_IRQ2_EVE2,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_EVE2_0,WKUPDEP_GPIO4_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO4_IRQ2_EVE1,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_EVE1_0,WKUPDEP_GPIO4_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO4_IRQ2_DSP2,Wakeup dependency from GPIO4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_DSP2_0,WKUPDEP_GPIO4_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO4_IRQ2_IPU1,Wakeup dependency from GPIO4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_IPU1_0,WKUPDEP_GPIO4_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO4_IRQ2_DSP1,Wakeup dependency from GPIO4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_DSP1_0,WKUPDEP_GPIO4_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO4_IRQ2_IPU2,Wakeup dependency from GPIO4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_IPU2_0,WKUPDEP_GPIO4_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO4_IRQ2_MPU,Wakeup dependency from GPIO4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ2_MPU_0,WKUPDEP_GPIO4_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO4_IRQ1_EVE4,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_EVE4_0,WKUPDEP_GPIO4_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO4_IRQ1_EVE3,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_EVE3_0,WKUPDEP_GPIO4_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO4_IRQ1_EVE2,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_EVE2_0,WKUPDEP_GPIO4_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO4_IRQ1_EVE1,Wakeup dependency from GPIO4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_EVE1_0,WKUPDEP_GPIO4_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO4_IRQ1_DSP2,Wakeup dependency from GPIO4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_DSP2_0,WKUPDEP_GPIO4_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO4_IRQ1_IPU1,Wakeup dependency from GPIO4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_IPU1_0,WKUPDEP_GPIO4_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO4_IRQ1_DSP1,Wakeup dependency from GPIO4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_DSP1_0,WKUPDEP_GPIO4_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO4_IRQ1_IPU2,Wakeup dependency from GPIO4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_IPU2_0,WKUPDEP_GPIO4_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO4_IRQ1_MPU,Wakeup dependency from GPIO4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO4_IRQ1_MPU_0,WKUPDEP_GPIO4_IRQ1_MPU_1" group.long 0x78++0x03 line.long 0x00 "PM_L4PER_GPIO5_WKDEP,This register controls wakeup dependency based on GPIO5 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO5_IRQ2_EVE4,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_EVE4_0,WKUPDEP_GPIO5_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO5_IRQ2_EVE3,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_EVE3_0,WKUPDEP_GPIO5_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO5_IRQ2_EVE2,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_EVE2_0,WKUPDEP_GPIO5_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO5_IRQ2_EVE1,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_EVE1_0,WKUPDEP_GPIO5_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO5_IRQ2_DSP2,Wakeup dependency from GPIO5 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_DSP2_0,WKUPDEP_GPIO5_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO5_IRQ2_IPU1,Wakeup dependency from GPIO5 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_IPU1_0,WKUPDEP_GPIO5_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO5_IRQ2_DSP1,Wakeup dependency from GPIO5 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_DSP1_0,WKUPDEP_GPIO5_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO5_IRQ2_IPU2,Wakeup dependency from GPIO5 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_IPU2_0,WKUPDEP_GPIO5_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO5_IRQ2_MPU,Wakeup dependency from GPIO5 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ2_MPU_0,WKUPDEP_GPIO5_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO5_IRQ1_EVE4,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_EVE4_0,WKUPDEP_GPIO5_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO5_IRQ1_EVE3,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_EVE3_0,WKUPDEP_GPIO5_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO5_IRQ1_EVE2,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_EVE2_0,WKUPDEP_GPIO5_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO5_IRQ1_EVE1,Wakeup dependency from GPIO5 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_EVE1_0,WKUPDEP_GPIO5_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO5_IRQ1_DSP2,Wakeup dependency from GPIO5 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_DSP2_0,WKUPDEP_GPIO5_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO5_IRQ1_IPU1,Wakeup dependency from GPIO5 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_IPU1_0,WKUPDEP_GPIO5_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO5_IRQ1_DSP1,Wakeup dependency from GPIO5 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_DSP1_0,WKUPDEP_GPIO5_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO5_IRQ1_IPU2,5Wakeup dependency from GPIO5 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_IPU2_0,WKUPDEP_GPIO5_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO5_IRQ1_MPU,Wakeup dependency from GPIO5 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO5_IRQ1_MPU_0,WKUPDEP_GPIO5_IRQ1_MPU_1" group.long 0x80++0x03 line.long 0x00 "PM_L4PER_GPIO6_WKDEP,This register controls wakeup dependency based on GPIO6 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO6_IRQ2_EVE4,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_EVE4_0,WKUPDEP_GPIO6_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO6_IRQ2_EVE3,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_EVE3_0,WKUPDEP_GPIO6_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO6_IRQ2_EVE2,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_EVE2_0,WKUPDEP_GPIO6_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO6_IRQ2_EVE1,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_EVE1_0,WKUPDEP_GPIO6_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO6_IRQ2_DSP2,Wakeup dependency from GPIO6 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_DSP2_0,WKUPDEP_GPIO6_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO6_IRQ2_IPU1,Wakeup dependency from GPIO6 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_IPU1_0,WKUPDEP_GPIO6_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO6_IRQ2_DSP1,Wakeup dependency from GPIO6 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_DSP1_0,WKUPDEP_GPIO6_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO6_IRQ2_IPU2,Wakeup dependency from GPIO6 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_IPU2_0,WKUPDEP_GPIO6_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO6_IRQ2_MPU,Wakeup dependency from GPIO6 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ2_MPU_0,WKUPDEP_GPIO6_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO6_IRQ1_EVE4,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_EVE4_0,WKUPDEP_GPIO6_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO6_IRQ1_EVE3,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_EVE3_0,WKUPDEP_GPIO6_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO6_IRQ1_EVE2,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_EVE2_0,WKUPDEP_GPIO6_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO6_IRQ1_EVE1,Wakeup dependency from GPIO6 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_EVE1_0,WKUPDEP_GPIO6_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO6_IRQ1_DSP2,Wakeup dependency from GPIO6 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_DSP2_0,WKUPDEP_GPIO6_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO6_IRQ1_IPU1,Wakeup dependency from GPIO6 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_IPU1_0,WKUPDEP_GPIO6_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO6_IRQ1_DSP1,Wakeup dependency from GPIO6 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_DSP1_0,WKUPDEP_GPIO6_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO6_IRQ1_IPU2,5Wakeup dependency from GPIO6 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_IPU2_0,WKUPDEP_GPIO6_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO6_IRQ1_MPU,Wakeup dependency from GPIO6 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO6_IRQ1_MPU_0,WKUPDEP_GPIO6_IRQ1_MPU_1" group.long 0xA0++0x03 line.long 0x00 "PM_L4PER_I2C1_WKDEP,This register controls wakeup dependency based on I2C1 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_I2C1_DMA_DSP2,Wakeup dependency from I2C1 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_DMA_DSP2_0,WKUPDEP_I2C1_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_I2C1_DMA_SDMA,Wakeup dependency from I2C1 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_DMA_SDMA_0,WKUPDEP_I2C1_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_I2C1_DMA_DSP1,Wakeup dependency from I2C1 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_DMA_DSP1_0,WKUPDEP_I2C1_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_I2C1_IRQ_EVE4,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_EVE4_0,WKUPDEP_I2C1_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_I2C1_IRQ_EVE3,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_EVE3_0,WKUPDEP_I2C1_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_I2C1_IRQ_EVE2,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_EVE2_0,WKUPDEP_I2C1_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_I2C1_IRQ_EVE1,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_EVE1_0,WKUPDEP_I2C1_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_I2C1_IRQ_DSP2,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_DSP2_0,WKUPDEP_I2C1_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_I2C1_IRQ_IPU1,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_IPU1_0,WKUPDEP_I2C1_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_I2C1_IRQ_DSP1,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_DSP1_0,WKUPDEP_I2C1_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_I2C1_IRQ_IPU2,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_IPU2_0,WKUPDEP_I2C1_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_I2C1_IRQ_MPU,Wakeup dependency from I2C1 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C1_IRQ_MPU_0,WKUPDEP_I2C1_IRQ_MPU_1" group.long 0xA8++0x03 line.long 0x00 "PM_L4PER_I2C2_WKDEP,This register controls wakeup dependency based on I2C2 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_I2C2_DMA_DSP2,Wakeup dependency from I2C2 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_DMA_DSP2_0,WKUPDEP_I2C2_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_I2C2_DMA_SDMA,Wakeup dependency from I2C2 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_DMA_SDMA_0,WKUPDEP_I2C2_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_I2C2_DMA_DSP1,Wakeup dependency from I2C2 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_DMA_DSP1_0,WKUPDEP_I2C2_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_I2C2_IRQ_EVE4,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_EVE4_0,WKUPDEP_I2C2_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_I2C2_IRQ_EVE3,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_EVE3_0,WKUPDEP_I2C2_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_I2C2_IRQ_EVE2,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_EVE2_0,WKUPDEP_I2C2_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_I2C2_IRQ_EVE1,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_EVE1_0,WKUPDEP_I2C2_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_I2C2_IRQ_DSP2,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_DSP2_0,WKUPDEP_I2C2_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_I2C2_IRQ_IPU1,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_IPU1_0,WKUPDEP_I2C2_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_I2C2_IRQ_DSP1,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_DSP1_0,WKUPDEP_I2C2_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_I2C2_IRQ_IPU2,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_IPU2_0,WKUPDEP_I2C2_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_I2C2_IRQ_MPU,Wakeup dependency from I2C2 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C2_IRQ_MPU_0,WKUPDEP_I2C2_IRQ_MPU_1" group.long 0xB0++0x03 line.long 0x00 "PM_L4PER_I2C3_WKDEP,This register controls wakeup dependency based on I2C3 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_I2C3_DMA_DSP2,Wakeup dependency from I2C3 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_DMA_DSP2_0,WKUPDEP_I2C3_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_I2C3_DMA_SDMA,Wakeup dependency from I2C3 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_DMA_SDMA_0,WKUPDEP_I2C3_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_I2C3_DMA_DSP1,Wakeup dependency from I2C3 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_DMA_DSP1_0,WKUPDEP_I2C3_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_I2C3_IRQ_EVE4,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_EVE4_0,WKUPDEP_I2C3_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_I2C3_IRQ_EVE3,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_EVE3_0,WKUPDEP_I2C3_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_I2C3_IRQ_EVE2,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_EVE2_0,WKUPDEP_I2C3_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_I2C3_IRQ_EVE1,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_EVE1_0,WKUPDEP_I2C3_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_I2C3_IRQ_DSP2,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_DSP2_0,WKUPDEP_I2C3_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_I2C3_IRQ_IPU1,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_IPU1_0,WKUPDEP_I2C3_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_I2C3_IRQ_DSP1,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_DSP1_0,WKUPDEP_I2C3_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_I2C3_IRQ_IPU2,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_IPU2_0,WKUPDEP_I2C3_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_I2C3_IRQ_MPU,Wakeup dependency from I2C3 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C3_IRQ_MPU_0,WKUPDEP_I2C3_IRQ_MPU_1" group.long 0xB8++0x03 line.long 0x00 "PM_L4PER_I2C4_WKDEP,This register controls wakeup dependency based on I2C4 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_I2C4_DMA_DSP2,Wakeup dependency from I2C4 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_DMA_DSP2_0,WKUPDEP_I2C4_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_I2C4_DMA_SDMA,Wakeup dependency from I2C4 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_DMA_SDMA_0,WKUPDEP_I2C4_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_I2C4_DMA_DSP1,Wakeup dependency from I2C4 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_DMA_DSP1_0,WKUPDEP_I2C4_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_I2C4_IRQ_EVE4,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_EVE4_0,WKUPDEP_I2C4_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_I2C4_IRQ_EVE3,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_EVE3_0,WKUPDEP_I2C4_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_I2C4_IRQ_EVE2,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_EVE2_0,WKUPDEP_I2C4_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_I2C4_IRQ_EVE1,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_EVE1_0,WKUPDEP_I2C4_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_I2C4_IRQ_DSP2,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_DSP2_0,WKUPDEP_I2C4_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_I2C4_IRQ_IPU1,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_IPU1_0,WKUPDEP_I2C4_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_I2C4_IRQ_DSP1,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_DSP1_0,WKUPDEP_I2C4_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_I2C4_IRQ_IPU2,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_IPU2_0,WKUPDEP_I2C4_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_I2C4_IRQ_MPU,Wakeup dependency from I2C4 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_I2C4_IRQ_MPU_0,WKUPDEP_I2C4_IRQ_MPU_1" group.long 0xC8++0x03 line.long 0x00 "PM_L4PER_TIMER13_WKDEP,This register controls wakeup dependency based on TIMER13 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER13_EVE4,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_EVE4_0,WKUPDEP_TIMER13_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER13_EVE3,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_EVE3_0,WKUPDEP_TIMER13_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER13_EVE2,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_EVE2_0,WKUPDEP_TIMER13_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER13_EVE1,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_EVE1_0,WKUPDEP_TIMER13_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER13_DSP2,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_DSP2_0,WKUPDEP_TIMER13_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER13_IPU1,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_IPU1_0,WKUPDEP_TIMER13_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER13_DSP1,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_DSP1_0,WKUPDEP_TIMER13_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER13_IPU2,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_IPU2_0,WKUPDEP_TIMER13_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER13_MPU,Wakeup dependency from TIMER13 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER13_MPU_0,WKUPDEP_TIMER13_MPU_1" group.long 0xD0++0x03 line.long 0x00 "PM_L4PER_TIMER14_WKDEP,This register controls wakeup dependency based on TIMER14 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER14_EVE4,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_EVE4_0,WKUPDEP_TIMER14_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER14_EVE3,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_EVE3_0,WKUPDEP_TIMER14_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER14_EVE2,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_EVE2_0,WKUPDEP_TIMER14_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER14_EVE1,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_EVE1_0,WKUPDEP_TIMER14_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER14_DSP2,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_DSP2_0,WKUPDEP_TIMER14_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER14_IPU1,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_IPU1_0,WKUPDEP_TIMER14_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER14_DSP1,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_DSP1_0,WKUPDEP_TIMER14_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER14_IPU2,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_IPU2_0,WKUPDEP_TIMER14_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER14_MPU,Wakeup dependency from TIMER14 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER14_MPU_0,WKUPDEP_TIMER14_MPU_1" group.long 0xD8++0x03 line.long 0x00 "PM_L4PER_TIMER15_WKDEP,This register controls wakeup dependency based on TIMER15 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER15_EVE4,5Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_EVE4_0,WKUPDEP_TIMER15_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER15_EVE3,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_EVE3_0,WKUPDEP_TIMER15_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER15_EVE2,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_EVE2_0,WKUPDEP_TIMER15_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER15_EVE1,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_EVE1_0,WKUPDEP_TIMER15_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER15_DSP2,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_DSP2_0,WKUPDEP_TIMER15_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER15_IPU1,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_IPU1_0,WKUPDEP_TIMER15_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER15_DSP1,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_DSP1_0,WKUPDEP_TIMER15_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER15_IPU2,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_IPU2_0,WKUPDEP_TIMER15_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER15_MPU,Wakeup dependency from TIMER15 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER15_MPU_0,WKUPDEP_TIMER15_MPU_1" group.long 0xF0++0x03 line.long 0x00 "PM_L4PER_MCSPI1_WKDEP,This register controls wakeup dependency based on MCSPI1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MCSPI1_EVE4,Wakeup dependency from MCSPI1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_EVE4_0,WKUPDEP_MCSPI1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MCSPI1_EVE3,Wakeup dependency from MCSPI1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_EVE3_0,WKUPDEP_MCSPI1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MCSPI1_EVE2,Wakeup dependency from MCSPI1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_EVE2_0,WKUPDEP_MCSPI1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MCSPI1_EVE1,Wakeup dependency from MCSPI1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_EVE1_0,WKUPDEP_MCSPI1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MCSPI1_DSP2,Wakeup dependency from MCSPI1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_DSP2_0,WKUPDEP_MCSPI1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MCSPI1_IPU1,Wakeup dependency from MCSPI1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_IPU1_0,WKUPDEP_MCSPI1_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MCSPI1_SDMA,Wakeup dependency from MCSPI1 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_SDMA_0,WKUPDEP_MCSPI1_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MCSPI1_DSP1,Wakeup dependency from MCSPI1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_DSP1_0,WKUPDEP_MCSPI1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MCSPI1_IPU2,Wakeup dependency from MCSPI1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_IPU2_0,WKUPDEP_MCSPI1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MCSPI1_MPU,Wakeup dependency from MCSPI1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI1_MPU_0,WKUPDEP_MCSPI1_MPU_1" group.long 0xF8++0x03 line.long 0x00 "PM_L4PER_MCSPI2_WKDEP,This register controls wakeup dependency based on MCSPI2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MCSPI2_EVE4,Wakeup dependency from MCSPI2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_EVE4_0,WKUPDEP_MCSPI2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MCSPI2_EVE3,Wakeup dependency from MCSPI2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_EVE3_0,WKUPDEP_MCSPI2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MCSPI2_EVE2,Wakeup dependency from MCSPI2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_EVE2_0,WKUPDEP_MCSPI2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MCSPI2_EVE1,Wakeup dependency from MCSPI2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_EVE1_0,WKUPDEP_MCSPI2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MCSPI2_DSP2,Wakeup dependency from MCSPI2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_DSP2_0,WKUPDEP_MCSPI2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MCSPI2_IPU1,Wakeup dependency from MCSPI2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_IPU1_0,WKUPDEP_MCSPI2_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MCSPI2_SDMA,Wakeup dependency from MCSPI2 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_SDMA_0,WKUPDEP_MCSPI2_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MCSPI2_DSP1,Wakeup dependency from MCSPI2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_DSP1_0,WKUPDEP_MCSPI2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MCSPI2_IPU2,Wakeup dependency from MCSPI2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_IPU2_0,WKUPDEP_MCSPI2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MCSPI2_MPU,Wakeup dependency from MCSPI2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI2_MPU_0,WKUPDEP_MCSPI2_MPU_1" group.long 0x100++0x03 line.long 0x00 "PM_L4PER_MCSPI3_WKDEP,This register controls wakeup dependency based on MCSPI3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MCSPI3_EVE4,Wakeup dependency from MCSPI3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_EVE4_0,WKUPDEP_MCSPI3_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MCSPI3_EVE3,Wakeup dependency from MCSPI3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_EVE3_0,WKUPDEP_MCSPI3_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MCSPI3_EVE2,Wakeup dependency from MCSPI3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_EVE2_0,WKUPDEP_MCSPI3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MCSPI3_EVE1,Wakeup dependency from MCSPI3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_EVE1_0,WKUPDEP_MCSPI3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MCSPI3_DSP2,Wakeup dependency from MCSPI3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_DSP2_0,WKUPDEP_MCSPI3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MCSPI3_IPU1,Wakeup dependency from MCSPI3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_IPU1_0,WKUPDEP_MCSPI3_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MCSPI3_SDMA,Wakeup dependency from MCSPI3 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_SDMA_0,WKUPDEP_MCSPI3_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MCSPI3_DSP1,Wakeup dependency from MCSPI3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_DSP1_0,WKUPDEP_MCSPI3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MCSPI3_IPU2,Wakeup dependency from MCSPI3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_IPU2_0,WKUPDEP_MCSPI3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MCSPI3_MPU,Wakeup dependency from MCSPI3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI3_MPU_0,WKUPDEP_MCSPI3_MPU_1" group.long 0x108++0x03 line.long 0x00 "PM_L4PER_MCSPI4_WKDEP,This register controls wakeup dependency based on MCSPI4 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MCSPI4_EVE4,Wakeup dependency from MCSPI4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_EVE4_0,WKUPDEP_MCSPI4_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MCSPI4_EVE3,Wakeup dependency from MCSPI4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_EVE3_0,WKUPDEP_MCSPI4_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MCSPI4_EVE2,Wakeup dependency from MCSPI4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_EVE2_0,WKUPDEP_MCSPI4_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MCSPI4_EVE1,Wakeup dependency from MCSPI4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_EVE1_0,WKUPDEP_MCSPI4_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MCSPI4_DSP2,Wakeup dependency from MCSPI4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_DSP2_0,WKUPDEP_MCSPI4_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MCSPI4_IPU1,Wakeup dependency from MCSPI4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_IPU1_0,WKUPDEP_MCSPI4_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MCSPI4_SDMA,Wakeup dependency from MCSPI4 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_SDMA_0,WKUPDEP_MCSPI4_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MCSPI4_DSP1,Wakeup dependency from MCSPI4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_DSP1_0,WKUPDEP_MCSPI4_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MCSPI4_IPU2,Wakeup dependency from MCSPI4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_IPU2_0,WKUPDEP_MCSPI4_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MCSPI4_MPU,Wakeup dependency from MCSPI4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCSPI4_MPU_0,WKUPDEP_MCSPI4_MPU_1" group.long 0x110++0x03 line.long 0x00 "PM_L4PER_GPIO7_WKDEP,This register controls wakeup dependency based on GPIO7 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO7_IRQ2_EVE4,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_EVE4_0,WKUPDEP_GPIO7_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO7_IRQ2_EVE3,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_EVE3_0,WKUPDEP_GPIO7_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO7_IRQ2_EVE2,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_EVE2_0,WKUPDEP_GPIO7_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO7_IRQ2_EVE1,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_EVE1_0,WKUPDEP_GPIO7_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO7_IRQ2_DSP2,Wakeup dependency from GPIO7 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_DSP2_0,WKUPDEP_GPIO7_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO7_IRQ2_IPU1,Wakeup dependency from GPIO7 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_IPU1_0,WKUPDEP_GPIO7_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO7_IRQ2_DSP1,Wakeup dependency from GPIO7 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_DSP1_0,WKUPDEP_GPIO7_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO7_IRQ2_IPU2,Wakeup dependency from GPIO7 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_IPU2_0,WKUPDEP_GPIO7_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO7_IRQ2_MPU,Wakeup dependency from GPIO7 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ2_MPU_0,WKUPDEP_GPIO7_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO7_IRQ1_EVE4,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_EVE4_0,WKUPDEP_GPIO7_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO7_IRQ1_EVE3,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_EVE3_0,WKUPDEP_GPIO7_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO7_IRQ1_EVE2,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_EVE2_0,WKUPDEP_GPIO7_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO7_IRQ1_EVE1,Wakeup dependency from GPIO7 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_EVE1_0,WKUPDEP_GPIO7_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO7_IRQ1_DSP2,Wakeup dependency from GPIO7 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_DSP2_0,WKUPDEP_GPIO7_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO7_IRQ1_IPU1,Wakeup dependency from GPIO7 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_IPU1_0,WKUPDEP_GPIO7_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO7_IRQ1_DSP1,Wakeup dependency from GPIO7 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_DSP1_0,WKUPDEP_GPIO7_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO7_IRQ1_IPU2,5Wakeup dependency from GPIO7 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_IPU2_0,WKUPDEP_GPIO7_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO7_IRQ1_MPU,Wakeup dependency from GPIO7 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO7_IRQ1_MPU_0,WKUPDEP_GPIO7_IRQ1_MPU_1" group.long 0x118++0x03 line.long 0x00 "PM_L4PER_GPIO8_WKDEP,This register controls wakeup dependency based on GPIO8 service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_GPIO8_IRQ2_EVE4,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_EVE4_0,WKUPDEP_GPIO8_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_GPIO8_IRQ2_EVE3,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_EVE3_0,WKUPDEP_GPIO8_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_GPIO8_IRQ2_EVE2,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_EVE2_0,WKUPDEP_GPIO8_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_GPIO8_IRQ2_EVE1,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_EVE1_0,WKUPDEP_GPIO8_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_GPIO8_IRQ2_DSP2,Wakeup dependency from GPIO8 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_DSP2_0,WKUPDEP_GPIO8_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_GPIO8_IRQ2_IPU1,Wakeup dependency from GPIO8 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_IPU1_0,WKUPDEP_GPIO8_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_GPIO8_IRQ2_DSP1,Wakeup dependency from GPIO8 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_DSP1_0,WKUPDEP_GPIO8_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_GPIO8_IRQ2_IPU2,Wakeup dependency from GPIO8 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_IPU2_0,WKUPDEP_GPIO8_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_GPIO8_IRQ2_MPU,Wakeup dependency from GPIO8 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ2_MPU_0,WKUPDEP_GPIO8_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_GPIO8_IRQ1_EVE4,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_EVE4_0,WKUPDEP_GPIO8_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_GPIO8_IRQ1_EVE3,Wakeup dependency from GPIO8 (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_EVE3_0,WKUPDEP_GPIO8_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_GPIO8_IRQ1_EVE2,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_EVE2_0,WKUPDEP_GPIO8_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_GPIO8_IRQ1_EVE1,Wakeup dependency from GPIO8 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_EVE1_0,WKUPDEP_GPIO8_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_GPIO8_IRQ1_DSP2,Wakeup dependency from GPIO8 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_DSP2_0,WKUPDEP_GPIO8_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_GPIO8_IRQ1_IPU1,Wakeup dependency from GPIO8 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_IPU1_0,WKUPDEP_GPIO8_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_GPIO8_IRQ1_DSP1,Wakeup dependency from GPIO8 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_DSP1_0,WKUPDEP_GPIO8_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_GPIO8_IRQ1_IPU2,5Wakeup dependency from GPIO8 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_IPU2_0,WKUPDEP_GPIO8_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_GPIO8_IRQ1_MPU,Wakeup dependency from GPIO8 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO8_IRQ1_MPU_0,WKUPDEP_GPIO8_IRQ1_MPU_1" group.long 0x120++0x03 line.long 0x00 "PM_L4PER_MMC3_WKDEP,This register controls wakeup dependency based on MMC3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MMC3_EVE4,Wakeup dependency from MMC3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_EVE4_0,WKUPDEP_MMC3_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MMC3_EVE3,Wakeup dependency from MMC3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_EVE3_0,WKUPDEP_MMC3_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MMC3_EVE2,Wakeup dependency from MMC3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_EVE2_0,WKUPDEP_MMC3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MMC3_EVE1,Wakeup dependency from MMC3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_EVE1_0,WKUPDEP_MMC3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MMC3_DSP2,Wakeup dependency from MMC3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_DSP2_0,WKUPDEP_MMC3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MMC3_IPU1,Wakeup dependency from MMC3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_IPU1_0,WKUPDEP_MMC3_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MMC3_SDMA,Wakeup dependency from MMC3 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_SDMA_0,WKUPDEP_MMC3_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MMC3_DSP1,Wakeup dependency from MMC3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_DSP1_0,WKUPDEP_MMC3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MMC3_IPU2,Wakeup dependency from MMC3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_IPU2_0,WKUPDEP_MMC3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MMC3_MPU,Wakeup dependency from MMC3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC3_MPU_0,WKUPDEP_MMC3_MPU_1" group.long 0x128++0x03 line.long 0x00 "PM_L4PER_MMC4_WKDEP,This register controls wakeup dependency based on MMC4 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_MMC4_EVE4,Wakeup dependency from MMC4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_EVE4_0,WKUPDEP_MMC4_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_MMC4_EVE3,Wakeup dependency from MMC4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_EVE3_0,WKUPDEP_MMC4_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_MMC4_EVE2,Wakeup dependency from MMC4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_EVE2_0,WKUPDEP_MMC4_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_MMC4_EVE1,Wakeup dependency from MMC4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_EVE1_0,WKUPDEP_MMC4_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_MMC4_DSP2,Wakeup dependency from MMC4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_DSP2_0,WKUPDEP_MMC4_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_MMC4_IPU1,Wakeup dependency from MMC4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_IPU1_0,WKUPDEP_MMC4_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_MMC4_SDMA,Wakeup dependency from MMC4 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_SDMA_0,WKUPDEP_MMC4_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_MMC4_DSP1,Wakeup dependency from MMC4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_DSP1_0,WKUPDEP_MMC4_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_MMC4_IPU2,Wakeup dependency from MMC4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_IPU2_0,WKUPDEP_MMC4_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_MMC4_MPU,Wakeup dependency from MMC4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MMC4_MPU_0,WKUPDEP_MMC4_MPU_1" group.long 0x130++0x03 line.long 0x00 "PM_L4PER_TIMER16_WKDEP,This register controls wakeup dependency based on TIMER16 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_TIMER16_EVE4,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_EVE4_0,WKUPDEP_TIMER16_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_TIMER16_EVE3,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_EVE3_0,WKUPDEP_TIMER16_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_TIMER16_EVE2,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_EVE2_0,WKUPDEP_TIMER16_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_TIMER16_EVE1,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_EVE1_0,WKUPDEP_TIMER16_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_TIMER16_DSP2,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_DSP2_0,WKUPDEP_TIMER16_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_TIMER16_IPU1,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_IPU1_0,WKUPDEP_TIMER16_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_TIMER16_DSP1,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_DSP1_0,WKUPDEP_TIMER16_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_TIMER16_IPU2,Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_IPU2_0,WKUPDEP_TIMER16_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_TIMER16_MPU,6Wakeup dependency from TIMER16 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER16_MPU_0,WKUPDEP_TIMER16_MPU_1" group.long 0x138++0x03 line.long 0x00 "PM_L4PER2_QSPI_WKDEP,This register controls wakeup dependency based on QSPI service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_QSPI_EVE4,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_EVE4_0,WKUPDEP_QSPI_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_QSPI_EVE3,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_EVE3_0,WKUPDEP_QSPI_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_QSPI_EVE2,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_EVE2_0,WKUPDEP_QSPI_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_QSPI_EVE1,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_EVE1_0,WKUPDEP_QSPI_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_QSPI_DSP2,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_DSP2_0,WKUPDEP_QSPI_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_QSPI_IPU1,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_IPU1_0,WKUPDEP_QSPI_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_QSPI_DSP1,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_DSP1_0,WKUPDEP_QSPI_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_QSPI_IPU2,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_IPU2_0,WKUPDEP_QSPI_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_QSPI_MPU,Wakeup dependency from QSPI module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_QSPI_MPU_0,WKUPDEP_QSPI_MPU_1" group.long 0x140++0x03 line.long 0x00 "PM_L4PER_UART1_WKDEP,This register controls wakeup dependency based on UART1 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART1_EVE4,Wakeup dependency from UART1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_EVE4_0,WKUPDEP_UART1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART1_EVE3,Wakeup dependency from UART1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_EVE3_0,WKUPDEP_UART1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART1_EVE2,Wakeup dependency from UART1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_EVE2_0,WKUPDEP_UART1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART1_EVE1,Wakeup dependency from UART1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_EVE1_0,WKUPDEP_UART1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART1_DSP2,Wakeup dependency from UART1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_DSP2_0,WKUPDEP_UART1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART1_IPU1,Wakeup dependency from UART1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_IPU1_0,WKUPDEP_UART1_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART1_SDMA,Wakeup dependency from UART1 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_SDMA_0,WKUPDEP_UART1_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART1_DSP1,Wakeup dependency from UART1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_DSP1_0,WKUPDEP_UART1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART1_IPU2,Wakeup dependency from UART1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_IPU2_0,WKUPDEP_UART1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART1_MPU,Wakeup dependency from UART1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART1_MPU_0,WKUPDEP_UART1_MPU_1" group.long 0x148++0x03 line.long 0x00 "PM_L4PER_UART2_WKDEP,This register controls wakeup dependency based on UART2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART2_EVE4,Wakeup dependency from UART2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_EVE4_0,WKUPDEP_UART2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART2_EVE3,Wakeup dependency from UART2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_EVE3_0,WKUPDEP_UART2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART2_EVE2,Wakeup dependency from UART2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_EVE2_0,WKUPDEP_UART2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART2_EVE1,Wakeup dependency from UART2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_EVE1_0,WKUPDEP_UART2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART2_DSP2,Wakeup dependency from UART2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_DSP2_0,WKUPDEP_UART2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART2_IPU1,Wakeup dependency from UART2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_IPU1_0,WKUPDEP_UART2_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART2_SDMA,2Wakeup dependency from UART2 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_SDMA_0,WKUPDEP_UART2_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART2_DSP1,Wakeup dependency from UART2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_DSP1_0,WKUPDEP_UART2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART2_IPU2,Wakeup dependency from UART2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_IPU2_0,WKUPDEP_UART2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART2_MPU,Wakeup dependency from UART2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART2_MPU_0,WKUPDEP_UART2_MPU_1" group.long 0x150++0x03 line.long 0x00 "PM_L4PER_UART3_WKDEP,This register controls wakeup dependency based on UART3 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART3_EVE4,Wakeup dependency from UART3 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_EVE4_0,WKUPDEP_UART3_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART3_EVE3,Wakeup dependency from UART3 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_EVE3_0,WKUPDEP_UART3_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART3_EVE2,Wakeup dependency from UART3 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_EVE2_0,WKUPDEP_UART3_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART3_EVE1,Wakeup dependency from UART3 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_EVE1_0,WKUPDEP_UART3_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART3_DSP2,Wakeup dependency from UART3 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_DSP2_0,WKUPDEP_UART3_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART3_IPU1,Wakeup dependency from UART3 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_IPU1_0,WKUPDEP_UART3_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART3_SDMA,Wakeup dependency from UART3 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_SDMA_0,WKUPDEP_UART3_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART3_DSP1,Wakeup dependency from UART3 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_DSP1_0,WKUPDEP_UART3_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART3_IPU2,Wakeup dependency from UART3 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_IPU2_0,WKUPDEP_UART3_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART3_MPU,Wakeup dependency from UART3 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART3_MPU_0,WKUPDEP_UART3_MPU_1" group.long 0x158++0x03 line.long 0x00 "PM_L4PER_UART4_WKDEP,This register controls wakeup dependency based on UART4 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART4_EVE4,Wakeup dependency from UART4 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_EVE4_0,WKUPDEP_UART4_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART4_EVE3,Wakeup dependency from UART4 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_EVE3_0,WKUPDEP_UART4_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART4_EVE2,Wakeup dependency from UART4 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_EVE2_0,WKUPDEP_UART4_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART4_EVE1,Wakeup dependency from UART4 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_EVE1_0,WKUPDEP_UART4_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART4_DSP2,Wakeup dependency from UART4 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_DSP2_0,WKUPDEP_UART4_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART4_IPU1,Wakeup dependency from UART4 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_IPU1_0,WKUPDEP_UART4_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART4_SDMA,Wakeup dependency from UART4 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_SDMA_0,WKUPDEP_UART4_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART4_DSP1,Wakeup dependency from UART4 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_DSP1_0,WKUPDEP_UART4_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART4_IPU2,Wakeup dependency from UART4 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_IPU2_0,WKUPDEP_UART4_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART4_MPU,Wakeup dependency from UART4 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART4_MPU_0,WKUPDEP_UART4_MPU_1" group.long 0x160++0x03 line.long 0x00 "PM_L4PER2_MCASP2_WKDEP,This register controls wakeup dependency based on MCASP2 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP2_DMA_DSP2,Wakeup dependency from MCASP2 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_DMA_DSP2_0,WKUPDEP_MCASP2_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP2_DMA_SDMA,Wakeup dependency from MCASP2 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_DMA_SDMA_0,WKUPDEP_MCASP2_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP2_DMA_DSP1,Wakeup dependency from MCASP2 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_DMA_DSP1_0,WKUPDEP_MCASP2_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP2_IRQ_EVE4,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_EVE4_0,WKUPDEP_MCASP2_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP2_IRQ_EVE3,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_EVE3_0,WKUPDEP_MCASP2_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP2_IRQ_EVE2,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_EVE2_0,WKUPDEP_MCASP2_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP2_IRQ_EVE1,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_EVE1_0,WKUPDEP_MCASP2_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP2_IRQ_DSP2,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_DSP2_0,WKUPDEP_MCASP2_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP2_IRQ_IPU1,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_IPU1_0,WKUPDEP_MCASP2_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP2_IRQ_DSP1,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_DSP1_0,WKUPDEP_MCASP2_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP2_IRQ_IPU2,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_IPU2_0,WKUPDEP_MCASP2_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP2_IRQ_MPU,Wakeup dependency from MCASP2 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP2_IRQ_MPU_0,WKUPDEP_MCASP2_IRQ_MPU_1" group.long 0x168++0x03 line.long 0x00 "PM_L4PER2_MCASP3_WKDEP,This register controls wakeup dependency based on MCASP3 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP3_DMA_DSP2,Wakeup dependency from MCASP3 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_DMA_DSP2_0,WKUPDEP_MCASP3_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP3_DMA_SDMA,Wakeup dependency from MCASP3 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_DMA_SDMA_0,WKUPDEP_MCASP3_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP3_DMA_DSP1,3Wakeup dependency from MCASP3 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_DMA_DSP1_0,WKUPDEP_MCASP3_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP3_IRQ_EVE4,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_EVE4_0,WKUPDEP_MCASP3_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP3_IRQ_EVE3,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_EVE3_0,WKUPDEP_MCASP3_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP3_IRQ_EVE2,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_EVE2_0,WKUPDEP_MCASP3_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP3_IRQ_EVE1,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_EVE1_0,WKUPDEP_MCASP3_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP3_IRQ_DSP2,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_DSP2_0,WKUPDEP_MCASP3_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP3_IRQ_IPU1,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_IPU1_0,WKUPDEP_MCASP3_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP3_IRQ_DSP1,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_DSP1_0,WKUPDEP_MCASP3_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP3_IRQ_IPU2,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_IPU2_0,WKUPDEP_MCASP3_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP3_IRQ_MPU,Wakeup dependency from MCASP3 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP3_IRQ_MPU_0,WKUPDEP_MCASP3_IRQ_MPU_1" group.long 0x170++0x03 line.long 0x00 "PM_L4PER_UART5_WKDEP,This register controls wakeup dependency based on UART5 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART5_EVE4,Wakeup dependency from UART5 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_EVE4_0,WKUPDEP_UART5_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART5_EVE3,Wakeup dependency from UART5 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_EVE3_0,WKUPDEP_UART5_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART5_EVE2,Wakeup dependency from UART5 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_EVE2_0,WKUPDEP_UART5_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART5_EVE1,Wakeup dependency from UART5 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_EVE1_0,WKUPDEP_UART5_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART5_DSP2,Wakeup dependency from UART5 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_DSP2_0,WKUPDEP_UART5_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART5_IPU1,Wakeup dependency from UART5 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_IPU1_0,WKUPDEP_UART5_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART5_SDMA,Wakeup dependency from UART5 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_SDMA_0,WKUPDEP_UART5_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART5_DSP1,Wakeup dependency from UART5 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_DSP1_0,WKUPDEP_UART5_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART5_IPU2,Wakeup dependency from UART5 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_IPU2_0,WKUPDEP_UART5_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART5_MPU,Wakeup dependency from UART5 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART5_MPU_0,WKUPDEP_UART5_MPU_1" group.long 0x178++0x03 line.long 0x00 "PM_L4PER2_MCASP5_WKDEP,This register controls wakeup dependency based on MCASP5 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP5_DMA_DSP2,Wakeup dependency from MCASP5 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_DMA_DSP2_0,WKUPDEP_MCASP5_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP5_DMA_SDMA,Wakeup dependency from MCASP5 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_DMA_SDMA_0,WKUPDEP_MCASP5_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP5_DMA_DSP1,Wakeup dependency from MCASP5 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_DMA_DSP1_0,WKUPDEP_MCASP5_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP5_IRQ_EVE4,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_EVE4_0,WKUPDEP_MCASP5_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP5_IRQ_EVE3,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_EVE3_0,WKUPDEP_MCASP5_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP5_IRQ_EVE2,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_EVE2_0,WKUPDEP_MCASP5_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP5_IRQ_EVE1,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_EVE1_0,WKUPDEP_MCASP5_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP5_IRQ_DSP2,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_DSP2_0,WKUPDEP_MCASP5_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP5_IRQ_IPU1,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_IPU1_0,WKUPDEP_MCASP5_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP5_IRQ_DSP1,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_DSP1_0,WKUPDEP_MCASP5_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP5_IRQ_IPU2,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_IPU2_0,WKUPDEP_MCASP5_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP5_IRQ_MPU,Wakeup dependency from MCASP5 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP5_IRQ_MPU_0,WKUPDEP_MCASP5_IRQ_MPU_1" group.long 0x180++0x03 line.long 0x00 "PM_L4PER2_MCASP6_WKDEP,This register controls wakeup dependency based on MCASP6 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP6_DMA_DSP2,Wakeup dependency from MCASP6 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_DMA_DSP2_0,WKUPDEP_MCASP6_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP6_DMA_SDMA,Wakeup dependency from MCASP6 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_DMA_SDMA_0,WKUPDEP_MCASP6_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP6_DMA_DSP1,Wakeup dependency from MCASP6 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_DMA_DSP1_0,WKUPDEP_MCASP6_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP6_IRQ_EVE4,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_EVE4_0,WKUPDEP_MCASP6_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP6_IRQ_EVE3,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_EVE3_0,WKUPDEP_MCASP6_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP6_IRQ_EVE2,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_EVE2_0,WKUPDEP_MCASP6_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP6_IRQ_EVE1,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_EVE1_0,WKUPDEP_MCASP6_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP6_IRQ_DSP2,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_DSP2_0,WKUPDEP_MCASP6_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP6_IRQ_IPU1,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_IPU1_0,WKUPDEP_MCASP6_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP6_IRQ_DSP1,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_DSP1_0,WKUPDEP_MCASP6_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP6_IRQ_IPU2,Wakeup dependency from MCASP6 (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_IPU2_0,WKUPDEP_MCASP6_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP6_IRQ_MPU,Wakeup dependency from MCASP6 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP6_IRQ_MPU_0,WKUPDEP_MCASP6_IRQ_MPU_1" group.long 0x188++0x03 line.long 0x00 "PM_L4PER2_MCASP7_WKDEP,This register controls wakeup dependency based on MCASP7 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP7_DMA_DSP2,Wakeup dependency from MCASP7 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_DMA_DSP2_0,WKUPDEP_MCASP7_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP7_DMA_SDMA,Wakeup dependency from MCASP7 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_DMA_SDMA_0,WKUPDEP_MCASP7_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP7_DMA_DSP1,Wakeup dependency from MCASP7 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_DMA_DSP1_0,WKUPDEP_MCASP7_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP7_IRQ_EVE4,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_EVE4_0,WKUPDEP_MCASP7_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP7_IRQ_EVE3,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_EVE3_0,WKUPDEP_MCASP7_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP7_IRQ_EVE2,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_EVE2_0,WKUPDEP_MCASP7_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP7_IRQ_EVE1,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_EVE1_0,WKUPDEP_MCASP7_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP7_IRQ_DSP2,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_DSP2_0,WKUPDEP_MCASP7_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP7_IRQ_IPU1,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_IPU1_0,WKUPDEP_MCASP7_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP7_IRQ_DSP1,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_DSP1_0,WKUPDEP_MCASP7_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP7_IRQ_IPU2,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_IPU2_0,WKUPDEP_MCASP7_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP7_IRQ_MPU,Wakeup dependency from MCASP7 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP7_IRQ_MPU_0,WKUPDEP_MCASP7_IRQ_MPU_1" group.long 0x190++0x03 line.long 0x00 "PM_L4PER2_MCASP8_WKDEP,This register controls wakeup dependency based on MCASP8 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP8_DMA_DSP2,Wakeup dependency from MCASP8 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_DMA_DSP2_0,WKUPDEP_MCASP8_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP8_DMA_SDMA,Wakeup dependency from MCASP8 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_DMA_SDMA_0,WKUPDEP_MCASP8_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP8_DMA_DSP1,Wakeup dependency from MCASP8 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_DMA_DSP1_0,WKUPDEP_MCASP8_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP8_IRQ_EVE4,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_EVE4_0,WKUPDEP_MCASP8_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP8_IRQ_EVE3,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_EVE3_0,WKUPDEP_MCASP8_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP8_IRQ_EVE2,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_EVE2_0,WKUPDEP_MCASP8_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP8_IRQ_EVE1,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_EVE1_0,WKUPDEP_MCASP8_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP8_IRQ_DSP2,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_DSP2_0,WKUPDEP_MCASP8_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP8_IRQ_IPU1,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_IPU1_0,WKUPDEP_MCASP8_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP8_IRQ_DSP1,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_DSP1_0,WKUPDEP_MCASP8_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP8_IRQ_IPU2,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_IPU2_0,WKUPDEP_MCASP8_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP8_IRQ_MPU,Wakeup dependency from MCASP8 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP8_IRQ_MPU_0,WKUPDEP_MCASP8_IRQ_MPU_1" group.long 0x198++0x03 line.long 0x00 "PM_L4PER2_MCASP4_WKDEP,This register controls wakeup dependency based on MCASP4 service requests" hexmask.long.word 0x00 16.--31. 1. "RESERVED," bitfld.long 0x00 15. "WKUPDEP_MCASP4_DMA_DSP2,Wakeup dependency from MCASP4 module (SWakeup_dma signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_DMA_DSP2_0,WKUPDEP_MCASP4_DMA_DSP2_1" newline rbitfld.long 0x00 14. "RESERVED," "0,1" bitfld.long 0x00 13. "WKUPDEP_MCASP4_DMA_SDMA,Wakeup dependency from MCASP4 module (SWakeup_dma signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_DMA_SDMA_0,WKUPDEP_MCASP4_DMA_SDMA_1" newline bitfld.long 0x00 12. "WKUPDEP_MCASP4_DMA_DSP1,Wakeup dependency from MCASP4 module (SWakeup_dma signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_DMA_DSP1_0,WKUPDEP_MCASP4_DMA_DSP1_1" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 9. "WKUPDEP_MCASP4_IRQ_EVE4,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_EVE4_0,WKUPDEP_MCASP4_IRQ_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_MCASP4_IRQ_EVE3,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_EVE3_0,WKUPDEP_MCASP4_IRQ_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_MCASP4_IRQ_EVE2,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_EVE2_0,WKUPDEP_MCASP4_IRQ_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_MCASP4_IRQ_EVE1,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_EVE1_0,WKUPDEP_MCASP4_IRQ_EVE1_1" newline bitfld.long 0x00 5. "WKUPDEP_MCASP4_IRQ_DSP2,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_DSP2_0,WKUPDEP_MCASP4_IRQ_DSP2_1" bitfld.long 0x00 4. "WKUPDEP_MCASP4_IRQ_IPU1,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_IPU1_0,WKUPDEP_MCASP4_IRQ_IPU1_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_MCASP4_IRQ_DSP1,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_DSP1_0,WKUPDEP_MCASP4_IRQ_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_MCASP4_IRQ_IPU2,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_IPU2_0,WKUPDEP_MCASP4_IRQ_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_MCASP4_IRQ_MPU,Wakeup dependency from MCASP4 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_MCASP4_IRQ_MPU_0,WKUPDEP_MCASP4_IRQ_MPU_1" group.long 0x1D0++0x03 line.long 0x00 "PM_L4PER2_UART7_WKDEP,This register controls wakeup dependency based on UART7 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART7_EVE4,Wakeup dependency from UART7 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_EVE4_0,WKUPDEP_UART7_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART7_EVE3,Wakeup dependency from UART7 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_EVE3_0,WKUPDEP_UART7_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART7_EVE2,Wakeup dependency from UART7 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_EVE2_0,WKUPDEP_UART7_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART7_EVE1,Wakeup dependency from UART7 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_EVE1_0,WKUPDEP_UART7_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART7_DSP2,Wakeup dependency from UART7 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_DSP2_0,WKUPDEP_UART7_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART7_IPU1,Wakeup dependency from UART7 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_IPU1_0,WKUPDEP_UART7_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART7_SDMA,Wakeup dependency from UART7 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_SDMA_0,WKUPDEP_UART7_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART7_DSP1,Wakeup dependency from UART7 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_DSP1_0,WKUPDEP_UART7_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART7_IPU2,Wakeup dependency from UART7 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_IPU2_0,WKUPDEP_UART7_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART7_MPU,Wakeup dependency from UART7 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART7_MPU_0,WKUPDEP_UART7_MPU_1" group.long 0x1E0++0x03 line.long 0x00 "PM_L4PER2_UART8_WKDEP,This register controls wakeup dependency based on UART8 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART8_EVE4,Wakeup dependency from UART8 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_EVE4_0,WKUPDEP_UART8_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART8_EVE3,Wakeup dependency from UART8 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_EVE3_0,WKUPDEP_UART8_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART8_EVE2,Wakeup dependency from UART8 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_EVE2_0,WKUPDEP_UART8_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART8_EVE1,Wakeup dependency from UART8 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_EVE1_0,WKUPDEP_UART8_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART8_DSP2,Wakeup dependency from UART8 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_DSP2_0,WKUPDEP_UART8_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART8_IPU1,Wakeup dependency from UART8 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_IPU1_0,WKUPDEP_UART8_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART8_SDMA,Wakeup dependency from UART8 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_SDMA_0,WKUPDEP_UART8_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART8_DSP1,Wakeup dependency from UART8 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_DSP1_0,WKUPDEP_UART8_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART8_IPU2,Wakeup dependency from UART8 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_IPU2_0,WKUPDEP_UART8_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART8_MPU,Wakeup dependency from UART8 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART8_MPU_0,WKUPDEP_UART8_MPU_1" group.long 0x1E8++0x03 line.long 0x00 "PM_L4PER2_UART9_WKDEP,This register controls wakeup dependency based on UART9 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_UART9_EVE4,Wakeup dependency from UART9 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_EVE4_0,WKUPDEP_UART9_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_UART9_EVE3,Wakeup dependency from UART9 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_EVE3_0,WKUPDEP_UART9_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_UART9_EVE2,Wakeup dependency from UART9 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_EVE2_0,WKUPDEP_UART9_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_UART9_EVE1,Wakeup dependency from UART9 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_EVE1_0,WKUPDEP_UART9_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_UART9_DSP2,Wakeup dependency from UART9 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_DSP2_0,WKUPDEP_UART9_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_UART9_IPU1,Wakeup dependency from UART9 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_IPU1_0,WKUPDEP_UART9_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_UART9_SDMA,Wakeup dependency from UART9 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_SDMA_0,WKUPDEP_UART9_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_UART9_DSP1,Wakeup dependency from UART9 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_DSP1_0,WKUPDEP_UART9_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_UART9_IPU2,Wakeup dependency from UART9 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_IPU2_0,WKUPDEP_UART9_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_UART9_MPU,Wakeup dependency from UART9 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART9_MPU_0,WKUPDEP_UART9_MPU_1" group.long 0x1F0++0x03 line.long 0x00 "PM_L4PER2_DCAN2_WKDEP,This register controls wakeup dependency based on DCAN2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_DCAN2_EVE4,Wakeup dependency from DCAN2 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_EVE4_0,WKUPDEP_DCAN2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_DCAN2_EVE3,Wakeup dependency from DCAN2 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_EVE3_0,WKUPDEP_DCAN2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_DCAN2_EVE2,Wakeup dependency from DCAN2 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_EVE2_0,WKUPDEP_DCAN2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_DCAN2_EVE1,Wakeup dependency from DCAN2 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_EVE1_0,WKUPDEP_DCAN2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_DCAN2_DSP2,Wakeup dependency from DCAN2 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_DSP2_0,WKUPDEP_DCAN2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_DCAN2_IPU1,Wakeup dependency from DCAN2 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_IPU1_0,WKUPDEP_DCAN2_IPU1_1" bitfld.long 0x00 3. "WKUPDEP_DCAN2_SDMA,Wakeup dependency from DCAN2 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_SDMA_0,WKUPDEP_DCAN2_SDMA_1" newline bitfld.long 0x00 2. "WKUPDEP_DCAN2_DSP1,Wakeup dependency from DCAN2 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_DSP1_0,WKUPDEP_DCAN2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_DCAN2_IPU2,Wakeup dependency from DCAN2 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_IPU2_0,WKUPDEP_DCAN2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_DCAN2_MPU,Wakeup dependency from DCAN2 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN2_MPU_0,WKUPDEP_DCAN2_MPU_1" tree.end tree "MA_MPU_NTTP_FW" base ad:0x4A20A000 group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) rgroup.long ($2+0x04)++0x03 line.long 0x00 "LOGICAL_ADDR_ERRLOG_k_$1,Logical Physical Address Error log register for port k" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) group.long ($2+0x00)++0x03 line.long 0x00 "ERROR_LOG_k_$1,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" newline rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" repeat.end tree.end tree "MA_MPU_NTTP_FW_CFG_TARG" base ad:0x4A20B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 12. (list 13. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. )(list ad:0x48802000 ad:0x4883A000 ad:0x4883C000 ad:0x4883E000 ad:0x48840000 ad:0x48842000 ad:0x48844000 ad:0x48846000 ad:0x4885E000 ad:0x48860000 ad:0x48862000 ad:0x48864000 ) tree "MAILBOX$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x10 0x20 0x30 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end repeat.end tree "MAILBOX1" base ad:0x4A0F4000 rgroup.long 0x00++0x03 line.long 0x00 "MAILBOX_REVISION,This register contains the IP revision code" group.long 0x10++0x03 line.long 0x00 "MAILBOX_SYSCONFIG,This register controls the various parameters of the communication interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "SIDLEMODE,Idle Mode" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0. "SOFTRESET,Softreset" "SOFTRESET_0_w,SOFTRESET_1_w" group.long 0x140++0x03 line.long 0x00 "MAILBOX_IRQ_EOI,This register is used for the software EOI clearance of the pulse" hexmask.long 0x00 2.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0.--1. "EOIVAL,EOI value" "EOIVAL_0,EOIVAL_1,?,?" repeat 3. (list 0. 1. 2. )(list 0x00 0x10 0x20 ) group.long ($2+0x10C)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_CLR_u_$1,The interrupt enable register enables to mask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 3. (list 0. 1. 2. )(list 0x00 0x10 0x20 ) group.long ($2+0x108)++0x03 line.long 0x00 "MAILBOX_IRQENABLE_SET_u_$1,The interrupt enable register enables to unmask the module internal source of interrupt to the corresponding user" bitfld.long 0x00 31. "NOTFULLENABLEUUMB15,NotFull Enable bit for User u Mailbox 15" "NOTFULLENABLEUUMB15_0_w,NOTFULLENABLEUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGENABLEUUMB15,NewMessage Enable bit for User u Mailbox 15" "NEWMSGENABLEUUMB15_0_w,NEWMSGENABLEUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLENABLEUUMB14,NotFull Enable bit for User u Mailbox 14" "NOTFULLENABLEUUMB14_0_w,NOTFULLENABLEUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGENABLEUUMB14,NewMessage Enable bit for User u Mailbox 14" "NEWMSGENABLEUUMB14_0_w,NEWMSGENABLEUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLENABLEUUMB13,NotFull Enable bit for User u Mailbox 13" "NOTFULLENABLEUUMB13_0_w,NOTFULLENABLEUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGENABLEUUMB13,NewMessage Enable bit for User u Mailbox 13" "NEWMSGENABLEUUMB13_0_w,NEWMSGENABLEUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLENABLEUUMB12,NotFull Enable bit for User u Mailbox 12" "NOTFULLENABLEUUMB12_0_w,NOTFULLENABLEUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGENABLEUUMB12,NewMessage Enable bit for User u Mailbox 12" "NEWMSGENABLEUUMB12_0_w,NEWMSGENABLEUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLENABLEUUMB11,NotFull Enable bit for User u Mailbox 11" "NOTFULLENABLEUUMB11_0_w,NOTFULLENABLEUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGENABLEUUMB11,NewMessage Enable bit for User u Mailbox 11" "NEWMSGENABLEUUMB11_0_w,NEWMSGENABLEUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLENABLEUUMB10,NotFull Enable bit for User u Mailbox 10" "NOTFULLENABLEUUMB10_0_w,NOTFULLENABLEUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGENABLEUUMB10,NewMessage Enable bit for User u Mailbox 10" "NEWMSGENABLEUUMB10_0_w,NEWMSGENABLEUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLENABLEUUMB9,NotFull Enable bit for User u Mailbox 9" "NOTFULLENABLEUUMB9_0_w,NOTFULLENABLEUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGENABLEUUMB9,NewMessage Enable bit for User u Mailbox 9" "NEWMSGENABLEUUMB9_0_w,NEWMSGENABLEUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLENABLEUUMB8,NotFull Enable bit for User u Mailbox 8" "NOTFULLENABLEUUMB8_0_w,NOTFULLENABLEUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGENABLEUUMB8,NewMessage Enable bit for User u Mailbox 8" "NEWMSGENABLEUUMB8_0_w,NEWMSGENABLEUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLENABLEUUMB7,NotFull Enable bit for User u Mailbox 7" "NOTFULLENABLEUUMB7_0_w,NOTFULLENABLEUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGENABLEUUMB7,NewMessage Enable bit for User u Mailbox 7" "NEWMSGENABLEUUMB7_0_w,NEWMSGENABLEUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLENABLEUUMB6,NotFull Enable bit for User u Mailbox 6" "NOTFULLENABLEUUMB6_0_w,NOTFULLENABLEUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGENABLEUUMB6,NewMessage Enable bit for User u Mailbox 6" "NEWMSGENABLEUUMB6_0_w,NEWMSGENABLEUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLENABLEUUMB5,NotFull Enable bit for User u Mailbox 5" "NOTFULLENABLEUUMB5_0_w,NOTFULLENABLEUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGENABLEUUMB5,NewMessage Enable bit for User u Mailbox 5" "NEWMSGENABLEUUMB5_0_w,NEWMSGENABLEUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLENABLEUUMB4,NotFull Enable bit for User u Mailbox 4" "NOTFULLENABLEUUMB4_0_w,NOTFULLENABLEUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGENABLEUUMB4,NewMessage Enable bit for User u Mailbox 4" "NEWMSGENABLEUUMB4_0_w,NEWMSGENABLEUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLENABLEUUMB3,NotFull Enable bit for User u Mailbox 3" "NOTFULLENABLEUUMB3_0_w,NOTFULLENABLEUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGENABLEUUMB3,NewMessage Enable bit for User u Mailbox 3" "NEWMSGENABLEUUMB3_0_w,NEWMSGENABLEUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLENABLEUUMB2,NotFull Enable bit for User u Mailbox 2" "NOTFULLENABLEUUMB2_0_w,NOTFULLENABLEUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGENABLEUUMB2,NewMessage Enable bit for User u Mailbox 2" "NEWMSGENABLEUUMB2_0_w,NEWMSGENABLEUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLENABLEUUMB1,NotFull Enable bit for User u Mailbox 1" "NOTFULLENABLEUUMB1_0_w,NOTFULLENABLEUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGENABLEUUMB1,NewMessage Enable bit for User u Mailbox 1" "NEWMSGENABLEUUMB1_0_w,NEWMSGENABLEUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLENABLEUUMB0,NotFull Enable bit for User u Mailbox 0" "NOTFULLENABLEUUMB0_0_w,NOTFULLENABLEUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGENABLEUUMB0,NewMessage Enable bit for User u Mailbox 0" "NEWMSGENABLEUUMB0_0_w,NEWMSGENABLEUUMB0_1_w" repeat.end repeat 3. (list 0. 1. 2. )(list 0x00 0x10 0x20 ) group.long ($2+0x104)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_CLR_u_$1,The interrupt status register has the status combined with irq-enable for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit resets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSENUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSENUUMB15_0_w,NOTFULLSTATUSENUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSENUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSENUUMB15_0_w,NEWMSGSTATUSENUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSENUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSENUUMB14_0_w,NOTFULLSTATUSENUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSENUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSENUUMB14_0_w,NEWMSGSTATUSENUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSENUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSENUUMB13_0_w,NOTFULLSTATUSENUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSENUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSENUUMB13_0_w,NEWMSGSTATUSENUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSENUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSENUUMB12_0_w,NOTFULLSTATUSENUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSENUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSENUUMB12_0_w,NEWMSGSTATUSENUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSENUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSENUUMB11_0_w,NOTFULLSTATUSENUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSENUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSENUUMB11_0_w,NEWMSGSTATUSENUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSENUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSENUUMB10_0_w,NOTFULLSTATUSENUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSENUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSENUUMB10_0_w,NEWMSGSTATUSENUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSENUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSENUUMB9_0_w,NOTFULLSTATUSENUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSENUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSENUUMB9_0_w,NEWMSGSTATUSENUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSENUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSENUUMB8_0_w,NOTFULLSTATUSENUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSENUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSENUUMB8_0_w,NEWMSGSTATUSENUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSENUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSENUUMB7_0_w,NOTFULLSTATUSENUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSENUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSENUUMB7_0_w,NEWMSGSTATUSENUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSENUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSENUUMB6_0_w,NOTFULLSTATUSENUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSENUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSENUUMB6_0_w,NEWMSGSTATUSENUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSENUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSENUUMB5_0_w,NOTFULLSTATUSENUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSENUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSENUUMB5_0_w,NEWMSGSTATUSENUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSENUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSENUUMB4_0_w,NOTFULLSTATUSENUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSENUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSENUUMB4_0_w,NEWMSGSTATUSENUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSENUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSENUUMB3_0_w,NOTFULLSTATUSENUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSENUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSENUUMB3_0_w,NEWMSGSTATUSENUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSENUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSENUUMB2_0_w,NOTFULLSTATUSENUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSENUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSENUUMB2_0_w,NEWMSGSTATUSENUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSENUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSENUUMB1_0_w,NOTFULLSTATUSENUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSENUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSENUUMB1_0_w,NEWMSGSTATUSENUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSENUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSENUUMB0_0_w,NOTFULLSTATUSENUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSENUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSENUUMB0_0_w,NEWMSGSTATUSENUUMB0_1_w" repeat.end repeat 3. (list 0. 1. 2. )(list 0x00 0x10 0x20 ) group.long ($2+0x100)++0x03 line.long 0x00 "MAILBOX_IRQSTATUS_RAW_u_$1,The interrupt status register has the raw status for each event that may be responsible for the generation of an interrupt to the corresponding user - write 1 to a given bit sets this bit" bitfld.long 0x00 31. "NOTFULLSTATUSUUMB15,NotFull Status bit for User u Mailbox 15" "NOTFULLSTATUSUUMB15_0_w,NOTFULLSTATUSUUMB15_1_w" bitfld.long 0x00 30. "NEWMSGSTATUSUUMB15,NewMessage Status bit for User u Mailbox 15" "NEWMSGSTATUSUUMB15_0_w,NEWMSGSTATUSUUMB15_1_w" newline bitfld.long 0x00 29. "NOTFULLSTATUSUUMB14,NotFull Status bit for User u Mailbox 14" "NOTFULLSTATUSUUMB14_0_w,NOTFULLSTATUSUUMB14_1_w" bitfld.long 0x00 28. "NEWMSGSTATUSUUMB14,NewMessage Status bit for User u Mailbox 14" "NEWMSGSTATUSUUMB14_0_w,NEWMSGSTATUSUUMB14_1_w" newline bitfld.long 0x00 27. "NOTFULLSTATUSUUMB13,NotFull Status bit for User u Mailbox 13" "NOTFULLSTATUSUUMB13_0_w,NOTFULLSTATUSUUMB13_1_w" bitfld.long 0x00 26. "NEWMSGSTATUSUUMB13,NewMessage Status bit for User u Mailbox 13" "NEWMSGSTATUSUUMB13_0_w,NEWMSGSTATUSUUMB13_1_w" newline bitfld.long 0x00 25. "NOTFULLSTATUSUUMB12,NotFull Status bit for User u Mailbox 12" "NOTFULLSTATUSUUMB12_0_w,NOTFULLSTATUSUUMB12_1_w" bitfld.long 0x00 24. "NEWMSGSTATUSUUMB12,NewMessage Status bit for User u Mailbox 12" "NEWMSGSTATUSUUMB12_0_w,NEWMSGSTATUSUUMB12_1_w" newline bitfld.long 0x00 23. "NOTFULLSTATUSUUMB11,NotFull Status bit for User u Mailbox 11" "NOTFULLSTATUSUUMB11_0_w,NOTFULLSTATUSUUMB11_1_w" bitfld.long 0x00 22. "NEWMSGSTATUSUUMB11,NewMessage Status bit for User u Mailbox 11" "NEWMSGSTATUSUUMB11_0_w,NEWMSGSTATUSUUMB11_1_w" newline bitfld.long 0x00 21. "NOTFULLSTATUSUUMB10,NotFull Status bit for User u Mailbox 10" "NOTFULLSTATUSUUMB10_0_w,NOTFULLSTATUSUUMB10_1_w" bitfld.long 0x00 20. "NEWMSGSTATUSUUMB10,NewMessage Status bit for User u Mailbox 10" "NEWMSGSTATUSUUMB10_0_w,NEWMSGSTATUSUUMB10_1_w" newline bitfld.long 0x00 19. "NOTFULLSTATUSUUMB9,NotFull Status bit for User u Mailbox 9" "NOTFULLSTATUSUUMB9_0_w,NOTFULLSTATUSUUMB9_1_w" bitfld.long 0x00 18. "NEWMSGSTATUSUUMB9,NewMessage Status bit for User u Mailbox 9" "NEWMSGSTATUSUUMB9_0_w,NEWMSGSTATUSUUMB9_1_w" newline bitfld.long 0x00 17. "NOTFULLSTATUSUUMB8,NotFull Status bit for User u Mailbox 8" "NOTFULLSTATUSUUMB8_0_w,NOTFULLSTATUSUUMB8_1_w" bitfld.long 0x00 16. "NEWMSGSTATUSUUMB8,NewMessage Status bit for User u Mailbox 8" "NEWMSGSTATUSUUMB8_0_w,NEWMSGSTATUSUUMB8_1_w" newline bitfld.long 0x00 15. "NOTFULLSTATUSUUMB7,NotFull Status bit for User u Mailbox 7" "NOTFULLSTATUSUUMB7_0_w,NOTFULLSTATUSUUMB7_1_w" bitfld.long 0x00 14. "NEWMSGSTATUSUUMB7,NewMessage Status bit for User u Mailbox 7" "NEWMSGSTATUSUUMB7_0_w,NEWMSGSTATUSUUMB7_1_w" newline bitfld.long 0x00 13. "NOTFULLSTATUSUUMB6,NotFull Status bit for User u Mailbox 6" "NOTFULLSTATUSUUMB6_0_w,NOTFULLSTATUSUUMB6_1_w" bitfld.long 0x00 12. "NEWMSGSTATUSUUMB6,NewMessage Status bit for User u Mailbox 6" "NEWMSGSTATUSUUMB6_0_w,NEWMSGSTATUSUUMB6_1_w" newline bitfld.long 0x00 11. "NOTFULLSTATUSUUMB5,NotFull Status bit for User u Mailbox 5" "NOTFULLSTATUSUUMB5_0_w,NOTFULLSTATUSUUMB5_1_w" bitfld.long 0x00 10. "NEWMSGSTATUSUUMB5,NewMessage Status bit for User u Mailbox 5" "NEWMSGSTATUSUUMB5_0_w,NEWMSGSTATUSUUMB5_1_w" newline bitfld.long 0x00 9. "NOTFULLSTATUSUUMB4,NotFull Status bit for User u Mailbox 4" "NOTFULLSTATUSUUMB4_0_w,NOTFULLSTATUSUUMB4_1_w" bitfld.long 0x00 8. "NEWMSGSTATUSUUMB4,NewMessage Status bit for User u Mailbox 4" "NEWMSGSTATUSUUMB4_0_w,NEWMSGSTATUSUUMB4_1_w" newline bitfld.long 0x00 7. "NOTFULLSTATUSUUMB3,NotFull Status bit for User u Mailbox 3" "NOTFULLSTATUSUUMB3_0_w,NOTFULLSTATUSUUMB3_1_w" bitfld.long 0x00 6. "NEWMSGSTATUSUUMB3,NewMessage Status bit for User u Mailbox 3" "NEWMSGSTATUSUUMB3_0_w,NEWMSGSTATUSUUMB3_1_w" newline bitfld.long 0x00 5. "NOTFULLSTATUSUUMB2,NotFull Status bit for User u Mailbox 2" "NOTFULLSTATUSUUMB2_0_w,NOTFULLSTATUSUUMB2_1_w" bitfld.long 0x00 4. "NEWMSGSTATUSUUMB2,NewMessage Status bit for User u Mailbox 2" "NEWMSGSTATUSUUMB2_0_w,NEWMSGSTATUSUUMB2_1_w" newline bitfld.long 0x00 3. "NOTFULLSTATUSUUMB1,NotFull Status bit for User u Mailbox 1" "NOTFULLSTATUSUUMB1_0_w,NOTFULLSTATUSUUMB1_1_w" bitfld.long 0x00 2. "NEWMSGSTATUSUUMB1,NewMessage Status bit for User u Mailbox 1" "NEWMSGSTATUSUUMB1_0_w,NEWMSGSTATUSUUMB1_1_w" newline bitfld.long 0x00 1. "NOTFULLSTATUSUUMB0,NotFull Status bit for User u Mailbox 0" "NOTFULLSTATUSUUMB0_0_w,NOTFULLSTATUSUUMB0_1_w" bitfld.long 0x00 0. "NEWMSGSTATUSUUMB0,NewMessage Status bit for User u Mailbox 0" "NEWMSGSTATUSUUMB0_0_w,NEWMSGSTATUSUUMB0_1_w" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0xC0)++0x03 line.long 0x00 "MAILBOX_MSGSTATUS_m_$1,The message status register has the status of the messages in the mailbox" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "NBOFMSGMBM,Number of unread messages in Mailbox Note: Limited to four messages per mailbox" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) rgroup.long ($2+0x80)++0x03 line.long 0x00 "MAILBOX_FIFOSTATUS_m_$1,The FIFO status register has the status related to the mailbox internal FIFO" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 0. "FIFOFULLMBM,Full flag for Mailbox" "FIFOFULLMBM_0_r,FIFOFULLMBM_1_r" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x40)++0x03 line.long 0x00 "MAILBOX_MESSAGE_m_$1,The message register stores the next to be read message of the mailbox" repeat.end tree.end tree "MAILBOX_TARG" base ad:0x4A0F5000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_H,Error reporting" line.long 0x14 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x14 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x14 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x14 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 0. "OCP_RESET,L3 Reset" "0,1" tree.end tree "MBX10_TARG" base ad:0x48861000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX11_TARG" base ad:0x48863000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX12_TARG" base ad:0x48865000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX13_TARG" base ad:0x48803000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX2_TARG" base ad:0x4883B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX3_TARG" base ad:0x4883D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX4_TARG" base ad:0x4883F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX5_TARG" base ad:0x48841000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX6_TARG" base ad:0x48843000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX7_TARG" base ad:0x48845000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX8_TARG" base ad:0x48847000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MBX9_TARG" base ad:0x4885F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP1_AFIFO" base ad:0x48461000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP1_CFG" base ad:0x48460000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,McASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a McASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin functions as McASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin functions as McASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin functions as McASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin functions as McASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin functions as McASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin functions as McASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin functions as McASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin functions as McASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin functions as McASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin functions as McASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin functions as McASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin functions as McASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin functions as McASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin functions as McASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin functions as McASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin functions as McASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin functions as McASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin functions as McASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin functions as McASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin functions as McASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin functions as McASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the McASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR_0,AXR_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the McASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state: If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the McASP mute output pin - AMUTE (Not implemented at device level)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (McASP module)- level and chip-level loopback settings of the McASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects betweeninternal-level (McASP module-level) and chip I/O-level loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the McASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the McASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the McASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "XPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (AFSX)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (I2S transmit mode),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (AFSX) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (ACLKX) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (AHCLKX) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to AHCLKX" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the McASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the McASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the McASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "McASP interface clock divided by 1,McASP interface clock divided by 2,McASP interface clock divided by 4,McASP interface clock divided by 8,McASP interface clock divided by 16,McASP interface clock divided by 32,McASP interface clock divided by 64,McASP interface clock divided by 128,McASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP1_CFG_TARG" base ad:0x48462000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP1_DAT" base ad:0x45800000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP1_FW" base ad:0x4A167000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "MCASP1_FW_CFG_TARG" base ad:0x4A168000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP1_TARG" base ad:0x44002F00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "MCASP2_AFIFO" base ad:0x48465000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP2_CFG" base ad:0x48464000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,McASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a McASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin functions as McASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin functions as McASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin functions as McASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin functions as McASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin functions as McASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin functions as McASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin functions as McASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin functions as McASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin functions as McASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin functions as McASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin functions as McASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin functions as McASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin functions as McASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin functions as McASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin functions as McASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin functions as McASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin functions as McASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin functions as McASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin functions as McASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin functions as McASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin functions as McASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the McASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR_0,AXR_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the McASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state: If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the McASP mute output pin - AMUTE (Not implemented at device level)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (McASP module)- level and chip-level loopback settings of the McASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects betweeninternal-level (McASP module-level) and chip I/O-level loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the McASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the McASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the McASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "XPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (AFSX)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (I2S transmit mode),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (AFSX) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (ACLKX) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (AHCLKX) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to AHCLKX" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the McASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the McASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the McASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "McASP interface clock divided by 1,McASP interface clock divided by 2,McASP interface clock divided by 4,McASP interface clock divided by 8,McASP interface clock divided by 16,McASP interface clock divided by 32,McASP interface clock divided by 64,McASP interface clock divided by 128,McASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP2_CFG_TARG" base ad:0x48466000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP2_DAT" base ad:0x45C00000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP2_FW" base ad:0x4A169000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "MCASP2_FW_CFG_TARG" base ad:0x4A16A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP2_TARG" base ad:0x44003000 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "MCASP3_AFIFO" base ad:0x48469000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP3_CFG" base ad:0x48468000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP3_CFG_TARG" base ad:0x4846A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP3_DAT" base ad:0x46000000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP3_FW" base ad:0x4A16B000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end tree.end tree "MCASP3_FW_CFG_TARG" base ad:0x4A16C000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP3_TARG" base ad:0x44003100 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "MCASP4_AFIFO" base ad:0x4846D000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP4_CFG" base ad:0x4846C000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP4_CFG_TARG" base ad:0x4846E000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP4_DAT" base ad:0x48436000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP4_DAT_TARG" base ad:0x48437000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP5_AFIFO" base ad:0x48471000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP5_CFG" base ad:0x48470000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP5_CFG_TARG" base ad:0x48472000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP5_DAT" base ad:0x4843A000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP5_DAT_TARG" base ad:0x4843B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP6_AFIFO" base ad:0x48475000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP6_CFG" base ad:0x48474000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP6_CFG_TARG" base ad:0x48476000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP6_DAT" base ad:0x4844C000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP6_DAT_TARG" base ad:0x4844D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP7_AFIFO" base ad:0x48479000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP7_CFG" base ad:0x48478000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP7_CFG_TARG" base ad:0x4847A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP7_DAT" base ad:0x48450000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP7_DAT_TARG" base ad:0x48451000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP8_AFIFO" base ad:0x4847D000 group.long 0x00++0x0F line.long 0x00 "WFIFOCTL,The Write FIFO control register" hexmask.long.word 0x00 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 16. "WENA,Write FIFO enable bit" "WENA_0,WENA_1" abitfld.long 0x00 8.--15. "WNUMEVT,Write word count (32-bit) to generate TX event to host" "0x40=3 to 64 words currently..,0xFF=Reserved" abitfld.long 0x00 0.--7. "WNUMDMA,Write word count (32-bit words)" "0x10=3 to 16 words,0xFF=Reserved" line.long 0x04 "WFIFOSTS,The Write FIFO status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x04 0.--7. "WLVL,Write level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" line.long 0x08 "RFIFOCTL,The Read FIFO control register" hexmask.long.word 0x08 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16. "RENA,Read FIFO enable bit" "RENA_0,RENA_1" abitfld.long 0x08 8.--15. "RNUMEVT,Read word count (32-bit) to generate RX event to host" "0x40=3 to 64 words..,0xFF=Reserved" abitfld.long 0x08 0.--7. "RNUMDMA,Read word count (32-bit words)" "0x10=3-16 words,0xFF=Reserved" line.long 0x0C "RFIFOSTS,The Read FIFO status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 0.--7. "RLVL,Read level (read-only)" "0x40=3 to 64 words currently..,0xFF=Reserved" tree.end tree "MCASP8_CFG" base ad:0x4847C000 rgroup.long 0x00++0x07 line.long 0x00 "MCASP_PID,Peripheral identification register" bitfld.long 0x00 30.--31. "SCHEME,Scheme" "0,1,2,3" bitfld.long 0x00 28.--29. "RESV,Reserved" "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNCTION,MCASP" bitfld.long 0x00 11.--15. "RTL,RTL version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "REVMAJOR,Major revision number" "0,1,2,3,4,5,6,7" bitfld.long 0x00 6.--7. "CUSTOM,Non-custom" "0,1,2,3" newline bitfld.long 0x00 0.--5. "REVMINOR,Minor revision number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PWRIDLESYSCONFIG,Power idle module configuration register" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2.--5. "OTHER,Reserved for future expansion" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode - default..,Reserved" group.long 0x10++0x0F line.long 0x00 "MCASP_PFUNC,Specifies the function of the pins as either a MCASP pin or a GPIO pin" bitfld.long 0x00 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as MCASP or GPIO" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as MCASP or GPIO" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as MCASP or GPIO" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as MCASP or GPIO" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as MCASP or GPIO" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as MCASP or GPIO" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as MCASP or GPIO" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as MCASP or GPIO" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as MCASP or GPIO" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as MCASP or GPIO" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as MCASP or GPIO" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as MCASP or GPIO" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as MCASP or GPIO" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as MCASP or GPIO" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as MCASP or GPIO" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as MCASP or GPIO" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as MCASP or GPIO" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as MCASP or GPIO" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as MCASP or GPIO" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as MCASP or GPIO" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as MCASP or GPIO" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDIR,Pin direction register - specifies the direction of the MCASP pins as either an input or an output pin" bitfld.long 0x04 31. "AFSR,Determines if AFSR pin (device level: mcaspi_fsr signal) functions as an input or output" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Determines if ACLKR pin (device level: mcaspi_aclkr signal) functions as an input or output" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Determines if AFSX pin (device level: mcaspi_fsx signal) functions as an input or output" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Determines if AHCLKX pin (device level: mcaspi_ahclkx signal) functions as an input or output" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Determines if ACLKX pin (device level: mcaspi_aclkx signal) functions as an input or output" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Determines if AXR15 pin (device level: mcaspi_axr[15] signal) functions as an input or output" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Determines if AXR14 pin (device level: mcaspi_axr[14] signal) functions as an input or output" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Determines if AXR13 pin (device level: mcaspi_axr[13] signal) functions as an input or output" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Determines if AXR12 pin (device level: mcaspi_axr[12] signal) functions as an input or output" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Determines if AXR11 pin (device level: mcaspi_axr[11] signal) functions as an input or output" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Determines if AXR10 pin (device level: mcaspi_axr[10] signal) functions as an input or output" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Determines if AXR9 pin (device level: mcaspi_axr[9] signal) functions as an input or output" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Determines if AXR8 pin (device level: mcaspi_axr[8] signal) functions as an input or output" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Determines if AXR7 pin (device level: mcaspi_axr[7] signal) functions as an input or output" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Determines if AXR6 pin (device level: mcaspi_axr[6] signal) functions as an input or output" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Determines if AXR5 pin (device level: mcaspi_axr[5] signal) functions as an input or output" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Determines if AXR4 pin (device level: mcaspi_axr[4] signal) functions as an input or output" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Determines if AXR3 pin (device level: mcaspi_axr[3] signal) functions as an input or output" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Determines if AXR2 pin (device level: mcaspi_axr[2] signal) functions as an input or output" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Determines if AXR1 pin (device level: mcaspi_axr[1] signal) functions as an input or output" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Determines if AXR0 pin (device level: mcaspi_axr[0] signal) functions as an input or output" "AXR0_0,AXR0_1" line.long 0x08 "MCASP_PDOUT,Pin data output register - holds a value for data out at all times. and may be read back at all times" bitfld.long 0x08 31. "AFSR,Determines drive on AFSR output pin when the correspondingMCASP_PFUNC[31] and MCASP_PDIR[31] bits are set to 1" "AFSR_0,AFSR_1" bitfld.long 0x08 30. "AHCLKR,Determines drive on AHCLKR output pin when the correspondingMCASP_PFUNC[30] and MCASP_PDIR[30] bits are set to 1" "AHCLKR_0,AHCLKR_1" newline bitfld.long 0x08 29. "ACLKR,Determines drive on ACLKR output pin when the correspondingMCASP_PFUNC[29] and MCASP_PDIR[29] bits are set to 1" "ACLKR_0,ACLKR_1" bitfld.long 0x08 28. "AFSX,Determines drive on AFSX output pin when the correspondingMCASP_PFUNC[28] and MCASP_PDIR[28] bits are set to 1" "AFSX_0,AFSX_1" newline bitfld.long 0x08 27. "AHCLKX,Determines drive on AHCLKX output pin when the correspondingMCASP_PFUNC[27] and MCASP_PDIR[27] bits are set to 1" "AHCLKX_0,AHCLKX_1" bitfld.long 0x08 26. "ACLKX,Determines drive on ACLKX output pin when the correspondingMCASP_PFUNC[26] and MCASP_PDIR[26] bits are set to 1" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x08 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x08 15. "AXR15,Determines drive on AXR15 output pin when the correspondingMCASP_PFUNC[15] and MCASP_PDIR[15] bits are set to 1" "AXR15_0,AXR15_1" newline bitfld.long 0x08 14. "AXR14,Determines drive on AXR14 output pin when the correspondingMCASP_PFUNC[14] and MCASP_PDIR[14] bits are set to 1" "AXR14_0,AXR14_1" bitfld.long 0x08 13. "AXR13,Determines drive on AXR13 output pin when the correspondingMCASP_PFUNC[13] and MCASP_PDIR[13] bits are set to 1" "AXR13_0,AXR13_1" newline bitfld.long 0x08 12. "AXR12,Determines drive on AXR12 output pin when the correspondingMCASP_PFUNC[12] and MCASP_PDIR[12] bits are set to 1" "AXR12_0,AXR12_1" bitfld.long 0x08 11. "AXR11,Determines drive on AXR11 output pin when the correspondingMCASP_PFUNC[11] and MCASP_PDIR[11] bits are set to 1" "AXR11_0,AXR11_1" newline bitfld.long 0x08 10. "AXR10,Determines drive on AXR10 output pin when the correspondingMCASP_PFUNC[10] and MCASP_PDIR[10] bits are set to 1" "AXR10_0,AXR10_1" bitfld.long 0x08 9. "AXR9,Determines drive on AXR9 output pin when the correspondingMCASP_PFUNC[9] and MCASP_PDIR[9] bits are set to 1" "AXR9_0,AXR9_1" newline bitfld.long 0x08 8. "AXR8,Determines drive on AXR8 output pin when the correspondingMCASP_PFUNC[8] and MCASP_PDIR[8] bits are set to 1" "AXR8_0,AXR8_1" bitfld.long 0x08 7. "AXR7,Determines drive on AXR7 output pin when the correspondingMCASP_PFUNC[7] and MCASP_PDIR[7] bits are set to 1" "AXR7_0,AXR7_1" newline bitfld.long 0x08 6. "AXR6,Determines drive on AXR6 output pin when the correspondingMCASP_PFUNC[6] and MCASP_PDIR[6] bits are set to 1" "AXR6_0,AXR6_1" bitfld.long 0x08 5. "AXR5,Determines drive on AXR5 output pin when the correspondingMCASP_PFUNC[5] and MCASP_PDIR[5] bits are set to 1" "AXR5_0,AXR5_1" newline bitfld.long 0x08 4. "AXR4,Determines drive on AXR4 output pin when the correspondingMCASP_PFUNC[4] and MCASP_PDIR[4] bits are set to 1" "AXR4_0,AXR4_1" bitfld.long 0x08 3. "AXR3,Determines drive on AXR3 output pin when the correspondingMCASP_PFUNC[3] and MCASP_PDIR[3] bits are set to 1" "AXR3_0,AXR3_1" newline bitfld.long 0x08 2. "AXR2,Determines drive on AXR2 output pin when the correspondingMCASP_PFUNC[2] and MCASP_PDIR[2] bits are set to 1" "AXR2_0,AXR2_1" bitfld.long 0x08 1. "AXR1,Determines drive on AXR1 output pin when the correspondingMCASP_PFUNC[1] and MCASP_PDIR[1] bits are set to 1" "AXR1_0,AXR1_1" newline bitfld.long 0x08 0. "AXR0,Determines drive on AXR0 output pin when the correspondingMCASP_PFUNC[0] and MCASP_PDIR[0] bits are set to 1" "AXR0_0,AXR0_1" line.long 0x0C "MCASP_PDIN,Pin data input register - holds the state of all the MCASP pins" bitfld.long 0x0C 31. "AFSR,Logic level on AFSR pin (device level: mcaspi_fsr signal)" "AFSR_0,AFSR_1" bitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "ACLKR,Logic level on ACLKR pin (device level: mcaspi_aclkr signal)" "ACLKR_0,ACLKR_1" bitfld.long 0x0C 28. "AFSX,Logic level on AFSX pin (device level: mcaspi_fsx signal)" "AFSX_0,AFSX_1" newline bitfld.long 0x0C 27. "AHCLKX,Logic level on AHCLKX pin (device level: mcaspi_ahclkx signal)" "AHCLKX_0,AHCLKX_1" bitfld.long 0x0C 26. "ACLKX,Logic level on ACLKX pin (device level: mcaspi_aclkx signal)" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x0C 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AXR15,Logic level on AXR15 pin (device level: mcaspi_axr[15] signal)" "AXR15_0,AXR15_1" newline bitfld.long 0x0C 14. "AXR14,Logic level on AXR14 pin (device level: mcaspi_axr[14] signal)" "AXR14_0,AXR14_1" bitfld.long 0x0C 13. "AXR13,Logic level on AXR13 pin (device level: mcaspi_axr[13] signal)" "AXR13_0,AXR13_1" newline bitfld.long 0x0C 12. "AXR12,Logic level on AXR12 pin (device level: mcaspi_axr[12] signal)" "AXR12_0,AXR12_1" bitfld.long 0x0C 11. "AXR11,Logic level on AXR11 pin (device level: mcaspi_axr[11] signal)" "AXR11_0,AXR11_1" newline bitfld.long 0x0C 10. "AXR10,Logic level on AXR10 pin (device level: mcaspi_axr[10] signal)" "AXR10_0,AXR10_1" bitfld.long 0x0C 9. "AXR9,Logic level on AXR9 pin (device level: mcaspi_axr[9] signal)" "AXR9_0,AXR9_1" newline bitfld.long 0x0C 8. "AXR8,Logic level on AXR8 pin (device level: mcaspi_axr[8] signal)" "AXR8_0,AXR8_1" bitfld.long 0x0C 7. "AXR7,Logic level on AXR7 pin (device level: mcaspi_axr[7] signal)" "AXR7_0,AXR7_1" newline bitfld.long 0x0C 6. "AXR6,Logic level on AXR6 pin (device level: mcaspi_axr[6] signal)" "AXR6_0,AXR6_1" bitfld.long 0x0C 5. "AXR5,Logic level on AXR5 pin (device level: mcaspi_axr[5] signal)" "AXR5_0,AXR5_1" newline bitfld.long 0x0C 4. "AXR4,Logic level on AXR4 pin (device level: mcaspi_axr[4] signal)" "AXR4_0,AXR4_1" bitfld.long 0x0C 3. "AXR3,Logic level on AXR3 pin (device level: mcaspi_axr[3] signal)" "AXR3_0,AXR3_1" newline bitfld.long 0x0C 2. "AXR2,Logic level on AXR2 pin (device level: mcaspi_axr[2] signal)" "AXR2_0,AXR2_1" bitfld.long 0x0C 1. "AXR1,Logic level on AXR1 pin (device level: mcaspi_axr[1] signal)" "AXR1_0,AXR1_1" newline bitfld.long 0x0C 0. "AXR0,Logic level on AXR0 pin (device level: mcaspi_axr[0] signal)" "AXR0_0,AXR0_1" group.long 0x1C++0x07 line.long 0x00 "MCASP_PDSET,The pin data set register is an alias of the pin data output register () for writes only" bitfld.long 0x00 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x00 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x00 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x00 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x00 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x00 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x00 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x00 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x00 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x00 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x00 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x00 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x00 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x00 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x00 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x00 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x00 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x00 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x00 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x00 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x00 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be set to a logic high without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" line.long 0x04 "MCASP_PDCLR,The pin data clear register is an alias of the pin data output register () for writes only" bitfld.long 0x04 31. "AFSR,Allows the corresponding AFSR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSR_0,AFSR_1" bitfld.long 0x04 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 29. "ACLKR,Allows the corresponding ACLKR bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKR_0,ACLKR_1" bitfld.long 0x04 28. "AFSX,Allows the corresponding AFSX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AFSX_0,AFSX_1" newline bitfld.long 0x04 27. "AHCLKX,Allows the corresponding AHCLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AHCLKX_0,AHCLKX_1" bitfld.long 0x04 26. "ACLKX,Allows the corresponding ACLKX bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "ACLKX_0,ACLKX_1" newline hexmask.long.word 0x04 16.--25. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "AXR15,Allows the AXR15 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR15_0,AXR15_1" newline bitfld.long 0x04 14. "AXR14,Allows the AXR14 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR14_0,AXR14_1" bitfld.long 0x04 13. "AXR13,Allows the AXR13 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR13_0,AXR13_1" newline bitfld.long 0x04 12. "AXR12,Allows the AXR12 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR12_0,AXR12_1" bitfld.long 0x04 11. "AXR11,Allows the AXR11 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR11_0,AXR11_1" newline bitfld.long 0x04 10. "AXR10,Allows the AXR10 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR10_0,AXR10_1" bitfld.long 0x04 9. "AXR9,Allows the AXR9 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR9_0,AXR9_1" newline bitfld.long 0x04 8. "AXR8,Allows the AXR8 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR8_0,AXR8_1" bitfld.long 0x04 7. "AXR7,Allows the AXR7 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR7_0,AXR7_1" newline bitfld.long 0x04 6. "AXR6,Allows the AXR6 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR6_0,AXR6_1" bitfld.long 0x04 5. "AXR5,Allows the AXR5 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR5_0,AXR5_1" newline bitfld.long 0x04 4. "AXR4,Allows the AXR4 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR4_0,AXR4_1" bitfld.long 0x04 3. "AXR3,Allows the AXR3 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR3_0,AXR3_1" newline bitfld.long 0x04 2. "AXR2,Allows the AXR2 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR2_0,AXR2_1" bitfld.long 0x04 1. "AXR1,Allows the AXR1 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR1_0,AXR1_1" newline bitfld.long 0x04 0. "AXR0,Allows the AXR0 bit inMCASP_PDOUT to be cleared to a logic low without affecting other I/O pins controlled by the same port" "AXR0_0,AXR0_1" group.long 0x44++0x0F line.long 0x00 "MCASP_GBLCTL,Global transmit control register - provides initialization of the transmit and receive sections" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 12. "XFRST,Transmit frame-sync generator reset enable bit" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,Transmit state-machine reset enable bitAXR[n] pin state : If[n] = 0 and [n] = 1 the corresponding serializer [n] drives the AXR[n] pin to the state specified for inactive time slot" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,Transmit serializer clear enable bit" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,Transmit high-frequency clock divider reset enable bit" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,Transmit clock divider reset enable bit" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Receive frame sync generator reset enable bit" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,Receive state machine reset enable bit" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,Receive serializer clear enable bit" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,Receive high-frequency clock divider reset enable bit" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,Receive clock divider reset enable bit" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_AMUTE,Mute control register - Controls the MCASP mute output pin - AMUTE (device level - not implemented)" line.long 0x08 "MCASP_LBCTL,The digital loopback control register () controls the internal (MCASP module)- level and chip-level loopback settings of the MCASP in TDM mode" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 4. "IOLBEN,If DLBEN=0b1 the IOLBEN bit selects between and loopback modes" "IOLBEN_0,IOLBEN_1" newline bitfld.long 0x08 2.--3. "MODE,Loopback generator mode bits.0x2 " "MODE_0,MODE_1,?,?" bitfld.long 0x08 1. "ORD,Loopback order bit when loopback mode is enabled (DLBEN = 1)" "ORD_0,ORD_1" newline bitfld.long 0x08 0. "DLBEN,Loop back mode enable bit" "DLBEN_0,DLBEN_1" line.long 0x0C "MCASP_TXDITCTL,Transmit DIT mode control register. controls DIT operations of the MCASP" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 3. "VB,Valid bit for odd time slots (DIT right subframe)" "VB_0,VB_1" newline bitfld.long 0x0C 2. "VA,Valid bit for even time slots (DIT left subframe)" "VA_0,VA_1" bitfld.long 0x0C 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 0. "DITEN,DIT mode enable bit" "DITEN_0,DITEN_1" group.long 0x60++0x2F line.long 0x00 "MCASP_GBLCTLR,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," rbitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline rbitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" rbitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline rbitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" rbitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline bitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" bitfld.long 0x00 2. "RSRCLR,RCV serializer clear" "RSRCLR_0,RSRCLR_1" newline bitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" bitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_RXMASK,The receive format unit bit mask register () determines which bits of the received data are masked off and padded with a known value before being read by the CPU" line.long 0x08 "MCASP_RXFMT,The receive bit stream format register () configures the receive data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED," bitfld.long 0x08 16.--17. "RDATDLY,Receive Frame sync delay of AXR[n]" "RDATDLY_0,RDATDLY_1,RDATDLY_2,RDATDLY_3" newline bitfld.long 0x08 15. "RRVRS,Receive serial bitstream order" "RRVRS_0,RRVRS_1" bitfld.long 0x08 13.--14. "RPAD,Pad value for extra bits in slot not belonging to the word" "RPAD_0,RPAD_1,RPAD_2,RPAD_3" newline bitfld.long 0x08 8.--12. "RPBIT,RPBIT value determines which bit (as read by the CPU from RBUF[n]) is used to pad the extra bits" "RPBIT_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" bitfld.long 0x08 4.--7. "RSSZ,Receive slot size.0x0" "?,?,?,RSSZ_3,RSSZ_4,RSSZ_5,RSSZ_6,RSSZ_7,RSSZ_8,RSSZ_9,RSSZ_10,RSSZ_11,RSSZ_12,RSSZ_13,RSSZ_14,RSSZ_15" newline bitfld.long 0x08 3. "RBUSEL,Selects whether reads from serializer buffer RBUF[n] originate from the peripheral configuration CFG port or the DATA port" "RBUSEL_0,RBUSEL_1" bitfld.long 0x08 0.--2. "RROT,Right-rotation value for receive rotate right format unit" "RROT_0,RROT_1,RROT_2,RROT_3,RROT_4,RROT_5,RROT_6,RROT_7" line.long 0x0C "MCASP_RXFMCTL,The receive frame sync control register () configures the receive frame sync (AFSR)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," abitfld.long 0x0C 7.--15. "RMOD,Receive frame sync mode select" "0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x1FF=Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x0C 4. "FRWID,Receive frame sync width select bit indicates the width of the receive frame sync (AFSR) during its active period" "FRWID_0,FRWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "FSRM,Receive frame sync generation select bit" "FSRM_0,FSRM_1" newline bitfld.long 0x0C 0. "FSRP,Receive frame sync polarity select bit" "FSRP_0,FSRP_1" line.long 0x10 "MCASP_ACLKRCTL,The receive clock control register () configures the receive bit clock (ACLKR) and the receive clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED," bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKRADJ,CLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKRP,Receive bitstream clock polarity select bit" "CLKRP_0,CLKRP_1" bitfld.long 0x10 6. "RESERVED," "0,1" newline bitfld.long 0x10 5. "CLKRM,Receive bit clock source bit" "CLKRM_0,CLKRM_1" bitfld.long 0x10 0.--4. "CLKRDIV,Receive bit clock divide ratio bits determine the divide-down ratio from AHCLKR to ACLKR" "CLKRDIV_0,CLKRDIV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x14 "MCASP_AHCLKRCTL,The receive high-frequency clock control register () configures the receive high-frequency master clock (AHCLKR) and the receive clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED," bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKRADJ,HCLKRDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKRM,High Freq" "HCLKRM_0,HCLKRM_1" newline bitfld.long 0x14 14. "HCLKRP,Receive bitstream high-frequency clock polarity select bit" "HCLKRP_0,HCLKRP_1" bitfld.long 0x14 12.--13. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x14 0.--11. 1. "HCLKRDIV,Receive high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to" line.long 0x18 "MCASP_RXTDM,The receive TDM time slot register () specifies which TDM time slot the receiver is active" line.long 0x1C "MCASP_EVTCTLR,Receiver Interrupt control register - controls generation of the MCASP receive interrupt (RINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "RSTAFRM,Receive start of frame interrupt enable bit" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "RDATA,Receive data-ready interrupt enable bit" "RDATA_0,RDATA_1" newline bitfld.long 0x1C 4. "RLAST,Receive last slot interrupt enable bit" "RLAST_0,RLAST_1" bitfld.long 0x1C 3. "RDMAERR,Receive DMA error interrupt enable bit" "RDMAERR_0,RDMAERR_1" newline bitfld.long 0x1C 2. "RCKFAIL,Receive clock failure interrupt enable bit" "RCKFAIL_0,RCKFAIL_1" bitfld.long 0x1C 1. "RSYNCERR,Unexpected receive frame-sync interrupt enable bit" "RSYNCERR_0,RSYNCERR_1" newline bitfld.long 0x1C 0. "ROVRN,Receiver overrun interrupt enable bit" "ROVRN_0,ROVRN_1" line.long 0x20 "MCASP_RXSTAT,The receiver status register () provides the receiver status and receive TDM time slot number" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED," bitfld.long 0x20 8. "RERR,RERR bit always returns a logic-OR of: ROVRN | RSYNCERR | RCKFAIL | RDMAERR Allows a single bit to be checked to determine if a receiver error has occurred" "RERR_0,RERR_1" newline bitfld.long 0x20 7. "RDMAERR,Receive DMA error flag" "RDMAERR_0,RDMAERR_1" bitfld.long 0x20 6. "RSTAFRM,Receive start of frame flag" "RSTAFRM_0,RSTAFRM_1" newline bitfld.long 0x20 5. "RDATA,Receive data ready flag" "RDATA_0,RDATA_1" bitfld.long 0x20 4. "RLAST,Receive last slot flag" "RLAST_0,RLAST_1" newline bitfld.long 0x20 3. "RTDMSLOT,Returns the LSB of RSLOT" "RTDMSLOT_0,RTDMSLOT_1" bitfld.long 0x20 2. "RCKFAIL,Receive clock failure flag" "RCKFAIL_0,RCKFAIL_1" newline bitfld.long 0x20 1. "RSYNCERR,Unexpected receive frame sync flag" "RSYNCERR_0,RSYNCERR_1" bitfld.long 0x20 0. "ROVRN,Receiver overrun flag" "ROVRN_0,ROVRN_1" line.long 0x24 "MCASP_RXTDMSLOT,The current receive TDM time slot register () indicates the current time slot for the receive data frame" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED," hexmask.long.word 0x24 0.--8. 1. "RSLOTCNT," line.long 0x28 "MCASP_RXCLKCHK,The receive clock check control register (RCLKCHK) configures the receive clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "RCNT," hexmask.long.byte 0x28 16.--23. 1. "RMAX," newline hexmask.long.byte 0x28 8.--15. 1. "RMIN," bitfld.long 0x28 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "RPS,Receive clock check prescaler value.0x9" "RPS_0,RPS_1,RPS_2,RPS_3,RPS_4,RPS_5,RPS_6,RPS_7,RPS_8,?,?,?,?,?,?,?" line.long 0x2C "MCASP_REVTCTL,Receiver DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "RDATDMA,Receive data DMA request enable bit" "RDATDMA_0,RDATDMA_1" group.long 0xA0++0x33 line.long 0x00 "MCASP_GBLCTLX,Alias of GBLCTL" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED," bitfld.long 0x00 12. "XFRST,Frame sync generator reset" "XFRST_0,XFRST_1" newline bitfld.long 0x00 11. "XSMRST,XMT state machine reset" "XSMRST_0,XSMRST_1" bitfld.long 0x00 10. "XSRCLR,XMT serializer clear" "XSRCLR_0,XSRCLR_1" newline bitfld.long 0x00 9. "XHCLKRST,XMT High Freq" "XHCLKRST_0,XHCLKRST_1" bitfld.long 0x00 8. "XCLKRST,XMT clock divder reset" "XCLKRST_0,XCLKRST_1" newline bitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x00 4. "RFRST,Frame sync generator reset" "RFRST_0,RFRST_1" newline rbitfld.long 0x00 3. "RSMRST,RCV state machine reset" "RSMRST_0,RSMRST_1" rbitfld.long 0x00 2. "RSRCLKR,RCV serializer clear" "RSRCLKR_0,RSRCLKR_1" newline rbitfld.long 0x00 1. "RHCLKRST,RCV High Freq" "RHCLKRST_0,RHCLKRST_1" rbitfld.long 0x00 0. "RCLKRST,RCV clock divder reset" "RCLKRST_0,RCLKRST_1" line.long 0x04 "MCASP_TXMASK,Transmit format unit bit mask register - Determines which bits of the transmitted data are masked off before being shifted out the MCASP" line.long 0x08 "MCASP_TXFMT,Transmit bitstream format register - configures the transmit data format" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 16.--17. "XDATDLY,Transmit sync bit delay" "XDATDLY_0,XDATDLY_1,XDATDLY_2,XDATDLY_3" newline bitfld.long 0x08 15. "XRVRS,Transmit serial bitstream order" "XRVRS_0,XRVRS_1" bitfld.long 0x08 13.--14. "XPAD,Pad value for extra bits in slot not belonging to word defined by XMASK" "XPAD_0,XPAD_1,XPAD_2,XPAD_3" newline bitfld.long 0x08 8.--12. "XPBIT,XPBIT value determines which bit (as written by the CPU or DMA to XBUF[n]) is used to pad the extra bits before shifting" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 4.--7. "XSSZ,Transmit slot size0x0" "?,?,?,XSSZ_3,XSSZ_4,XSSZ_5,XSSZ_6,XSSZ_7,XSSZ_8,XSSZ_9,XSSZ_10,XSSZ_11,XSSZ_12,XSSZ_13,XSSZ_14,XSSZ_15" newline bitfld.long 0x08 3. "XBUSEL,Selects whether writes to the serializer buffer XBUF[n] originate from the peripheral configuration CFG port or the DATA port" "XBUSEL_0,XBUSEL_1" bitfld.long 0x08 0.--2. "XROT,Right-rotation value for transmit rotate right format unit" "XROT_0,XROT_1,XROT_2,XROT_3,XROT_4,XROT_5,XROT_6,XROT_7" line.long 0x0C "MCASP_TXFMCTL,Transmit frame-sync control register - configures the transmit frame sync (mcaspi_fsx)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" abitfld.long 0x0C 7.--15. "XMOD,Transmit frame-sync mode select bits" "0x000=Burst mode,0x001=Reserved,0x002=2-slot TDM mode (),0x020=3-slot TDM to 32-slot TDM mode,0x17F=Reserved,0x180=384-slot DIT mode All other: Reserved" newline bitfld.long 0x0C 5.--6. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 4. "FXWID,The transmit frame-sync width select bit indicates the width of the transmit frame sync (mcaspi_fsx) during its active period" "FXWID_0,FXWID_1" newline bitfld.long 0x0C 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x0C 1. "FSXM,Transmit frame-sync generation select bit" "FSXM_0,FSXM_1" newline bitfld.long 0x0C 0. "FSXP,Transmit frame-sync polarity select bit" "FSXP_0,FSXP_1" line.long 0x10 "MCASP_ACLKXCTL,Transmit clock control register - Configures the transmit bit clock (mcaspi_aclkx) and the transmit clock generator" hexmask.long.word 0x10 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x10 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x10 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x10 16.--17. "CLKXADJ,CLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" hexmask.long.byte 0x10 8.--15. 1. "RESERVED," newline bitfld.long 0x10 7. "CLKXP,Transmit bitstream clock polarity select bit" "CLKXP_0,CLKXP_1" bitfld.long 0x10 6. "ASYNC,Transmit operation asynchronous enable bit" "ASYNC_0,ASYNC_1" newline bitfld.long 0x10 5. "CLKXM,Transmit bit clock source bit" "CLKXM_0,CLKXM_1" bitfld.long 0x10 0.--4. "CLKXDIV,Transmit bit clock divide ratio bits determine the divide-down ratio from AHCLKX to ACLKX" "Divide-by-1,Divide-by-2 0x2 to,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide-by-3 to divide-by-32" line.long 0x14 "MCASP_AHCLKXCTL,High-frequency transmit clock control register - Configures the transmit high-frequency master clock (mcaspi_ahclkx) and the transmit clock generator" hexmask.long.word 0x14 21.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 20. "BUSY,Status: logical OR of DIVBUSY ADJBUSY" "BUSY_0,BUSY_1" newline bitfld.long 0x14 19. "DIVBUSY,Status: divide ratio change in progress? Not supported" "DIVBUSY_0,DIVBUSY_1" bitfld.long 0x14 18. "ADJBUSY,Status: one-shot adjustment in progress? Not supported" "ADJBUSY_0,ADJBUSY_1" newline bitfld.long 0x14 16.--17. "HCLKXADJ,HCLKXDIV one-shot adjustment" "?,(m-1) input clocks per output clock,(m+1) input clocks per output clock,(m+0) input clocks per output clock i.e" bitfld.long 0x14 15. "HCLKXM,Transmit high-frequency clock source bit" "HCLKXM_0,HCLKXM_1" newline bitfld.long 0x14 14. "HCLKXP,Transmit bitstream high-frequency clock polarity select bit" "HCLKXP_0,HCLKXP_1" bitfld.long 0x14 12.--13. "RESERVED,Reserved" "0,1,2,3" newline abitfld.long 0x14 0.--11. "HCLKXDIV,Transmit high-frequency clock divide ratio bits determine the divide-down ratio from AUXCLK to mcaspi_ahclkx" "0x000=Divide-by-1,0x001=Divide-by-2 0x2 to,0xFFF=Divide-by-3 to divide-by-4096" line.long 0x18 "MCASP_TXTDM,Transmit TDM slot 0-31 register - TDM time slot counter range is to 384 slots (to support SPDIF blocks of 384 subframes)" line.long 0x1C "MCASP_EVTCTLX,Transmitter Interrupt control register - controls generation of the MCASP transmit interrupt (XINT)" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 7. "XSTAFRM,Transmit start of frame interrupt enable bit" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x1C 6. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 5. "XDATA,Transmit data-ready interrupt enable bit" "XDATA_0,XDATA_1" newline bitfld.long 0x1C 4. "XLAST,Transmit last slot interrupt enable bit" "XLAST_0,XLAST_1" bitfld.long 0x1C 3. "XDMAERR,Transmit DMA error interrupt enable bit" "XDMAERR_0,XDMAERR_1" newline bitfld.long 0x1C 2. "XCKFAIL,Transmit clock failure interrupt enable bit" "XCKFAIL_0,XCKFAIL_1" bitfld.long 0x1C 1. "XSYNCERR,Unexpected transmit frame-sync interrupt enable bit" "XSYNCERR_0,XSYNCERR_1" newline bitfld.long 0x1C 0. "XUNDRN,Transmitter underrun interrupt enable bit" "XUNDRN_0,XUNDRN_1" line.long 0x20 "MCASP_TXSTAT,Transmitter status register - If the MCASP logic attempts to set an interrupt flag in the same cycle that the CPU writes to the flag to clear it. the MCASP logic has priority and the flag remains set" hexmask.long.tbyte 0x20 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 8. "XERR,XERR bit always returns a logic-OR of: XUNDRN | XSYNCERR | XCKFAIL | XDMAERR" "XERR_0,XERR_1" newline bitfld.long 0x20 7. "XDMAERR,Transmit DMA error flag" "XDMAERR_0,XDMAERR_1" bitfld.long 0x20 6. "XSTAFRM,Transmit start of frame flag" "XSTAFRM_0,XSTAFRM_1" newline bitfld.long 0x20 5. "XDATA,Transmit data ready flag" "XDATA_0,XDATA_1" bitfld.long 0x20 4. "XLAST,Transmit last slot flag" "XLAST_0,XLAST_1" newline rbitfld.long 0x20 3. "XTDMSLOT,Returns the LSB of XSLOT" "XTDMSLOT_0_r,XTDMSLOT_1_r" bitfld.long 0x20 2. "XCKFAIL,Transmit clock failure flag" "XCKFAIL_0,XCKFAIL_1" newline bitfld.long 0x20 1. "XSYNCERR,Unexpected transmit frame-sync flag" "XSYNCERR_0,XSYNCERR_1" bitfld.long 0x20 0. "XUNDRN,Transmitter underrun flag" "XUNDRN_0,XUNDRN_1" line.long 0x24 "MCASP_TXTDMSLOT,Current transmit TDM time slot register" hexmask.long.tbyte 0x24 9.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x24 0.--8. 1. "XSLOTCNT,Current transmit time slot count" line.long 0x28 "MCASP_TXCLKCHK,Transmit clock check control register - configures the transmit clock failure detection circuit" hexmask.long.byte 0x28 24.--31. 1. "XCNT,Transmit clock count value (from previous measurement)" hexmask.long.byte 0x28 16.--23. 1. "XMAX,0x0 to" newline hexmask.long.byte 0x28 8.--15. 1. "XMIN,0x0 to" bitfld.long 0x28 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 0.--3. "XPS,Transmit clock check prescaler value" "MCASP interface clock divided by 1,MCASP interface clock divided by 2,MCASP interface clock divided by 4,MCASP interface clock divided by 8,MCASP interface clock divided by 16,MCASP interface clock divided by 32,MCASP interface clock divided by 64,MCASP interface clock divided by 128,MCASP interface clock divided by 256 0x9 to,?,?,?,?,?,?,Reserved" line.long 0x2C "MCASP_XEVTCTL,Transmitter DMA event control register" hexmask.long 0x2C 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x2C 0. "XDATDMA,Transmit data DMA request enable bit" "XDATDMA_0,XDATDMA_1" line.long 0x30 "MCASP_CLKADJEN,One-Shot Clock Adjustment Enable" hexmask.long 0x30 1.--31. 1. "RESERVED," bitfld.long 0x30 0. "ENABLE,One-shot clock adjust enable" "ENABLE_0,ENABLE_1" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x280)++0x03 line.long 0x00 "MCASP_RXBUFn_$1,Receive buffer n - The receive buffer for the serializer n holds data before the data goes to the receive format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x200)++0x03 line.long 0x00 "MCASP_TXBUFn_$1,Transmit buffer n - The transmit buffer for the serializer n holds data from the transmit format unit" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x180)++0x03 line.long 0x00 "MCASP_XRSRCTLn_$1,Serializer n control register" hexmask.long 0x00 6.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 5. "RRDY,Receive buffer ready bit" "RRDY_0_r,RRDY_1_r" newline rbitfld.long 0x00 4. "XRDY,Transmit buffer ready bit" "XRDY_0_r,XRDY_1_r" bitfld.long 0x00 2.--3. "DISMOD,Serializer pin drive mode bit" "DISMOD_0,DISMOD_1,DISMOD_2,DISMOD_3" newline bitfld.long 0x00 0.--1. "SRMOD,Serializer mode bit" "SRMOD_0,SRMOD_1,SRMOD_2,SRMOD_3" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x148)++0x03 line.long 0x00 "MCASP_DITUDRBi_$1,DIT right user data register - provides the user data of each right channel (odd TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x130)++0x03 line.long 0x00 "MCASP_DITUDRAi_$1,DIT left channel user data register - provides the user data of each left channel (even TDM time slot)" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x118)++0x03 line.long 0x00 "MCASP_DITCSRBi_$1,DIT right channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 ) group.long ($2+0x100)++0x03 line.long 0x00 "MCASP_DITCSRAi_$1,DIT left channel status register - All six 32-bit registers (i = 0 to 5) can store 192 bits of channel status data for a complete block of transmission" repeat.end tree.end tree "MCASP8_CFG_TARG" base ad:0x4847E000 rgroup.long 0xA000++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0xA018++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCASP8_DAT" base ad:0x48454000 rgroup.long 0x00++0x03 line.long 0x00 "MCASP_RXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" group.long 0x00++0x03 line.long 0x00 "MCASP_TXBUF,Through the DATA port. the Host can service all serializers through a single address and the McASP automatically cycles through the appropriate serializers" tree.end tree "MCASP8_DAT_TARG" base ad:0x48455000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 2. (list 3. 4. )(list ad:0x480B8000 ad:0x480BA000 ) tree "MCSPI$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "MCSPI_HL_REV,IP Revision Identifier (X.Y.R) Used by software to track features. bugs. and compatibility" line.long 0x04 "MCSPI_HL_HWINFO,Information about the IP module's hardware configuration. that is. typically the module's HDL generics (if any)" hexmask.long 0x04 7.--31. 1. "RSVD,Reserved These bits are initialized to 0 and writes to them are ignored" bitfld.long 0x04 6. "RETMODE,Retention Mode generic parameter" "Retention mode disabled,Retention mode enabled" bitfld.long 0x04 1.--5. "FFNBYTE,FIFO number of byte generic parameter This register defines the value of FFNBYTE generic parameter only MSB bits from 8 down to 4 are taken into account" "?,FFNBYTE_1_r,FFNBYTE_2_r,?,FFNBYTE_4_r,?,?,?,FFNBYTE_8_r,?,?,?,?,?,?,?,FFNBYTE_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x04 0. "USEFIFO,Use of a FIFO enable: This bit indicates if a FIFO is integrated within controller design with its management" "USEFIFO_0_r,USEFIFO_1_r" group.long 0x10++0x03 line.long 0x00 "MCSPI_HL_SYSCONFIG,Clock management configuration" hexmask.long 0x00 4.--31. 1. "RSVD," bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "FREEEMU,Sensitivity to emulation (debug) suspend input signal" "FREEEMU_0,FREEEMU_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_w" rgroup.long 0x100++0x03 line.long 0x00 "MCSPI_REVISION,This register contains the revision number" group.long 0x110++0x2F line.long 0x00 "MCSPI_SYSCONFIG,This register allows controlling various parameters of the OCP interface and is not affected by software reset" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake-up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" bitfld.long 0x00 5.--7. "RESERVED,Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "SIDLEMODE,Power management" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 2. "ENAWAKEUP,Wake-up feature control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock-gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MCSPI_SYSSTATUS,This register provides status information about the module excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved for module specific status information" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MCSPI_IRQSTATUS,The interrupt status regroups all the status of the module internal events that can generate an interrupt" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x08 17. "EOW,End of word count event when a channel is enabled using the FIFO buffer and the channel had sent the number of SPI word defined byMCSPI_XFERLEVEL[WCNT]" "EOW_0_r,EOW_1_w" bitfld.long 0x08 16. "WKS,Wake-up event in slave mode when an active control signal is detected on the SPIEN line programmed in the field MCSPI_CH0CONF[SPIENSLV]" "WKS_0_w,WKS_1_r" newline bitfld.long 0x08 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 14. "RX3_FULL,Receiver register is full or almost full" "RX3_FULL_0_w,RX3_FULL_1_r" bitfld.long 0x08 13. "TX3_UNDERFLOW,Transmitter register underflow" "TX3_UNDERFLOW_0_w,TX3_UNDERFLOW_1_r" newline bitfld.long 0x08 12. "TX3_EMPTY,Transmitter register is empty or almost empty" "TX3_EMPTY_0_w,TX3_EMPTY_1_r" bitfld.long 0x08 11. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 10. "RX2_FULL,Receiver register full or almost full" "RX2_FULL_0_w,RX2_FULL_1_r" newline bitfld.long 0x08 9. "TX2_UNDERFLOW,Transmitter register underflow" "TX2_UNDERFLOW_0_w,TX2_UNDERFLOW_1_r" bitfld.long 0x08 8. "TX2_EMPTY,Transmitter register empty or almost empty" "TX2_EMPTY_0_w,TX2_EMPTY_1_r" bitfld.long 0x08 7. "RESERVED,Reads returns 0" "0,1" newline bitfld.long 0x08 6. "RX1_FULL,Receiver register full or almost full" "RX1_FULL_0_w,RX1_FULL_1_r" bitfld.long 0x08 5. "TX1_UNDERFLOW,Transmitter register underflow" "TX1_UNDERFLOW_0_w,TX1_UNDERFLOW_1_r" bitfld.long 0x08 4. "TX1_EMPTY,Transmitter register empty or almost empty" "TX1_EMPTY_0_w,TX1_EMPTY_1_r" newline bitfld.long 0x08 3. "RX0_OVERFLOW,Receiver register overflow (slave mode only)" "RX0_OVERFLOW_0_w,RX0_OVERFLOW_1_r" bitfld.long 0x08 2. "RX0_FULL,Receiver register full or almost full" "RX0_FULL_0_w,RX0_FULL_1_r" bitfld.long 0x08 1. "TX0_UNDERFLOW,Transmitter register underflow" "TX0_UNDERFLOW_0_w,TX0_UNDERFLOW_1_r" newline bitfld.long 0x08 0. "TX0_EMPTY,Transmitter register empty or almost empty" "TX0_EMPTY_0_w,TX0_EMPTY_1_r" line.long 0x0C "MCSPI_IRQENABLE,This register allows enabling/disabling of the module internal sources of interrupt. on an event-by-event basis" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x0C 17. "EOW_ENABLE,End of Word count Interrupt Enable" "EOW_ENABLE_0,EOW_ENABLE_1" bitfld.long 0x0C 16. "WKE,Wake-up event interrupt enable in slave mode when an active control signal is detected on the SPIEN line programmed in the MCSPI_CH0CONF[SPIENSLV] bit" "WKE_0,WKE_1" newline bitfld.long 0x0C 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x0C 14. "RX3_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX3_FULL_ENABLE_0,RX3_FULL_ENABLE_1" bitfld.long 0x0C 13. "TX3_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX3_UNDERFLOW_ENABLE_0,TX3_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 12. "TX3_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX3_EMPTY_ENABLE_0,TX3_EMPTY_ENABLE_1" bitfld.long 0x0C 11. "RESERVED,Reads return 0" "0,1" bitfld.long 0x0C 10. "RX2_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX2_FULL_ENABLE_0,RX2_FULL_ENABLE_1" newline bitfld.long 0x0C 9. "TX2_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX2_UNDERFLOW_ENABLE_0,TX2_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 8. "TX2_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX2_EMPTY_ENABLE_0,TX2_EMPTY_ENABLE_1" bitfld.long 0x0C 7. "RESERVED,Reads return 0" "0,1" newline bitfld.long 0x0C 6. "RX1_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX1_FULL_ENABLE_0,RX1_FULL_ENABLE_1" bitfld.long 0x0C 5. "TX1_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX1_UNDERFLOW_ENABLE_0,TX1_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 4. "TX1_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX1_EMPTY_ENABLE_0,TX1_EMPTY_ENABLE_1" newline bitfld.long 0x0C 3. "RX0_OVERFLOW_ENABLE,Receiver register Overflow Interrupt Enable" "RX0_OVERFLOW_ENABLE_0,RX0_OVERFLOW_ENABLE_1" bitfld.long 0x0C 2. "RX0_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX0_FULL_ENABLE_0,RX0_FULL_ENABLE_1" bitfld.long 0x0C 1. "TX0_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX0_UNDERFLOW_ENABLE_0,TX0_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 0. "TX0_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX0_EMPTY_ENABLE_0,TX0_EMPTY_ENABLE_1" line.long 0x10 "MCSPI_WAKEUPENABLE,The wake-up enable register allows enabling and disabling of the module internal sources of wakeup on event-by-event basis" hexmask.long 0x10 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x10 0. "WKEN,Wake-up functionality in slave mode when an active control signal is detected on the SPIEN line programmed in the MCSPI_CH0CONF[SPIENSLV] bit" "WKEN_0,WKEN_1" line.long 0x14 "MCSPI_SYST,This register is used to check the correctness of the system interconnect either internally to peripheral bus. or externally to device I/O pads. when the module is configured in system test (SYSTEST) mode" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x14 11. "SSB,Set status bit" "SSB_0,SSB_1" bitfld.long 0x14 10. "SPIENDIR,Set the direction of the SPIEN[3:0] lines and SPICLK line" "SPIENDIR_0,SPIENDIR_1" newline bitfld.long 0x14 9. "SPIDATDIR1,Set the direction of the SPIDAT[1]" "SPIDATDIR1_0,SPIDATDIR1_1" bitfld.long 0x14 8. "SPIDATDIR0,Set the direction of the SPIDAT[0]" "SPIDATDIR0_0,SPIDATDIR0_1" bitfld.long 0x14 7. "WAKD,SWAKEUP output (signal data value of internal signal to system)" "WAKD_0,WAKD_1" newline bitfld.long 0x14 6. "SPICLK,SPICLK line (signal data value) If MCSPI_SYST[SPIENDIR] = 1 (input mode direction) this bit returns the value on the CLKSPI line (high or low) and a write into this bit has no effect" "0,1" bitfld.long 0x14 5. "SPIDAT_1,SPIDAT[1] line (signal data value) If MCSPI_SYST[SPIDATDIR1] = 0 (output mode direction) the SPIDAT[1] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 4. "SPIDAT_0,SPIDAT[0] line (signal data value) If MCSPI_SYST[SPIDATDIR0] = 0 (output mode direction) the SPIDAT[0] line is driven high or low according to the value written into this register" "0,1" newline bitfld.long 0x14 3. "SPIEN_3,SPIEN[3] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[3] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 2. "SPIEN_2,SPIEN[2] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[2] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 1. "SPIEN_1,SPIEN[1] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[1] line is driven high or low according to the value written into this register" "0,1" newline bitfld.long 0x14 0. "SPIEN_0,SPIEN[0] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[0] line is driven high or low according to the value written into this register" "0,1" line.long 0x18 "MCSPI_MODULCTRL,This register is dedicated to the configuration of the serial port interface" hexmask.long.tbyte 0x18 9.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x18 8. "FDAA,FIFO DMA address 256-bit aligned This register is used when a FIFO is managed by the module and DMA connected to the controller provides only 256-bit aligned address" "FDAA_0,FDAA_1" bitfld.long 0x18 7. "MOA,Multiple word OCP access: This register can only be used when a channel is enabled using a FIFO" "MOA_0,MOA_1" newline bitfld.long 0x18 4.--6. "INITDLY,Initial SPI delay for first transfer: This register is an option only available in SINGLE master mode" "INITDLY_0,INITDLY_1,INITDLY_2,INITDLY_3,INITDLY_4,?,?,?" bitfld.long 0x18 3. "SYSTEM_TEST,Enables the system test mode" "SYSTEM_TEST_0,SYSTEM_TEST_1" bitfld.long 0x18 2. "MS,Master/slave" "MS_0,MS_1" newline bitfld.long 0x18 1. "PIN34,Pin mode selection: This register is used to configure the SPI pin mode in master or slave mode" "PIN34_0,PIN34_1" bitfld.long 0x18 0. "SINGLE,Single channel/Multi Channel (master mode only)" "SINGLE_0,SINGLE_1" line.long 0x1C "MCSPI_CHxCONF,This register is dedicated to the configuration of the channel 0" rbitfld.long 0x1C 30.--31. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x1C 29. "CLKG,Clock divider granularity This register defines the granularity of channel clock divider: power of 2 or one clock cycle granularity" "CLKG_0,CLKG_1" bitfld.long 0x1C 28. "FFER,FIFO enabled for receive: Only one channel can have this bit field set" "FFER_0,FFER_1" newline bitfld.long 0x1C 27. "FFEW,FIFO enabled for transmit: Only one channel can have this bit field set" "FFEW_0,FFEW_1" bitfld.long 0x1C 25.--26. "TCS0,Chip-select time control This 2-bit field defines the number of interface clock cycles between CS toggling and first or last edge of SPI clock" "TCS0_0,TCS0_1,TCS0_2,TCS0_3" bitfld.long 0x1C 24. "SBPOL,Start-bit polarity" "SBPOL_0,SBPOL_1" newline bitfld.long 0x1C 23. "SBE,Start-bit enable for SPI transfer" "SBE_0,SBE_1" bitfld.long 0x1C 21.--22. "SPIENSLV,Channel 0 only and slave mode only: SPI slave select signal detection" "SPIENSLV_0,SPIENSLV_1,SPIENSLV_2,SPIENSLV_3" bitfld.long 0x1C 20. "FORCE,Manual SPIEN assertion to keep SPIEN active between SPI words (single channel master mode only)" "FORCE_0,FORCE_1" newline bitfld.long 0x1C 19. "TURBO,Turbo mode" "TURBO_0,TURBO_1" bitfld.long 0x1C 18. "IS,Input Select" "IS_0,IS_1" bitfld.long 0x1C 17. "DPE1,Transmission enable for data line 1 (SPIDATAGZEN[1])" "DPE1_0,DPE1_1" newline bitfld.long 0x1C 16. "DPE0,Transmission Enable for data line 0 (SPIDATAGZEN[0])" "DPE0_0,DPE0_1" bitfld.long 0x1C 15. "DMAR,DMA read request The DMA read request line is asserted when the channel is enabled and a new data is available in the receive register of the channel" "DMAR_0,DMAR_1" bitfld.long 0x1C 14. "DMAW,DMA write request" "DMAW_0,DMAW_1" newline bitfld.long 0x1C 12.--13. "TRM,Transmit/receive modes" "TRM_0,TRM_1,TRM_2,TRM_3" bitfld.long 0x1C 7.--11. "WL,SPI word length" "WL_0,WL_1,WL_2,WL_3,WL_4,WL_5,WL_6,WL_7,WL_8,WL_9,WL_10,WL_11,WL_12,WL_13,WL_14,WL_15,WL_16,WL_17,WL_18,WL_19,WL_20,WL_21,WL_22,WL_23,WL_24,WL_25,WL_26,WL_27,WL_28,WL_29,WL_30,WL_31" bitfld.long 0x1C 6. "EPOL,SPIEN polarity" "EPOL_0,EPOL_1" newline bitfld.long 0x1C 2.--5. "CLKD,Frequency divider for SPICLK (only when the module is a Master SPI device)" "CLKD_0,CLKD_1,CLKD_2,CLKD_3,CLKD_4,CLKD_5,CLKD_6,CLKD_7,CLKD_8,CLKD_9,CLKD_10,CLKD_11,CLKD_12,CLKD_13,CLKD_14,CLKD_15" bitfld.long 0x1C 1. "POL,SPICLK polarity (see )" "POL_0,POL_1" bitfld.long 0x1C 0. "PHA,SPICLK phase (see )" "PHA_0,PHA_1" line.long 0x20 "MCSPI_CHxSTAT,This register provides status information about transmitter and receiver registers of channel 0" hexmask.long 0x20 7.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x20 6. "RXFFF,Channel 'i' FIFO receive buffer full status" "RXFFF_0_r,RXFFF_1_r" bitfld.long 0x20 5. "RXFFE,Channel 'i' FIFO receive buffer empty status" "RXFFE_0_r,RXFFE_1_r" newline bitfld.long 0x20 4. "TXFFF,Channel 'i' FIFO transmit buffer full status" "TXFFF_0_r,TXFFF_1_r" bitfld.long 0x20 3. "TXFFE,Channel 'i' FIFO transmit buffer empty status" "TXFFE_0_r,TXFFE_1_r" bitfld.long 0x20 2. "EOT,Channel 'i' end of transfer status" "EOT_0_r,EOT_1_r" newline bitfld.long 0x20 1. "TXS,Channel 'i' transmitter register status" "TXS_0_r,TXS_1_r" bitfld.long 0x20 0. "RXS,Channel 'i' receiver register status" "RXS_0_r,RXS_1_r" line.long 0x24 "MCSPI_CHxCTRL,This register is dedicated to enable channel 0" hexmask.long.word 0x24 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.byte 0x24 8.--15. 1. "EXTCLK,Clock ratio extension: This register is used to concatenate with MCSPI_CHCONF[CLKD] register for clock ratio only when granularity is one clock cycle (MCSPI_CHCONF[CLKG] set to 1)" hexmask.long.byte 0x24 1.--7. 1. "RESERVED,Read returns 0" newline bitfld.long 0x24 0. "EN,Channel enable" "EN_0,EN_1" line.long 0x28 "MCSPI_TXx,This register contains a single SPI word to transmit on the serial link. what ever SPI word length is" line.long 0x2C "MCSPI_RXx,This register contains a single SPI word received through the serial link. what ever SPI word length is" group.long 0x17C++0x07 line.long 0x00 "MCSPI_XFERLEVEL,This register provides transfer levels needed while using FIFO buffer during transfer" hexmask.long.word 0x00 16.--31. 1. "WCNT,SPI word counter" hexmask.long.byte 0x00 8.--15. 1. "AFL,Buffer almost full This register holds the programmable almost full level value used to determine almost full buffer condition" hexmask.long.byte 0x00 0.--7. 1. "AEL,Buffer almost empty" line.long 0x04 "MCSPI_DAFTX,This register contains the SPI words to be transmitted on the SPI bus when FIFO is used and DMA address is aligned on 256 bit" rgroup.long 0x1A0++0x03 line.long 0x00 "MCSPI_DAFRX,This register contains the SPI words received from the SPI bus when FIFO is used and DMA address is aligned on 256 bit" tree.end repeat.end tree "MCSPI1" base ad:0x48098000 rgroup.long 0x00++0x07 line.long 0x00 "MCSPI_HL_REV,McSPI module revision identifier Used by software to track features. bugs. and compatibility" line.long 0x04 "MCSPI_HL_HWINFO,Information about the module's hardware configuration" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved These bits are initialized to 0 and writes to them are ignored" bitfld.long 0x04 6. "RETMODE,Retention Mode" "Retention mode disabled,Retention mode enabled" bitfld.long 0x04 1.--5. "FFNBYTE,FIFO number of bytes parameter" "?,FFNBYTE_1_r,FFNBYTE_2_r,?,FFNBYTE_4_r,?,?,?,FFNBYTE_8_r,?,?,?,?,?,?,?,FFNBYTE_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x04 0. "USEFIFO,Use of a FIFO enable" "USEFIFO_0_r,USEFIFO_1_r" group.long 0x10++0x03 line.long 0x00 "MCSPI_HL_SYSCONFIG,Clock management configuration" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "FREEEMU,Sensitivity to emulation (debug) suspend input signal" "FREEEMU_0,FREEEMU_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_w" rgroup.long 0x100++0x03 line.long 0x00 "MCSPI_REVISION,This register contains the McSPI revision number" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reads return 0" hexmask.long.byte 0x00 0.--7. 1. "REVISION,McSPI core revision [7:4] Major revision [3:0] Minor revision Examples: 0x10 for 1.0 0x21 for 2.1" group.long 0x110++0x1B line.long 0x00 "MCSPI_SYSCONFIG,This register allows controlling various parameters of the configuration interface and is not affected by software reset" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake-up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" bitfld.long 0x00 5.--7. "RESERVED,Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "SIDLEMODE,Power management" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 2. "ENAWAKEUP,Wake-up feature control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,Internal interface clock-gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MCSPI_SYSSTATUS,This register provides status information about the module excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved for future module specific status information" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MCSPI_IRQSTATUS,The interrupt status regroups all the status of the module internal events that can generate an interrupt" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x08 17. "EOW,End of word count event when a channel is enabled using the FIFO buffer and the channel had sent the number of SPI word defined byMCSPI_XFERLEVEL[31:16] WCNT" "EOW_0_r,EOW_1_w" bitfld.long 0x08 16. "WKS,Wake-up event in slave mode when an active control signal is detected on the SPIEN line programmed in the fieldMCSPI_CHxCONF[22:21] SPIENSLV" "WKS_0_w,WKS_1_r" newline bitfld.long 0x08 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 14. "RX3_FULL,Receiver register is full or almost full" "RX3_FULL_0_w,RX3_FULL_1_r" bitfld.long 0x08 13. "TX3_UNDERFLOW,Transmitter register underflow" "TX3_UNDERFLOW_0_w,TX3_UNDERFLOW_1_r" newline bitfld.long 0x08 12. "TX3_EMPTY,Transmitter register is empty or almost empty" "TX3_EMPTY_0_w,TX3_EMPTY_1_r" bitfld.long 0x08 11. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 10. "RX2_FULL,Receiver register full or almost full" "RX2_FULL_0_w,RX2_FULL_1_r" newline bitfld.long 0x08 9. "TX2_UNDERFLOW,Transmitter register underflow" "TX2_UNDERFLOW_0_w,TX2_UNDERFLOW_1_r" bitfld.long 0x08 8. "TX2_EMPTY,Transmitter register empty or almost empty" "TX2_EMPTY_0_w,TX2_EMPTY_1_r" bitfld.long 0x08 7. "RESERVED,Reads returns 0" "0,1" newline bitfld.long 0x08 6. "RX1_FULL,Receiver register full or almost full" "RX1_FULL_0_w,RX1_FULL_1_r" bitfld.long 0x08 5. "TX1_UNDERFLOW,Transmitter register underflow" "TX1_UNDERFLOW_0_w,TX1_UNDERFLOW_1_r" bitfld.long 0x08 4. "TX1_EMPTY,Transmitter register empty or almost empty" "TX1_EMPTY_0_w,TX1_EMPTY_1_r" newline bitfld.long 0x08 3. "RX0_OVERFLOW,Receiver register overflow (slave mode only)" "RX0_OVERFLOW_0_w,RX0_OVERFLOW_1_r" bitfld.long 0x08 2. "RX0_FULL,Receiver register full or almost full" "RX0_FULL_0_w,RX0_FULL_1_r" bitfld.long 0x08 1. "TX0_UNDERFLOW,Transmitter register underflow" "TX0_UNDERFLOW_0_w,TX0_UNDERFLOW_1_r" newline bitfld.long 0x08 0. "TX0_EMPTY,Transmitter register empty or almost empty" "TX0_EMPTY_0_w,TX0_EMPTY_1_r" line.long 0x0C "MCSPI_IRQENABLE,This register allows enabling/disabling of the module internal sources of interrupt. on an event-by-event basis" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x0C 17. "EOW_ENABLE,End of Word count Interrupt Enable" "EOW_ENABLE_0,EOW_ENABLE_1" bitfld.long 0x0C 16. "WKE,Wake-up event interrupt enable in slave mode when an active control signal is detected on the SPIEN line programmed in theMCSPI_CHxCONF[22:21] SPIENSLV bits" "WKE_0,WKE_1" newline bitfld.long 0x0C 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x0C 14. "RX3_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX3_FULL_ENABLE_0,RX3_FULL_ENABLE_1" bitfld.long 0x0C 13. "TX3_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX3_UNDERFLOW_ENABLE_0,TX3_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 12. "TX3_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX3_EMPTY_ENABLE_0,TX3_EMPTY_ENABLE_1" bitfld.long 0x0C 11. "RESERVED,Reads return 0" "0,1" bitfld.long 0x0C 10. "RX2_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX2_FULL_ENABLE_0,RX2_FULL_ENABLE_1" newline bitfld.long 0x0C 9. "TX2_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX2_UNDERFLOW_ENABLE_0,TX2_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 8. "TX2_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX2_EMPTY_ENABLE_0,TX2_EMPTY_ENABLE_1" bitfld.long 0x0C 7. "RESERVED,Reads return 0" "0,1" newline bitfld.long 0x0C 6. "RX1_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX1_FULL_ENABLE_0,RX1_FULL_ENABLE_1" bitfld.long 0x0C 5. "TX1_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX1_UNDERFLOW_ENABLE_0,TX1_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 4. "TX1_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX1_EMPTY_ENABLE_0,TX1_EMPTY_ENABLE_1" newline bitfld.long 0x0C 3. "RX0_OVERFLOW_ENABLE,Receiver register Overflow Interrupt Enable" "RX0_OVERFLOW_ENABLE_0,RX0_OVERFLOW_ENABLE_1" bitfld.long 0x0C 2. "RX0_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX0_FULL_ENABLE_0,RX0_FULL_ENABLE_1" bitfld.long 0x0C 1. "TX0_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX0_UNDERFLOW_ENABLE_0,TX0_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 0. "TX0_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX0_EMPTY_ENABLE_0,TX0_EMPTY_ENABLE_1" line.long 0x10 "MCSPI_WAKEUPENABLE,The wake-up enable register allows enabling and disabling of the module internal sources of wakeup on event-by-event basis" hexmask.long 0x10 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x10 0. "WKEN,Wake-up functionality in slave mode when an active control signal is detected on the SPIEN line programmed in theMCSPI_CHxCONF[22:21] SPIENSLV bits" "WKEN_0,WKEN_1" line.long 0x14 "MCSPI_SYST,This register is used to check the correctness of the system interconnect either internally to peripheral bus. or externally to device I/O pads. when the module is configured in system test (SYSTEST) mode" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x14 11. "SSB,Set status bit" "SSB_0,SSB_1" bitfld.long 0x14 10. "SPIENDIR,Set the direction of the SPIEN[3:0] lines and SPICLK line" "SPIENDIR_0,SPIENDIR_1" newline bitfld.long 0x14 9. "SPIDATDIR1,Set the direction of the SPIDAT[1]" "SPIDATDIR1_0,SPIDATDIR1_1" bitfld.long 0x14 8. "SPIDATDIR0,Set the direction of the SPIDAT[0]" "SPIDATDIR0_0,SPIDATDIR0_1" bitfld.long 0x14 7. "WAKD,SWAKEUP output (signal data value of internal signal to system)" "WAKD_0,WAKD_1" newline bitfld.long 0x14 6. "SPICLK,SPICLK line (signal data value) If [10] SPIENDIR = 1 (input mode direction) this bit returns the value on the CLKSPI line (high or low) and a write into this bit has no effect" "0,1" bitfld.long 0x14 5. "SPIDAT_1,SPIDAT[1] line (signal data value) If [9] SPIDATDIR1 = 0 (output mode direction) the SPIDAT[1] line is driven high or low according to the value written into this bit" "0,1" bitfld.long 0x14 4. "SPIDAT_0,SPIDAT[0] line (signal data value) If [8] SPIDATDIR0 = 0 (output mode direction) the SPIDAT[0] line is driven high or low according to the value written into this bit" "0,1" newline bitfld.long 0x14 3. "SPIEN_3,SPIEN[3] line (signal data value) If [10] SPIENDIR = 0 (output mode direction) the SPIENT[3] line is driven high or low according to the value written into this bit" "0,1" bitfld.long 0x14 2. "SPIEN_2,SPIEN[2] line (signal data value) If [10] SPIENDIR = 0 (output mode direction) the SPIENT[2] line is driven high or low according to the value written into this bit" "0,1" bitfld.long 0x14 1. "SPIEN_1,SPIEN[1] line (signal data value) If [10] SPIENDIR = 0 (output mode direction) the SPIENT[1] line is driven high or low according to the value written into this bit" "0,1" newline bitfld.long 0x14 0. "SPIEN_0,SPIEN[0] line (signal data value) If [10] SPIENDIR = 0 (output mode direction) the SPIENT[0] line is driven high or low according to the value written into this bit" "0,1" line.long 0x18 "MCSPI_MODULCTRL,This register is dedicated to the configuration of the serial peripheral interface" hexmask.long.tbyte 0x18 9.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x18 8. "FDAA,FIFO DMA address 256-bit aligned This bit is used when a FIFO is managed by the module and DMA connected to the controller provides only 256-bit aligned address" "FDAA_0,FDAA_1" bitfld.long 0x18 7. "MOA,Multiple word interface access: this bit can only be used when a channel is enabled using a FIFO" "MOA_0,MOA_1" newline bitfld.long 0x18 4.--6. "INITDLY,Initial SPI delay for first transfer: this field is an option only available in SINGLE master mode" "INITDLY_0,INITDLY_1,INITDLY_2,INITDLY_3,INITDLY_4,?,?,?" bitfld.long 0x18 3. "SYSTEM_TEST,Enables the system test mode" "SYSTEM_TEST_0,SYSTEM_TEST_1" bitfld.long 0x18 2. "MS,Master/slave" "MS_0,MS_1" newline bitfld.long 0x18 1. "PIN34,Pin mode selection: This bit is used in master or slave mode to configure the SPI pin mode (3-pin or 4-pin)" "PIN34_0,PIN34_1" bitfld.long 0x18 0. "SINGLE,Single channel/Multi Channel (master mode only)" "SINGLE_0,SINGLE_1" group.long 0x17C++0x07 line.long 0x00 "MCSPI_XFERLEVEL,This register provides transfer levels needed while using FIFO buffer during transfer" hexmask.long.word 0x00 16.--31. 1. "WCNT,SPI word counter" hexmask.long.byte 0x00 8.--15. 1. "AFL,Buffer almost full This field holds the programmable almost-full level value used to determine almost full buffer condition" hexmask.long.byte 0x00 0.--7. 1. "AEL,Buffer almost empty" line.long 0x04 "MCSPI_DAFTX,This register contains the SPI words to be transmitted on the SPI bus when FIFO is used and DMA address is aligned on 256 bit" rgroup.long 0x1A0++0x03 line.long 0x00 "MCSPI_DAFRX,This register contains the SPI words received from the SPI bus when FIFO is used and DMA address is aligned on 256 bit" repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x14 0x28 0x3C ) rgroup.long ($2+0x13C)++0x03 line.long 0x00 "MCSPI_RXx_$1,This register contains a single SPI word for channel x received through the serial link. whatever SPI word length is" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x14 0x28 0x3C ) group.long ($2+0x138)++0x03 line.long 0x00 "MCSPI_TXx_$1,This register contains a single SPI word for channel x to transmit on the serial link. whatever SPI word length is" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x14 0x28 0x3C ) group.long ($2+0x134)++0x03 line.long 0x00 "MCSPI_CHxCTRL_$1,This register is dedicated to enable channel x" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.byte 0x00 8.--15. 1. "EXTCLK,Clock ratio extension: this field is used to concatenate with MCSPI_CHxCONF[5:2] CLKD register for clock ratio only when granularity is one clock cycle (MCSPI_CHxCONF[29] CLKG set to 1)" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0. "EN,Channel enable" "EN_0,EN_1" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x14 0x28 0x3C ) rgroup.long ($2+0x130)++0x03 line.long 0x00 "MCSPI_CHxSTAT_$1,This register provides status information about transmitter and receiver registers of channel x" hexmask.long 0x00 7.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 6. "RXFFF,Channel x FIFO receive buffer full status" "RXFFF_0_r,RXFFF_1_r" newline bitfld.long 0x00 5. "RXFFE,Channel x FIFO receive buffer empty status" "RXFFE_0_r,RXFFE_1_r" bitfld.long 0x00 4. "TXFFF,Channel x FIFO transmit buffer full status" "TXFFF_0_r,TXFFF_1_r" newline bitfld.long 0x00 3. "TXFFE,Channel x FIFO transmit buffer empty status" "TXFFE_0_r,TXFFE_1_r" bitfld.long 0x00 2. "EOT,Channel x end of transfer status" "EOT_0_r,EOT_1_r" newline bitfld.long 0x00 1. "TXS,Channel x transmitter register status" "TXS_0_r,TXS_1_r" bitfld.long 0x00 0. "RXS,Channel x receiver register status" "RXS_0_r,RXS_1_r" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x14 0x28 0x3C ) group.long ($2+0x12C)++0x03 line.long 0x00 "MCSPI_CHxCONF_$1,This register is dedicated to the configuration of the channel x" rbitfld.long 0x00 30.--31. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x00 29. "CLKG,Clock divider granularity this bit defines the granularity of channel clock divider: power of 2 or one clock cycle granularity" "CLKG_0,CLKG_1" newline bitfld.long 0x00 28. "FFER,FIFO enabled for receive: Only one channel can have this bit field set" "FFER_0,FFER_1" bitfld.long 0x00 27. "FFEW,FIFO enabled for transmit: Only one channel can have this bit field set" "FFEW_0,FFEW_1" newline bitfld.long 0x00 25.--26. "TCS0,Chip-select time control This 2-bit field defines the number of interface clock cycles between CS toggling and first or last edge of SPI clock" "TCS0_0,TCS0_1,TCS0_2,TCS0_3" bitfld.long 0x00 24. "SBPOL,Start-bit polarity" "SBPOL_0,SBPOL_1" newline bitfld.long 0x00 23. "SBE,Start-bit enable for SPI transfer" "SBE_0,SBE_1" bitfld.long 0x00 21.--22. "SPIENSLV,Channel 0 only and slave mode only: SPI slave select signal detection" "SPIENSLV_0,SPIENSLV_1,SPIENSLV_2,SPIENSLV_3" newline bitfld.long 0x00 20. "FORCE,Manual SPIEN assertion to keep SPIEN active between SPI words (single channel master mode only)" "FORCE_0,FORCE_1" bitfld.long 0x00 19. "TURBO,Turbo mode" "TURBO_0,TURBO_1" newline bitfld.long 0x00 18. "IS,Input Select" "IS_0,IS_1" bitfld.long 0x00 17. "DPE1,Transmission enable for data line 1" "DPE1_0,DPE1_1" newline bitfld.long 0x00 16. "DPE0,Transmission Enable for data line 0" "DPE0_0,DPE0_1" bitfld.long 0x00 15. "DMAR,DMA read request The DMA read request line is asserted when the channel is enabled and a new data is available in the receive register of the channel" "DMAR_0,DMAR_1" newline bitfld.long 0x00 14. "DMAW,DMA write request" "DMAW_0,DMAW_1" bitfld.long 0x00 12.--13. "TRM,Transmit/receive modes" "TRM_0,TRM_1,TRM_2,TRM_3" newline bitfld.long 0x00 7.--11. "WL,SPI word length" "WL_0,WL_1,WL_2,WL_3,WL_4,WL_5,WL_6,WL_7,WL_8,WL_9,WL_10,WL_11,WL_12,WL_13,WL_14,WL_15,WL_16,WL_17,WL_18,WL_19,WL_20,WL_21,WL_22,WL_23,WL_24,WL_25,WL_26,WL_27,WL_28,WL_29,WL_30,WL_31" bitfld.long 0x00 6. "EPOL,SPIEN polarity" "EPOL_0,EPOL_1" newline bitfld.long 0x00 2.--5. "CLKD,Frequency divider for SPICLK (only when the module is a Master SPI device)" "CLKD_0,CLKD_1,CLKD_2,CLKD_3,CLKD_4,CLKD_5,CLKD_6,CLKD_7,CLKD_8,CLKD_9,CLKD_10,CLKD_11,CLKD_12,CLKD_13,CLKD_14,CLKD_15" bitfld.long 0x00 1. "POL,SPICLK polarity (see Transfer Format)" "POL_0,POL_1" newline bitfld.long 0x00 0. "PHA,SPICLK phase (see Transfer Format)" "PHA_0,PHA_1" repeat.end tree.end tree "MCSPI1_TARG" base ad:0x48099000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCSPI2" base ad:0x4809A000 rgroup.long 0x00++0x07 line.long 0x00 "MCSPI_HL_REV,IP Revision Identifier (X.Y.R) Used by software to track features. bugs. and compatibility" line.long 0x04 "MCSPI_HL_HWINFO,Information about the IP module's hardware configuration. that is. typically the module's HDL generics (if any)" hexmask.long 0x04 7.--31. 1. "RSVD,Reserved These bits are initialized to 0 and writes to them are ignored" bitfld.long 0x04 6. "RETMODE,Retention Mode generic parameter" "Retention mode disabled,Retention mode enabled" bitfld.long 0x04 1.--5. "FFNBYTE,FIFO number of byte generic parameter This register defines the value of FFNBYTE generic parameter only MSB bits from 8 down to 4 are taken into account" "?,FFNBYTE_1_r,FFNBYTE_2_r,?,FFNBYTE_4_r,?,?,?,FFNBYTE_8_r,?,?,?,?,?,?,?,FFNBYTE_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x04 0. "USEFIFO,Use of a FIFO enable: This bit indicates if a FIFO is integrated within controller design with its management" "USEFIFO_0_r,USEFIFO_1_r" group.long 0x10++0x03 line.long 0x00 "MCSPI_HL_SYSCONFIG,Clock management configuration" hexmask.long 0x00 4.--31. 1. "RSVD," bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "FREEEMU,Sensitivity to emulation (debug) suspend input signal" "FREEEMU_0,FREEEMU_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_w" rgroup.long 0x100++0x03 line.long 0x00 "MCSPI_REVISION,This register contains the revision number" group.long 0x110++0x1B line.long 0x00 "MCSPI_SYSCONFIG,This register allows controlling various parameters of the OCP interface and is not affected by software reset" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake-up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" bitfld.long 0x00 5.--7. "RESERVED,Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "SIDLEMODE,Power management" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" bitfld.long 0x00 2. "ENAWAKEUP,Wake-up feature control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock-gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MCSPI_SYSSTATUS,This register provides status information about the module excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved for module specific status information" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MCSPI_IRQSTATUS,The interrupt status regroups all the status of the module internal events that can generate an interrupt" hexmask.long.word 0x08 18.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x08 17. "EOW,End of word count event when a channel is enabled using the FIFO buffer and the channel had sent the number of SPI word defined byMCSPI_XFERLEVEL[WCNT]" "EOW_0_r,EOW_1_w" bitfld.long 0x08 16. "WKS,Wake-up event in slave mode when an active control signal is detected on the SPIEN line programmed in the field MCSPI_CH0CONF[SPIENSLV]" "WKS_0_w,WKS_1_r" newline bitfld.long 0x08 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 14. "RX3_FULL,Receiver register is full or almost full" "RX3_FULL_0_w,RX3_FULL_1_r" bitfld.long 0x08 13. "TX3_UNDERFLOW,Transmitter register underflow" "TX3_UNDERFLOW_0_w,TX3_UNDERFLOW_1_r" newline bitfld.long 0x08 12. "TX3_EMPTY,Transmitter register is empty or almost empty" "TX3_EMPTY_0_w,TX3_EMPTY_1_r" bitfld.long 0x08 11. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x08 10. "RX2_FULL,Receiver register full or almost full" "RX2_FULL_0_w,RX2_FULL_1_r" newline bitfld.long 0x08 9. "TX2_UNDERFLOW,Transmitter register underflow" "TX2_UNDERFLOW_0_w,TX2_UNDERFLOW_1_r" bitfld.long 0x08 8. "TX2_EMPTY,Transmitter register empty or almost empty" "TX2_EMPTY_0_w,TX2_EMPTY_1_r" bitfld.long 0x08 7. "RESERVED,Reads returns 0" "0,1" newline bitfld.long 0x08 6. "RX1_FULL,Receiver register full or almost full" "RX1_FULL_0_w,RX1_FULL_1_r" bitfld.long 0x08 5. "TX1_UNDERFLOW,Transmitter register underflow" "TX1_UNDERFLOW_0_w,TX1_UNDERFLOW_1_r" bitfld.long 0x08 4. "TX1_EMPTY,Transmitter register empty or almost empty" "TX1_EMPTY_0_w,TX1_EMPTY_1_r" newline bitfld.long 0x08 3. "RX0_OVERFLOW,Receiver register overflow (slave mode only)" "RX0_OVERFLOW_0_w,RX0_OVERFLOW_1_r" bitfld.long 0x08 2. "RX0_FULL,Receiver register full or almost full" "RX0_FULL_0_w,RX0_FULL_1_r" bitfld.long 0x08 1. "TX0_UNDERFLOW,Transmitter register underflow" "TX0_UNDERFLOW_0_w,TX0_UNDERFLOW_1_r" newline bitfld.long 0x08 0. "TX0_EMPTY,Transmitter register empty or almost empty" "TX0_EMPTY_0_w,TX0_EMPTY_1_r" line.long 0x0C "MCSPI_IRQENABLE,This register allows enabling/disabling of the module internal sources of interrupt. on an event-by-event basis" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x0C 17. "EOW_ENABLE,End of Word count Interrupt Enable" "EOW_ENABLE_0,EOW_ENABLE_1" bitfld.long 0x0C 16. "WKE,Wake-up event interrupt enable in slave mode when an active control signal is detected on the SPIEN line programmed in the MCSPI_CH0CONF[SPIENSLV] bit" "WKE_0,WKE_1" newline bitfld.long 0x0C 15. "RESERVED,Reads returns 0" "0,1" bitfld.long 0x0C 14. "RX3_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX3_FULL_ENABLE_0,RX3_FULL_ENABLE_1" bitfld.long 0x0C 13. "TX3_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX3_UNDERFLOW_ENABLE_0,TX3_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 12. "TX3_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX3_EMPTY_ENABLE_0,TX3_EMPTY_ENABLE_1" bitfld.long 0x0C 11. "RESERVED,Reads return 0" "0,1" bitfld.long 0x0C 10. "RX2_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX2_FULL_ENABLE_0,RX2_FULL_ENABLE_1" newline bitfld.long 0x0C 9. "TX2_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX2_UNDERFLOW_ENABLE_0,TX2_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 8. "TX2_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX2_EMPTY_ENABLE_0,TX2_EMPTY_ENABLE_1" bitfld.long 0x0C 7. "RESERVED,Reads return 0" "0,1" newline bitfld.long 0x0C 6. "RX1_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX1_FULL_ENABLE_0,RX1_FULL_ENABLE_1" bitfld.long 0x0C 5. "TX1_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX1_UNDERFLOW_ENABLE_0,TX1_UNDERFLOW_ENABLE_1" bitfld.long 0x0C 4. "TX1_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX1_EMPTY_ENABLE_0,TX1_EMPTY_ENABLE_1" newline bitfld.long 0x0C 3. "RX0_OVERFLOW_ENABLE,Receiver register Overflow Interrupt Enable" "RX0_OVERFLOW_ENABLE_0,RX0_OVERFLOW_ENABLE_1" bitfld.long 0x0C 2. "RX0_FULL_ENABLE,Receiver register Full Interrupt Enable" "RX0_FULL_ENABLE_0,RX0_FULL_ENABLE_1" bitfld.long 0x0C 1. "TX0_UNDERFLOW_ENABLE,Transmitter register Underflow Interrupt Enable" "TX0_UNDERFLOW_ENABLE_0,TX0_UNDERFLOW_ENABLE_1" newline bitfld.long 0x0C 0. "TX0_EMPTY_ENABLE,Transmitter register Empty Interrupt Enable" "TX0_EMPTY_ENABLE_0,TX0_EMPTY_ENABLE_1" line.long 0x10 "MCSPI_WAKEUPENABLE,The wake-up enable register allows enabling and disabling of the module internal sources of wakeup on event-by-event basis" hexmask.long 0x10 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x10 0. "WKEN,Wake-up functionality in slave mode when an active control signal is detected on the SPIEN line programmed in the MCSPI_CH0CONF[SPIENSLV] bit" "WKEN_0,WKEN_1" line.long 0x14 "MCSPI_SYST,This register is used to check the correctness of the system interconnect either internally to peripheral bus. or externally to device I/O pads. when the module is configured in system test (SYSTEST) mode" hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x14 11. "SSB,Set status bit" "SSB_0,SSB_1" bitfld.long 0x14 10. "SPIENDIR,Set the direction of the SPIEN[3:0] lines and SPICLK line" "SPIENDIR_0,SPIENDIR_1" newline bitfld.long 0x14 9. "SPIDATDIR1,Set the direction of the SPIDAT[1]" "SPIDATDIR1_0,SPIDATDIR1_1" bitfld.long 0x14 8. "SPIDATDIR0,Set the direction of the SPIDAT[0]" "SPIDATDIR0_0,SPIDATDIR0_1" bitfld.long 0x14 7. "WAKD,SWAKEUP output (signal data value of internal signal to system)" "WAKD_0,WAKD_1" newline bitfld.long 0x14 6. "SPICLK,SPICLK line (signal data value) If MCSPI_SYST[SPIENDIR] = 1 (input mode direction) this bit returns the value on the CLKSPI line (high or low) and a write into this bit has no effect" "0,1" bitfld.long 0x14 5. "SPIDAT_1,SPIDAT[1] line (signal data value) If MCSPI_SYST[SPIDATDIR1] = 0 (output mode direction) the SPIDAT[1] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 4. "SPIDAT_0,SPIDAT[0] line (signal data value) If MCSPI_SYST[SPIDATDIR0] = 0 (output mode direction) the SPIDAT[0] line is driven high or low according to the value written into this register" "0,1" newline bitfld.long 0x14 3. "SPIEN_3,SPIEN[3] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[3] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 2. "SPIEN_2,SPIEN[2] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[2] line is driven high or low according to the value written into this register" "0,1" bitfld.long 0x14 1. "SPIEN_1,SPIEN[1] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[1] line is driven high or low according to the value written into this register" "0,1" newline bitfld.long 0x14 0. "SPIEN_0,SPIEN[0] line (signal data value) If MCSPI_SYST[SPIENDIR] = 0 (output mode direction) the SPIENT[0] line is driven high or low according to the value written into this register" "0,1" line.long 0x18 "MCSPI_MODULCTRL,This register is dedicated to the configuration of the serial port interface" hexmask.long.tbyte 0x18 9.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x18 8. "FDAA,FIFO DMA address 256-bit aligned This register is used when a FIFO is managed by the module and DMA connected to the controller provides only 256-bit aligned address" "FDAA_0,FDAA_1" bitfld.long 0x18 7. "MOA,Multiple word OCP access: This register can only be used when a channel is enabled using a FIFO" "MOA_0,MOA_1" newline bitfld.long 0x18 4.--6. "INITDLY,Initial SPI delay for first transfer: This register is an option only available in SINGLE master mode" "INITDLY_0,INITDLY_1,INITDLY_2,INITDLY_3,INITDLY_4,?,?,?" bitfld.long 0x18 3. "SYSTEM_TEST,Enables the system test mode" "SYSTEM_TEST_0,SYSTEM_TEST_1" bitfld.long 0x18 2. "MS,Master/slave" "MS_0,MS_1" newline bitfld.long 0x18 1. "PIN34,Pin mode selection: This register is used to configure the SPI pin mode in master or slave mode" "PIN34_0,PIN34_1" bitfld.long 0x18 0. "SINGLE,Single channel/Multi Channel (master mode only)" "SINGLE_0,SINGLE_1" group.long 0x17C++0x07 line.long 0x00 "MCSPI_XFERLEVEL,This register provides transfer levels needed while using FIFO buffer during transfer" hexmask.long.word 0x00 16.--31. 1. "WCNT,SPI word counter" hexmask.long.byte 0x00 8.--15. 1. "AFL,Buffer almost full This register holds the programmable almost full level value used to determine almost full buffer condition" hexmask.long.byte 0x00 0.--7. 1. "AEL,Buffer almost empty" line.long 0x04 "MCSPI_DAFTX,This register contains the SPI words to be transmitted on the SPI bus when FIFO is used and DMA address is aligned on 256 bit" rgroup.long 0x1A0++0x03 line.long 0x00 "MCSPI_DAFRX,This register contains the SPI words received from the SPI bus when FIFO is used and DMA address is aligned on 256 bit" repeat 2. (list 0. 1. )(list 0x00 0x14 ) rgroup.long ($2+0x13C)++0x03 line.long 0x00 "MCSPI_RXx_$1,This register contains a single SPI word received through the serial link. what ever SPI word length is" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x14 ) group.long ($2+0x138)++0x03 line.long 0x00 "MCSPI_TXx_$1,This register contains a single SPI word to transmit on the serial link. what ever SPI word length is" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x14 ) group.long ($2+0x134)++0x03 line.long 0x00 "MCSPI_CHxCTRL_$1,This register is dedicated to enable channel 0" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.byte 0x00 8.--15. 1. "EXTCLK,Clock ratio extension: This register is used to concatenate with MCSPI_CHCONF[CLKD] register for clock ratio only when granularity is one clock cycle (MCSPI_CHCONF[CLKG] set to 1)" newline hexmask.long.byte 0x00 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0. "EN,Channel enable" "EN_0,EN_1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x14 ) rgroup.long ($2+0x130)++0x03 line.long 0x00 "MCSPI_CHxSTAT_$1,This register provides status information about transmitter and receiver registers of channel 0" hexmask.long 0x00 7.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 6. "RXFFF,Channel 'i' FIFO receive buffer full status" "RXFFF_0_r,RXFFF_1_r" newline bitfld.long 0x00 5. "RXFFE,Channel 'i' FIFO receive buffer empty status" "RXFFE_0_r,RXFFE_1_r" bitfld.long 0x00 4. "TXFFF,Channel 'i' FIFO transmit buffer full status" "TXFFF_0_r,TXFFF_1_r" newline bitfld.long 0x00 3. "TXFFE,Channel 'i' FIFO transmit buffer empty status" "TXFFE_0_r,TXFFE_1_r" bitfld.long 0x00 2. "EOT,Channel 'i' end of transfer status" "EOT_0_r,EOT_1_r" newline bitfld.long 0x00 1. "TXS,Channel 'i' transmitter register status" "TXS_0_r,TXS_1_r" bitfld.long 0x00 0. "RXS,Channel 'i' receiver register status" "RXS_0_r,RXS_1_r" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x14 ) group.long ($2+0x12C)++0x03 line.long 0x00 "MCSPI_CHxCONF_$1,This register is dedicated to the configuration of the channel 0" rbitfld.long 0x00 30.--31. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x00 29. "CLKG,Clock divider granularity This register defines the granularity of channel clock divider: power of 2 or one clock cycle granularity" "CLKG_0,CLKG_1" newline bitfld.long 0x00 28. "FFER,FIFO enabled for receive: Only one channel can have this bit field set" "FFER_0,FFER_1" bitfld.long 0x00 27. "FFEW,FIFO enabled for transmit: Only one channel can have this bit field set" "FFEW_0,FFEW_1" newline bitfld.long 0x00 25.--26. "TCS0,Chip-select time control This 2-bit field defines the number of interface clock cycles between CS toggling and first or last edge of SPI clock" "TCS0_0,TCS0_1,TCS0_2,TCS0_3" bitfld.long 0x00 24. "SBPOL,Start-bit polarity" "SBPOL_0,SBPOL_1" newline bitfld.long 0x00 23. "SBE,Start-bit enable for SPI transfer" "SBE_0,SBE_1" bitfld.long 0x00 21.--22. "SPIENSLV,Channel 0 only and slave mode only: SPI slave select signal detection" "SPIENSLV_0,SPIENSLV_1,SPIENSLV_2,SPIENSLV_3" newline bitfld.long 0x00 20. "FORCE,Manual SPIEN assertion to keep SPIEN active between SPI words (single channel master mode only)" "FORCE_0,FORCE_1" bitfld.long 0x00 19. "TURBO,Turbo mode" "TURBO_0,TURBO_1" newline bitfld.long 0x00 18. "IS,Input Select" "IS_0,IS_1" bitfld.long 0x00 17. "DPE1,Transmission enable for data line 1 (SPIDATAGZEN[1])" "DPE1_0,DPE1_1" newline bitfld.long 0x00 16. "DPE0,Transmission Enable for data line 0 (SPIDATAGZEN[0])" "DPE0_0,DPE0_1" bitfld.long 0x00 15. "DMAR,DMA read request The DMA read request line is asserted when the channel is enabled and a new data is available in the receive register of the channel" "DMAR_0,DMAR_1" newline bitfld.long 0x00 14. "DMAW,DMA write request" "DMAW_0,DMAW_1" bitfld.long 0x00 12.--13. "TRM,Transmit/receive modes" "TRM_0,TRM_1,TRM_2,TRM_3" newline bitfld.long 0x00 7.--11. "WL,SPI word length" "WL_0,WL_1,WL_2,WL_3,WL_4,WL_5,WL_6,WL_7,WL_8,WL_9,WL_10,WL_11,WL_12,WL_13,WL_14,WL_15,WL_16,WL_17,WL_18,WL_19,WL_20,WL_21,WL_22,WL_23,WL_24,WL_25,WL_26,WL_27,WL_28,WL_29,WL_30,WL_31" bitfld.long 0x00 6. "EPOL,SPIEN polarity" "EPOL_0,EPOL_1" newline bitfld.long 0x00 2.--5. "CLKD,Frequency divider for SPICLK (only when the module is a Master SPI device)" "CLKD_0,CLKD_1,CLKD_2,CLKD_3,CLKD_4,CLKD_5,CLKD_6,CLKD_7,CLKD_8,CLKD_9,CLKD_10,CLKD_11,CLKD_12,CLKD_13,CLKD_14,CLKD_15" bitfld.long 0x00 1. "POL,SPICLK polarity (see )" "POL_0,POL_1" newline bitfld.long 0x00 0. "PHA,SPICLK phase (see )" "PHA_0,PHA_1" repeat.end tree.end tree "MCSPI2_TARG" base ad:0x4809B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCSPI3_TARG" base ad:0x480B9000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MCSPI4_TARG" base ad:0x480BB000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MDIO" base ad:0x48485000 group.long 0x00++0x17 line.long 0x00 "MDIO_VER,MDIO Revision" line.long 0x04 "MDIO_CONTROL,MDIO Control register" bitfld.long 0x04 31. "IDLE,MDIO state machine IDLE" "State machine is not in idle state,State machine is in idle state" newline bitfld.long 0x04 30. "ENABLE,Enable control" "Disables the MDIO state machine,Enable the MDIO state machine" newline rbitfld.long 0x04 29. "RESERVED," "0,1" newline rbitfld.long 0x04 24.--28. "HIGHEST_USER_CHANNEL,Highest user channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 20. "PREAMBLE,Preamble disable" "Standard MDIO preamble is used,Disables this device from sending MDIO frame.." newline bitfld.long 0x04 19. "FAULT,Fault indicator" "No failure,Physical layer fault; the.." newline bitfld.long 0x04 18. "FAULTENB,Fault detect enable" "Disables the physical layer fault detection,Enables the physical layer fault detection" newline bitfld.long 0x04 17. "INTTESTENB,Interrupt test enable" "Interrupt bits are not set,Enables the host to set the USERINT and LINKINT.." newline rbitfld.long 0x04 16. "RESERVED," "0,1" newline hexmask.long.word 0x04 0.--15. 1. "CLKDIV,Clock divider" line.long 0x08 "MDIO_ALIVE,PHY Alive Status Register" line.long 0x0C "MDIO_LINK,PHY Link Status" line.long 0x10 "MDIO_LINKINTRAW," hexmask.long 0x10 2.--31. 1. "RESERVED," newline bitfld.long 0x10 0.--1. "LINKINTRAW,MDIO link change event raw value" "0,1,2,3" line.long 0x14 "MDIO_LINKINTMASKED,MDIO Link Status Change Interrupt Register" hexmask.long 0x14 2.--31. 1. "RESERVED," newline bitfld.long 0x14 0.--1. "LINKINTMASKED,MDIO link change interrupt masked value" "0,1,2,3" group.long 0x20++0x0F line.long 0x00 "MDIO_USERINTRAW,MDIO User Command Complete Interrupt" hexmask.long 0x00 2.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "USERINTRAW,Raw value of MDIO user command complete event for theMDIO_USERACCESS1 and MDIO_USERACCESS0 register respectively" "0,1,2,3" line.long 0x04 "MDIO_USERINTMASKED,MDIO User Command Complete Interrupt" hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 0.--1. "USERINTMASKED,Masked value of MDIO user command complete interrupt for theMDIO_USERACCESS1 and MDIO_USERACCESS0 register respectively" "0,1,2,3" line.long 0x08 "MDIO_USERINTMASKSET,MDIO User Command Complete Interrupt Mask Set" hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 0.--1. "USERINTMASKSET,MDIO user interrupt mask set for USERINTMASKED[1:0] respectively" "0,1,2,3" line.long 0x0C "MDIO_USERINTMASKCLR,MDIO User Command Complete Interrupt Mask Clear" hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 0.--1. "USERINTMASKCLEAR,MDIO user command complete interrupt mask clear for USERINTMASKED[1:0] respectively" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "MDIO_USERACCESS0,MDIO_User_Access" bitfld.long 0x00 31. "GO,Go" "0,1" newline bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" newline rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" group.long 0x88++0x03 line.long 0x00 "MDIO_USERACCESS1,MDIO User Access" bitfld.long 0x00 31. "GO,Go" "0,1" newline bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" newline rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x84)++0x03 line.long 0x00 "MDIO_USERPHYSEL$1,MDIO User PHY Select" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "LINKSEL,Link status determination select" "0,1" newline bitfld.long 0x00 6. "LINKINTENB,Link change interrupt enable" "Link change interrupts are disabled,Link change status interrupts for PHY address.." rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 0.--4. "PHYADDRMON,PHY address whose link status is to be monitored" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end tree "MLB_TARG" base ad:0x4842D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 2. (list 1. 2. )(list ad:0x4809C000 ad:0x480B4000 ) tree "MMC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "MMCHS_HL_REV,IP Revision Identifier (X.Y.R) Used by software to track features. bugs. and compatibility" line.long 0x04 "MMCHS_HL_HWINFO,Information about the IP module's hardware configuration" hexmask.long 0x04 7.--31. 1. "RESERVED," bitfld.long 0x04 6. "RETMODE,Retention Mode generic parameter This bit field indicates whether the retention mode is supported using the pin PIRFFRET" "RETMODE_0_r,RETMODE_1_r" newline bitfld.long 0x04 2.--5. "MEM_SIZE,Memory size for FIFO buffer" "?,MEM_SIZE_1_r,MEM_SIZE_2_r,?,MEM_SIZE_4_r,?,?,?,MEM_SIZE_8_r,?,?,?,?,?,?,?" bitfld.long 0x04 1. "MERGE_MEM,Memory merged for FIFO buffer: This register defines the configuration of FIFO buffer architecture" "MERGE_MEM_0_r,MERGE_MEM_1_r" newline bitfld.long 0x04 0. "MADMA_EN,Master DMA enabled generic parameter: This register defines the configuration of the controller to know if it supports the master DMA management called ADMA" "MADMA_EN_0_r,MADMA_EN_1_r" group.long 0x10++0x03 line.long 0x00 "MMCHS_HL_SYSCONFIG,Clock Management Configuration Register" hexmask.long 0x00 6.--31. 1. "RESERVED," bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "STANDBYMODE_0,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" newline bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "FREEEMU,Sensitivity to emulation (debug) suspend input signal" "FREEEMU_0,FREEEMU_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_r" group.long 0x110++0x07 line.long 0x00 "MMCHS_SYSCONFIG,System Configuration Register This register allows controlling various parameters of the Interconnect interface" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "STANDBYMODE,Master interface power Management standby/wait control" "STANDBYMODE_0,STANDBYMODE_1,STANDBYMODE_2,?" newline rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" newline rbitfld.long 0x00 5.--7. "RESERVED,This bit is initialized to zero and writes to it are ignored" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3.--4. "SIDLEMODE,Power management" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" newline bitfld.long 0x00 2. "ENAWAKEUP,Wakeup feature control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_r" newline bitfld.long 0x00 0. "AUTOIDLE,Internal Clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMCHS_SYSSTATUS,System Status Register This register provides status information about the module excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "RESETDONE,Internal Reset Monitoring Note: the debounce clock the system clock (Interface) and the functional clock shall be provided to the MMC/SD/SDIO host controller to allow the internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" group.long 0x124++0x13 line.long 0x00 "MMCHS_CSRE,Card Status Response Error This register enables the host controller to detect card status errors of response type R1. R1b for all cards and of R5. R5b and R6 response for cards types SD or SDIO" line.long 0x04 "MMCHS_SYSTEST,System Test Register This register is used to control the signals that connect to I/O pins when the module is configured in system test (SYSTEST) mode for boundary connectivity verification" hexmask.long.word 0x04 17.--31. 1. "RESERVED," rbitfld.long 0x04 16. "OBI,Out-Of-Band Interrupt (OBI) data value" "OBI_0_r,OBI_1_r" newline rbitfld.long 0x04 15. "SDCD,Card detect input signal (mmci_sdcd) data value" "SDCD_0_r,SDCD_1_r" rbitfld.long 0x04 14. "SDWP,Write protect input signal (mmci_sdwp) data value" "SDWP_0_r,SDWP_1_r" newline bitfld.long 0x04 13. "WAKD,Wake request output signal data value" "WAKD_0_r,WAKD_1_r" bitfld.long 0x04 12. "SSB,Set status bit This bit must be cleared prior attempting to clear a status bit of the interrupt status register (MMCHS_STAT)" "SSB_0_r,SSB_1_r" newline bitfld.long 0x04 11. "D7D,DAT7 input/output signal data value" "D7D_0_r,D7D_1_r" bitfld.long 0x04 10. "D6D,DAT6 input/output signal data value" "D6D_0_r,D6D_1_r" newline bitfld.long 0x04 9. "D5D,DAT5 input/output signal data value" "D5D_0_r,D5D_1_r" bitfld.long 0x04 8. "D4D,DAT4 input/output signal data value" "D4D_0_r,D4D_1_r" newline bitfld.long 0x04 7. "D3D,DAT3 input/output signal data value" "D3D_0_r,D3D_1_r" bitfld.long 0x04 6. "D2D,DAT2 input/output signal data value" "D2D_0_r,D2D_1_r" newline bitfld.long 0x04 5. "D1D,DAT1 input/output signal data value" "D1D_0_r,D1D_1_r" bitfld.long 0x04 4. "D0D,DAT0 input/output signal data value" "D0D_0_r,D0D_1_r" newline bitfld.long 0x04 3. "DDIR,Control of the DAT[7:0] pins direction" "DDIR_0_r,DDIR_1_r" bitfld.long 0x04 2. "CDAT,CMD input/output signal data value" "CDAT_0_r,CDAT_1_r" newline bitfld.long 0x04 1. "CDIR,Control of the CMD pin direction" "CDIR_0_r,CDIR_1_r" bitfld.long 0x04 0. "MCKD,MMC clock output signal data value" "MCKD_0_r,MCKD_1_r" line.long 0x08 "MMCHS_CON,Configuration Register This register is used: - to select the functional mode or the SYSTEST mode for any card" hexmask.long.word 0x08 22.--31. 1. "RESERVED," bitfld.long 0x08 21. "SDMA_LNE,Slave DMA Level/Edge Request: The waveform of the DMA request can be configured either edge sensitive with early de-assertion on first access to MMCHS_DATA register or late de-assertion request remains active until last allowed data written.." "SDMA_LNE_0,SDMA_LNE_1" newline bitfld.long 0x08 20. "DMA_MNS,DMA Master or Slave selection: When this bit is set and the controller is configured to use the DMA Interconnect master interface is used to get datas from system using ADMA2 procedure (direct access to the memory).This option is only available.." "DMA_MNS_0,DMA_MNS_1" bitfld.long 0x08 19. "DDR,Dual Data Rate mode: When this register is set the controller uses both clock edge to emit or receive data" "DDR_0,DDR_1" newline bitfld.long 0x08 18. "BOOT_CF0,Boot status supported: This register is set when the CMD line need to be forced to '0' for a boot sequence" "BOOT_CF0_0_r,BOOT_CF0_1_r" bitfld.long 0x08 17. "BOOT_ACK,Boot acknowledge received: When this bit is set the controller should receive a boot status on DAT0 line after next command issued" "BOOT_ACK_0,BOOT_ACK_1" newline bitfld.long 0x08 16. "CLKEXTFREE,External clock free running: This register is used to maintain card clock out of transfer transaction to enable slave module for example to generate a synchronous interrupt on DAT[1]" "CLKEXTFREE_0,CLKEXTFREE_1" bitfld.long 0x08 15. "PADEN,Control Power for MMC Lines: This register is only useful when MMC PADs contain power saving mechanism to minimize its leakage power" "PADEN_0,PADEN_1" newline bitfld.long 0x08 14. "OBIE,Out-of-Band Interrupt Enable MMC cards only: This bit enables the detection of Out-of-Band Interrupt on MMCOBI input pin" "OBIE_0,OBIE_1" bitfld.long 0x08 13. "OBIP,Out-of-Band Interrupt Polarity MMC cards only: This bit selects the active level of the out-of-band interrupt coming from MMC cards" "OBIP_0,OBIP_1" newline bitfld.long 0x08 12. "CEATA,CE-ATA control mode MMC cards compliant with CE-ATA:By default this bit is set to 0" "CEATA_0,CEATA_1" bitfld.long 0x08 11. "CTPL,Control Power for DAT[1] line MMC and SD cards: By default this bit is set to 0 and the host controller automatically disables all the input buffers outside of a transaction to minimize the leakage current" "CTPL_0,CTPL_1" newline bitfld.long 0x08 9.--10. "DVAL,Debounce filter value All cards This register is used to define a debounce period to filter the card detect input signal (mmci_sdcd)" "DVAL_0,DVAL_1,DVAL_2,DVAL_3" bitfld.long 0x08 8. "WPP,Write protect polarity For SD and SDIO cards only This bit selects the active level of the write protect input signal (mmci_sdwp)" "WPP_0,WPP_1" newline bitfld.long 0x08 7. "CDP,Card detect polarity All cards This bit selects the active level of the card detect input signal (mmci_sdcd)" "CDP_0,CDP_1" bitfld.long 0x08 6. "MIT,MMC interrupt command Only for MMC cards" "MIT_0,MIT_1" newline bitfld.long 0x08 5. "DW8,8-bit mode MMC select For SD/SDIO cards this bit must be set to 0" "DW8_0,DW8_1" bitfld.long 0x08 4. "MODE,Mode select All cards This bit select between Functional mode and SYSTEST mode" "MODE_0,MODE_1" newline bitfld.long 0x08 3. "STR,Stream command Only for MMC cards" "STR_0,STR_1" bitfld.long 0x08 2. "HR,Broadcast host response Only for MMC cards" "HR_0,HR_1" newline bitfld.long 0x08 1. "INIT,Send initialization stream All cards" "INIT_0,INIT_1" bitfld.long 0x08 0. "OD,Card open drain mode" "OD_0,OD_1" line.long 0x0C "MMCHS_PWCNT,Power Counter Register This register is used to program a mmc counter to delay command transfers after activating the PAD power. this value depends on PAD characteristics and voltage" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--15. 1. "PWRCNT,Power counter register" line.long 0x10 "MMCHS_DLL,DLL control and status register This register is used for tuning procedure required for SDR104/HS200 speed mode" bitfld.long 0x10 31. "DLL_SOFT_RESET,Soft reset for DLL active HIGH" "DLL_SOFT_RESET_0_r,DLL_SOFT_RESET_1_r" bitfld.long 0x10 30. "LOCK_TIMER,Timer for the dll_lock signal to be asserted after reset" "LOCK_TIMER_0,LOCK_TIMER_1" newline hexmask.long.byte 0x10 22.--29. 1. "MAX_LOCK_DIFF,Maximum number of taps that the master DLL clock period measurement can deviate without resulting in the master DLL losing lock" bitfld.long 0x10 21. "FORCE_SR_F,Forced fine delay value" "0,1" newline bitfld.long 0x10 20. "SWT,Software Tuning enable" "No software tuning sequence,Execute software tuning sequence" hexmask.long.byte 0x10 13.--19. 1. "FORCE_SR_C,Forced coarse delay value" newline bitfld.long 0x10 12. "FORCE_VALUE,Put forced values to slave DLL ignoring master DLL output and ratio value" "FORCE_VALUE_0,FORCE_VALUE_1" bitfld.long 0x10 6.--11. "SLAVE_RATIO,Fraction of a clock cycle for the shift to be implemented in units of 256ths of a clock cycle" "SLAVE_RATIO_0,?,SLAVE_RATIO_2,?,SLAVE_RATIO_4,?,SLAVE_RATIO_6,?,SLAVE_RATIO_8,?,SLAVE_RATIO_10,?,SLAVE_RATIO_12,?,SLAVE_RATIO_14,?,SLAVE_RATIO_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,SLAVE_RATIO_63" newline rbitfld.long 0x10 4.--5. "RESERVED," "0,1,2,3" bitfld.long 0x10 3. "DLL_UNLOCK_CLEAR,Clears the phy_reg_status_mdll_unlock_sticky flags of the DLL" "DLL_UNLOCK_CLEAR_0,DLL_UNLOCK_CLEAR_1" newline rbitfld.long 0x10 2. "DLL_UNLOCK_STICKY,Asserted when any single period measurement exceeds MAX_LOCK_DIFF" "0,1" bitfld.long 0x10 1. "DLL_CALIB,Enables Slave DLL to update new delay values" "DLL_CALIB_0,DLL_CALIB_1" newline rbitfld.long 0x10 0. "DLL_LOCK,Master DLL lock status" "DLL_LOCK_0_r,DLL_LOCK_1_r" group.long 0x200++0x4B line.long 0x00 "MMCHS_SDMASA,SDMA System Address / Argument 2 Register" line.long 0x04 "MMCHS_BLK,Transfer Length Configuration Register [BLEN] is the block size register" hexmask.long.word 0x04 16.--31. 1. "NBLK,Blocks count for current transfer This register is enabled when Block count Enable (MMCHS_CMD[BCE]) is set to 1 and is valid only for multiple block transfers" rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 0.--11. 1. "BLEN,Transfer Block Size" line.long 0x08 "MMCHS_ARG,Command Argument Register This register contains command argument specified as bit 39-8 of Command-Format These registers must be initialized prior to sending the command itself to the card (write action into the register register)" line.long 0x0C "MMCHS_CMD,Command and Transfer Mode Register [31:16] = the command register [15:0] = the transfer mode" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 24.--29. "INDX,Command indexBinary encoded value from 0 to 63 specifying the command number send to card" "INDX_0,INDX_1,INDX_2,INDX_3,INDX_4,INDX_5,INDX_6,INDX_7,INDX_8,INDX_9,INDX_10,INDX_11,INDX_12,INDX_13,INDX_14,INDX_15,INDX_16,INDX_17,INDX_18,INDX_19,INDX_20,INDX_21,INDX_22,INDX_23,INDX_24,INDX_25,INDX_26,INDX_27,INDX_28,INDX_29,INDX_30,INDX_31,INDX_32,INDX_33,INDX_34,INDX_35,INDX_36,INDX_37,INDX_38,INDX_39,INDX_40,INDX_41,INDX_42,INDX_43,INDX_44,INDX_45,INDX_46,INDX_47,INDX_48,INDX_49,INDX_50,INDX_51,INDX_52,INDX_53,INDX_54,INDX_55,INDX_56,INDX_57,INDX_58,INDX_59,INDX_60,INDX_61,INDX_62,INDX_63" newline bitfld.long 0x0C 22.--23. "CMD_TYPE,Command typeThis register specifies three types of special command: Suspend Resume and Abort" "CMD_TYPE_0,CMD_TYPE_1,CMD_TYPE_2,CMD_TYPE_3" bitfld.long 0x0C 21. "DP,Data present selectThis register indicates that data is present and DAT line shall be used" "DP_0,DP_1" newline bitfld.long 0x0C 20. "CICE,Command Index check enableThis bit must be set to 1 to enable index check on command response to compare the index field in the response against the index of the command" "CICE_0,CICE_1" bitfld.long 0x0C 19. "CCCE,Command CRC check enableThis bit must be set to 1 to enable CRC7 check on command response to protect the response against transmission errors on the bus" "CCCE_0,CCCE_1" newline rbitfld.long 0x0C 18. "RESERVED," "0,1" bitfld.long 0x0C 16.--17. "RSP_TYPE,Response typeThis bits defines the response type of the command" "RSP_TYPE_0,RSP_TYPE_1,RSP_TYPE_2,RSP_TYPE_3" newline hexmask.long.word 0x0C 6.--15. 1. "RESERVED," bitfld.long 0x0C 5. "MSBS,Multi/Single block selectThis bit must be set to 1 for data transfer in case of multi block command" "MSBS_0,MSBS_1" newline bitfld.long 0x0C 4. "DDIR,Data transfer Direction SelectThis bit defines either data transfer will be a read or a" "DDIR_0,DDIR_1" bitfld.long 0x0C 2.--3. "ACEN,Auto CMD Enable - SD card only.This field determines use of auto command functions" "ACEN_0,ACEN_1,ACEN_2,ACEN_3" newline bitfld.long 0x0C 1. "BCE,Block Count EnableMultiple block transfers only" "BCE_0,BCE_1" bitfld.long 0x0C 0. "DE,DMA EnableThis bit is used to enable DMA mode for host data access" "DE_0,DE_1" line.long 0x10 "MMCHS_RSP10,Command Response[31:0] Register (bits [31:0] of the internal RSP register) This 32-bit register holds bits positions [31:0] of command response type R1/R1b/R2/R3/R4/R5/R5b/R6/R7" hexmask.long.word 0x10 16.--31. 1. "RSP1,Command Response [31:16]" hexmask.long.word 0x10 0.--15. 1. "RSP0,Command Response [15:0]" line.long 0x14 "MMCHS_RSP32,Command Response[63:32] Register (bits [63:32] of the internal RSP register) This 32-bit register holds bits positions [63:32] of command response type R2" hexmask.long.word 0x14 16.--31. 1. "RSP3,Command Response [63:48]" hexmask.long.word 0x14 0.--15. 1. "RSP2,Command Response [47:32]" line.long 0x18 "MMCHS_RSP54,Command Response[95:64] Register (bits [95:64] of the internal RSP register) This 32-bit register holds bits positions [95:64] of command response type R2" hexmask.long.word 0x18 16.--31. 1. "RSP5,Command Response [95:80]" hexmask.long.word 0x18 0.--15. 1. "RSP4,Command Response [79:64]" line.long 0x1C "MMCHS_RSP76,Command Response[127:96] Register (bits [127:96] of the internal RSP register) This 32-bit register holds bits positions [127:96] of command response type R1(Auto CMD23)/R1b(Auto CMD12)/R2" hexmask.long.word 0x1C 16.--31. 1. "RSP7,Command Response [127:112]" hexmask.long.word 0x1C 0.--15. 1. "RSP6,Command Response [111:96]" line.long 0x20 "MMCHS_DATA,Data Register This register is the 32-bit entry point of the buffer for read or write data transfers" line.long 0x24 "MMCHS_PSTATE,Present State Register The Host can get status of the Host Controller from this 32-bit read only register" hexmask.long.byte 0x24 25.--31. 1. "RESERVED," bitfld.long 0x24 24. "CLEV,CMD line signal level This status is used to check the CMD line level to recover from errors and for debugging" "CLEV_0_r,CLEV_1_r" newline bitfld.long 0x24 20.--23. "DLEV,DAT[3:0] line signal level DAT[3] => bit 23 DAT[2] => bit 22 DAT[1] => bit 21 DAT[0] => bit 20 This status is used to check DAT line level to recover from errors and for debugging" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x24 19. "WP,Write protect switch pin level For SDIO cards only" "WP_0_r,WP_1_r" newline bitfld.long 0x24 18. "CDPL,Card detect pin level This bit reflects the inverse value of the card detect input pin (mmci_sdcd) debouncing is not performed on this bit and bit is valid only when Card State Stable (MMCHS_PSTAE[CSS]) is set to 1" "CDPL_0_r,CDPL_1_r" bitfld.long 0x24 17. "CSS,Card State Stable This bit is used for testing" "CSS_0_r,CSS_1_r" newline bitfld.long 0x24 16. "CINS,Card inserted This bit is the debounced value of the card detect input pin (mmci_sdcd)" "CINS_0_r,CINS_1_r" bitfld.long 0x24 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24 11. "BRE,Buffer read enable This bit is used for non-DMA read transfers" "BRE_0_r,BRE_1_r" bitfld.long 0x24 10. "BWE,Buffer Write enable This status is used for non-DMA write transfers" "BWE_0_r,BWE_1_r" newline bitfld.long 0x24 9. "RTA,Read transfer active This status is used for detecting completion of a read transfer" "RTA_0_r,RTA_1_r" bitfld.long 0x24 8. "WTA,Write transfer active This status indicates a write transfer active" "WTA_0_r,WTA_1_r" newline bitfld.long 0x24 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x24 3. "RTR,Re-Tuning Request Host Controller may request Host Driver to execute re-tuning sequence by setting this bit when the data window is shifted by temperature drift and a tuned sampling point does not have a good margin to receive correct data" "RTR_0_r,RTR_1_r" newline bitfld.long 0x24 2. "DLA,DAT line active This status bit indicates whether one of the DAT line is in use" "DLA_0_r,DLA_1_r" bitfld.long 0x24 1. "DATI,Command inhibit(DAT) This status bit is generated if either DAT line is active (MMCHS_PSTATE[DLA]) or Read transfer is active (MMCHS_PSTATE[RTA]) or when a command with busy is issued" "DATI_0_r,DATI_1_r" newline bitfld.long 0x24 0. "CMDI,Command inhibit(CMD) This status bit indicates that the CMD line is in use" "CMDI_0_r,CMDI_1_r" line.long 0x28 "MMCHS_HCTL,Host Control Register This register defines the host controls to set power. wakeup and transfer parameters" rbitfld.long 0x28 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x28 27. "OBWE,Wakeup event enable for 'Out-of-Band' Interrupt" "OBWE_0,OBWE_1" newline bitfld.long 0x28 26. "REM,Wakeup event enable on SD card removal This bit enables wakeup events for card removal assertion" "REM_0,REM_1" bitfld.long 0x28 25. "INS,Wakeup event enable on SD card insertion This bit enables wakeup events for card insertion assertion" "INS_0,INS_1" newline bitfld.long 0x28 24. "IWE,Wakeup event enable on SD card interrupt This bit enables wakeup events for card interrupt assertion" "IWE_0,IWE_1" rbitfld.long 0x28 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 19. "IBG,Interrupt block at gap This bit is valid only in 4-bit mode of SDIO card to enable interrupt detection in the interrupt cycle at block gap for a multiple block transfer" "IBG_0,IBG_1" bitfld.long 0x28 18. "RWC,Read wait control The read wait function is optional only for SDIO cards" "RWC_0,RWC_1" newline bitfld.long 0x28 17. "CR,Continue request This bit is used to restart a transaction that was stopped by requesting a stop at block gap (MMCHS_HCTL[SBGR])" "CR_0,CR_1" bitfld.long 0x28 16. "SBGR,Stop at block gap request This bit is used to stop executing a transaction at the next block gap" "SBGR_0,SBGR_1" newline rbitfld.long 0x28 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x28 9.--11. "SDVS,SD bus voltage select All cards" "?,?,?,?,?,SDVS_5,SDVS_6,SDVS_7" newline bitfld.long 0x28 8. "SDBP,SD bus power Before setting this bit the host driver shall select the SD bus voltage (MMCHS_HCTL[SDVS])" "SDBP_0,SDBP_1" bitfld.long 0x28 7. "CDSS,Card Detect Signal Selection This bit selects source for the card detection.When the source for the card detection is switched the interrupt should be disabled during the switching period by clearing the Interrupt Status/Signal Enable register in.." "CDSS_0,CDSS_1" newline bitfld.long 0x28 6. "CDTL,Card Detect Test Level: This bit is enabled while MMCHS_HCTL[7] CDSS is set to 1 and it indicates whether the card is inserted or not" "CDTL_0,CDTL_1" rbitfld.long 0x28 5. "RESERVED," "0,1" newline bitfld.long 0x28 3.--4. "DMAS,DMA Select Mode: One of supported DMA modes can be selected" "DMAS_0,DMAS_1,DMAS_2,DMAS_3" bitfld.long 0x28 2. "HSPE,Before setting this bit the Host Driver shall check theMMCHS_CAPA[21] HSS" "HSPE_0,HSPE_1" newline bitfld.long 0x28 1. "DTW,Data transfer width For MMC card this bit must be set following a valid SWITCH command (CMD6) with the correct value and extend CSD index written in the argument" "DTW_0,DTW_1" rbitfld.long 0x28 0. "LED,Reserved bit" "0,1" line.long 0x2C "MMCHS_SYSCTL,SD System Control Register This register defines the system controls to set software resets. clock frequency management and data timeout" rbitfld.long 0x2C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x2C 26. "SRD,Software reset for DAT line This bit is set to 1 for reset and released to 0 when completed" "SRD_0,SRD_1" newline bitfld.long 0x2C 25. "SRC,Software reset for CMD line For more information about SRC bit manipulation see CMD Line Reset Procedure" "SRC_0,SRC_1" bitfld.long 0x2C 24. "SRA,Software reset for all This bit is set to 1 for reset and released to 0 when completed" "SRA_0,SRA_1" newline rbitfld.long 0x2C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x2C 16.--19. "DTO,Data timeout counter value and busy timeout" "DTO_0,DTO_1,?,?,?,?,?,?,?,?,?,?,?,?,DTO_14,DTO_15" newline hexmask.long.word 0x2C 6.--15. 1. "CLKD,Clock frequency select These bits define the ratio between MMCi_FCLK and the output clock frequency on the CLK pin of either the memory card (MMC SD or SDIO)" rbitfld.long 0x2C 5. "CGS,Clock Generator Select - For SD cards Host Controller Version 3.00 supports this bit" "0,1" newline rbitfld.long 0x2C 3.--4. "RESERVED," "0,1,2,3" bitfld.long 0x2C 2. "CEN,Clock enable This bit controls if the clock is provided to the card or not" "CEN_0,CEN_1" newline rbitfld.long 0x2C 1. "ICS,Internal clock stable (status) This bit indicates either the internal clock is stable or not" "ICS_0_r,ICS_1_r" bitfld.long 0x2C 0. "ICE,Internal clock enable This register controls the internal clock activity" "ICE_0,ICE_1" line.long 0x30 "MMCHS_STAT,Interrupt Status Register The interrupt status regroups all the status of the module internal events that can generate an interrupt" rbitfld.long 0x30 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x30 29. "BADA,Bad access to data space This bit is set automatically to indicate a bad access to buffer when not allowed: -This bit is set during a read access to the data register (MMCHS_DATA) while buffer reads are not allowed (MMCHS_PSTATE[BRE] =0) -This bit.." "BADA_0_r,BADA_1_r" newline bitfld.long 0x30 28. "CERR,Card error This bit is set automatically when there is at least one error in a response of type R1 R1b R6 R5 or R5b" "CERR_0_r,CERR_1_r" rbitfld.long 0x30 27. "RESERVED," "0,1" newline bitfld.long 0x30 26. "TE,Tuning Error This bit is set when an unrecoverable error is detected in a tuning circuit except during tuning procedure (Occurrence of an error during tuning procedure is indicated by Sampling Select)" "TE_0,TE_1" bitfld.long 0x30 25. "ADMAE,ADMA Error: This bit is set when the Host Controller detects errors during ADMA based data transfer" "ADMAE_0_r,ADMAE_1_r" newline bitfld.long 0x30 24. "ACE,Auto CMD error Auto CMD12 and Auto CMD23 use this error status" "ACE_0_r,ACE_1_r" rbitfld.long 0x30 23. "RESERVED," "0,1" newline bitfld.long 0x30 22. "DEB,Data End Bit error This bit is set automatically when detecting a 0 at the end bit position of read data on DAT line or at the end position of the CRC status in write mode" "DEB_0_r,DEB_1_r" bitfld.long 0x30 21. "DCRC,Data CRC Error This bit is set automatically when there is a CRC16 error in the data phase response following a block read command or if there is a 3-bit CRC status different of a position '010' token during a block write command" "DCRC_0_r,DCRC_1_r" newline bitfld.long 0x30 20. "DTO,Data timeout error This bit is set automatically according to the following conditions: - busy timeout for R1b R5b response type - busy timeout after write CRC status - write CRC status timeout - read data timeout" "DTO_0_r,DTO_1_r" bitfld.long 0x30 19. "CIE,Command index error This bit is set automatically when response index differs from corresponding command index previously emitted" "CIE_0_r,CIE_1_r" newline bitfld.long 0x30 18. "CEB,Command end bit error This bit is set automatically when detecting a 0 at the end bit position of a command response" "CEB_0_r,CEB_1_r" bitfld.long 0x30 17. "CCRC,Command CRC Error This bit is set automatically when there is a CRC7 error in the command response depending on the enable in MMCHS_CMD[CCCE] register" "CCRC_0_r,CCRC_1_r" newline bitfld.long 0x30 16. "CTO,Command Timeout Error This bit is set automatically when no response is received within 64 clock cycles from the end bit of the command" "CTO_0_r,CTO_1_r" rbitfld.long 0x30 15. "ERRI,Error Interrupt If any of the bits in the Error Interrupt Status register (MMCHS_STAT[31:16]) are set then this bit is set to 1" "ERRI_0_r,ERRI_1_r" newline rbitfld.long 0x30 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x30 10. "BSR,Boot status received interrupt This bit is set automatically when MMCHS_CON[BOOT] is set 0x1 or 0x2 and a boot status is received on DAT[0] line" "BSR_0_r,BSR_1_r" newline bitfld.long 0x30 9. "OBI,Out-Of-Band interrupt This bit is set automatically when MMCHS_CON[OBIE] is set and an Out-of-Band interrupt occurs on OBI pin" "OBI_0_r,OBI_1_r" rbitfld.long 0x30 8. "CIRQ,Card interrupt This bit is only used for SD and SDIO and CE-ATA cards" "CIRQ_0_r,CIRQ_1_r" newline bitfld.long 0x30 7. "CREM,Card removal This bit is set automatically when MMCHS_PSTATE[CINS] changes from 1 to 0" "CREM_0_r,CREM_1_r" bitfld.long 0x30 6. "CINS,Card insertion This bit is set automatically when MMCHS_PSTATE[CINS] changes from 0 to 1" "CINS_0_r,CINS_1_r" newline bitfld.long 0x30 5. "BRR,Buffer read ready This bit is set automatically during a read operation to the card (see class 2 - block oriented read commands) when one block specified by MMCHS_BLK[BLEN] is completely written in the buffer" "BRR_0_r,BRR_1_r" bitfld.long 0x30 4. "BWR,Buffer write ready This bit is set automatically during a write operation to the card (see class 4 - block oriented write command) when the host can write a complete block as specified by MMCHS_BLK[BLEN]" "BWR_0_r,BWR_1_r" newline bitfld.long 0x30 3. "DMA,DMA interrupt : This status is set when an interrupt is required in the ADMA instruction and after the data transfer completion" "DMA_0_r,DMA_1_r" bitfld.long 0x30 2. "BGE,Block gap event When a stop at block gap is requested (MMCHS_HCTL[SBGR]) this bit is automatically set when transaction is stopped at the block gap during a read or write operation" "BGE_0_r,BGE_1_r" newline bitfld.long 0x30 1. "TC,Transfer completed This bit is always set when a read/write transfer is completed or between two blocks when the transfer is stopped due to a stop at block gap request (MMCHS_HCTL[SBGR])" "TC_0_r,TC_1_r" bitfld.long 0x30 0. "CC,Command complete This bit is set when a 1-to-0 transition occurs in the register command inhibit (MMCHS_PSTATE[CMDI]) If the command is a type for which no response is expected then the command complete interrupt is generated at the end of the command" "CC_0_r,CC_1_r" line.long 0x34 "MMCHS_IE,Interrupt Status Enable Register This register allows to enable/disable the module to set status bits. on an event-by-event basis" rbitfld.long 0x34 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x34 29. "BADA_ENABLE,Bad access to data space Status Enable" "BADA_ENABLE_0,BADA_ENABLE_1" newline bitfld.long 0x34 28. "CERR_ENABLE,Card Error Status Enable" "CERR_ENABLE_0,CERR_ENABLE_1" rbitfld.long 0x34 27. "RESERVED," "0,1" newline bitfld.long 0x34 26. "TE_ENABLE,Tuning Error Status Enable" "TE_ENABLE_0,TE_ENABLE_1" bitfld.long 0x34 25. "ADMAE_ENABLE,ADMA Error Status Enable" "ADMAE_ENABLE_0,ADMAE_ENABLE_1" newline bitfld.long 0x34 24. "ACE_ENABLE,Auto CMD Error Status Enable" "ACE_ENABLE_0,ACE_ENABLE_1" rbitfld.long 0x34 23. "RESERVED," "0,1" newline bitfld.long 0x34 22. "DEB_ENABLE,Data End Bit Error Status Enable" "DEB_ENABLE_0,DEB_ENABLE_1" bitfld.long 0x34 21. "DCRC_ENABLE,Data CRC Error Status Enable" "DCRC_ENABLE_0,DCRC_ENABLE_1" newline bitfld.long 0x34 20. "DTO_ENABLE,Data Timeout Error Status Enable" "DTO_ENABLE_0,DTO_ENABLE_1" bitfld.long 0x34 19. "CIE_ENABLE,Command Index Error Status Enable" "CIE_ENABLE_0,CIE_ENABLE_1" newline bitfld.long 0x34 18. "CEB_ENABLE,Command End Bit Error Status Enable" "CEB_ENABLE_0,CEB_ENABLE_1" bitfld.long 0x34 17. "CCRC_ENABLE,Command CRC Error Status Enable" "CCRC_ENABLE_0,CCRC_ENABLE_1" newline bitfld.long 0x34 16. "CTO_ENABLE,Command Timeout Error Status Enable" "CTO_ENABLE_0,CTO_ENABLE_1" rbitfld.long 0x34 15. "NULL,Fixed to 0 The host driver shall control error interrupts using the Error Interrupt Signal Enable register" "0,1" newline rbitfld.long 0x34 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x34 10. "BSR_ENABLE,Boot Status Enable A write to this register when MMCHS_CON[BOOT_ACK] is set to 0x0 is ignored" "BSR_ENABLE_0,BSR_ENABLE_1" newline bitfld.long 0x34 9. "OBI_ENABLE,Out-of-Band Status Enable A write to this register when MMCHS_CON[OBIE] is set to '0' is ignored" "OBI_ENABLE_0,OBI_ENABLE_1" bitfld.long 0x34 8. "CIRQ_ENABLE,Card Status Enable A clear of this bit also clears the corresponding status bit" "CIRQ_ENABLE_0,CIRQ_ENABLE_1" newline bitfld.long 0x34 7. "CREM_ENABLE,Card Removal Status Enable" "CREM_ENABLE_0,CREM_ENABLE_1" bitfld.long 0x34 6. "CINS_ENABLE,Card Insertion Status Enable" "CINS_ENABLE_0,CINS_ENABLE_1" newline bitfld.long 0x34 5. "BRR_ENABLE,Buffer Read Ready Status Enable" "BRR_ENABLE_0,BRR_ENABLE_1" bitfld.long 0x34 4. "BWR_ENABLE,Buffer Write Ready Status Enable" "BWR_ENABLE_0,BWR_ENABLE_1" newline bitfld.long 0x34 3. "DMA_ENABLE,DMA Status Enable" "DMA_ENABLE_0,DMA_ENABLE_1" bitfld.long 0x34 2. "BGE_ENABLE,Block Gap Event Status Enable" "BGE_ENABLE_0,BGE_ENABLE_1" newline bitfld.long 0x34 1. "TC_ENABLE,Transfer Complete Status Enable" "TC_ENABLE_0,TC_ENABLE_1" bitfld.long 0x34 0. "CC_ENABLE,Command Complete Status Enable" "CC_ENABLE_0,CC_ENABLE_1" line.long 0x38 "MMCHS_ISE,Interrupt Signal Enable Register This register allows to enable/disable the module internal sources of status. on an event-by-event basis" rbitfld.long 0x38 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x38 29. "BADA_SIGEN,Bad access to data space Signal Enable" "BADA_SIGEN_0,BADA_SIGEN_1" newline bitfld.long 0x38 28. "CERR_SIGEN,Card Error Interrupt Signal Enable" "CERR_SIGEN_0,CERR_SIGEN_1" rbitfld.long 0x38 27. "RESERVED," "0,1" newline bitfld.long 0x38 26. "TE_SIGEN,Tuning Error Signal Enable" "TE_SIGEN_0,TE_SIGEN_1" bitfld.long 0x38 25. "ADMAE_SIGEN,ADMA Error Signal Enable" "ADMAE_SIGEN_0,ADMAE_SIGEN_1" newline bitfld.long 0x38 24. "ACE_SIGEN,Auto CMD Error Signal Enable" "ACE_SIGEN_0,ACE_SIGEN_1" rbitfld.long 0x38 23. "RESERVED," "0,1" newline bitfld.long 0x38 22. "DEB_SIGEN,Data End Bit Error Signal Enable" "DEB_SIGEN_0,DEB_SIGEN_1" bitfld.long 0x38 21. "DCRC_SIGEN,Data CRC Error Signal Enable" "DCRC_SIGEN_0,DCRC_SIGEN_1" newline bitfld.long 0x38 20. "DTO_SIGEN,Data Timeout Error Signal Enable" "DTO_SIGEN_0,DTO_SIGEN_1" bitfld.long 0x38 19. "CIE_SIGEN,Command Index Error Signal Enable" "CIE_SIGEN_0,CIE_SIGEN_1" newline bitfld.long 0x38 18. "CEB_SIGEN,Command End Bit Error Signal Enable" "CEB_SIGEN_0,CEB_SIGEN_1" bitfld.long 0x38 17. "CCRC_SIGEN,Command CRC Error Signal Enable" "CCRC_SIGEN_0,CCRC_SIGEN_1" newline bitfld.long 0x38 16. "CTO_SIGEN,Command timeout Error Signal Enable" "CTO_SIGEN_0,CTO_SIGEN_1" rbitfld.long 0x38 15. "NULL,Fixed to 0 The host driver shall control error interrupts using the Error Interrupt Signal Enable register" "0,1" newline rbitfld.long 0x38 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x38 10. "BSR_SIGEN,Boot Status Signal Enable A write to this register when MMCHS_CON[BOOT_ACK] is set to 0x0 is ignored" "BSR_SIGEN_0,BSR_SIGEN_1" newline bitfld.long 0x38 9. "OBI_SIGEN,Out-Of-Band Interrupt Signal Enable A write to this register when MMCHS_CON[OBIE] is set to '0' is ignored" "OBI_SIGEN_0,OBI_SIGEN_1" bitfld.long 0x38 8. "CIRQ_SIGEN,Card Interrupt Signal Enable" "CIRQ_SIGEN_0,CIRQ_SIGEN_1" newline bitfld.long 0x38 7. "CREM_SIGEN,Card Removal Signal Enable" "CREM_SIGEN_0,CREM_SIGEN_1" bitfld.long 0x38 6. "CINS_SIGEN,Card Insertion Signal Enable" "CINS_SIGEN_0,CINS_SIGEN_1" newline bitfld.long 0x38 5. "BRR_SIGEN,Buffer Read Ready Signal Enable" "BRR_SIGEN_0,BRR_SIGEN_1" bitfld.long 0x38 4. "BWR_SIGEN,Buffer Write Ready Signal Enable" "BWR_SIGEN_0,BWR_SIGEN_1" newline bitfld.long 0x38 3. "DMA_SIGEN,DMA Interrupt Signal Enable" "DMA_SIGEN_0,DMA_SIGEN_1" bitfld.long 0x38 2. "BGE_SIGEN,Black Gap Event Signal Enable" "BGE_SIGEN_0,BGE_SIGEN_1" newline bitfld.long 0x38 1. "TC_SIGEN,Transfer Completed Status Enable" "TC_SIGEN_0,TC_SIGEN_1" bitfld.long 0x38 0. "CC_SIGEN,Command Complete Status Enable" "CC_SIGEN_0,CC_SIGEN_1" line.long 0x3C "MMCHS_AC12,Host Control 2 Register and Auto CMD Error Status Register This register is used to indicate CMD12 response error of Auto CMD12 and CMD23 response error of Auto CMD23" bitfld.long 0x3C 31. "PV_ENABLE,Preset Value Enable Host Controller Version 3.00 supports this bit" "PV_ENABLE_0,PV_ENABLE_1" bitfld.long 0x3C 30. "AI_ENABLE,Asynchronous Interrupt Enable This bit can be set to 1 if a card supports asynchronous interrupts and MMCHS_CAPA[29] AIS is set to 1" "AI_ENABLE_0,AI_ENABLE_1" newline rbitfld.long 0x3C 24.--29. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x3C 23. "SCLK_SEL,Sampling Clock Select Host Controller uses this bit to select sampling clock to receive CMD and DAT" "SCLK_SEL_0,SCLK_SEL_1" newline bitfld.long 0x3C 22. "ET,Execute Tuning This bit is set to 1 to start tuning procedure and automatically cleared when tuning procedure is completed" "ET_0,ET_1" bitfld.long 0x3C 20.--21. "DS_SEL,Driver Strength Select Host Controller output driver in 1.8V signaling is selected by this bit" "DS_SEL_0,DS_SEL_1,DS_SEL_2,DS_SEL_3" newline bitfld.long 0x3C 19. "V1V8_SIGEN,1.8V Signaling Enable This bit controls voltage regulator for I/O cell" "V1V8_SIGEN_0,V1V8_SIGEN_1" bitfld.long 0x3C 16.--18. "UHSMS,UHS Mode Select This field is used to select one of UHS-I modes or eMMC HS200 mode and is effective when 1.8V Signaling Enable is set to 1" "UHSMS_0,UHSMS_1,UHSMS_2,UHSMS_3,UHSMS_4,UHSMS_5,UHSMS_6,UHSMS_7" newline hexmask.long.byte 0x3C 8.--15. 1. "RESERVED," rbitfld.long 0x3C 7. "CNI,Command Not Issued By Auto CMD12 Error Setting this bit to 1 means CMD_wo_DAT is not executed due to an Auto CMD12 Error (D04-D01) in this register" "CNI_0_r,CNI_1_r" newline rbitfld.long 0x3C 5.--6. "RESERVED," "0,1,2,3" rbitfld.long 0x3C 4. "ACIE,Auto CMD Index Error - For Auto CMD12 and Auto CMD23 This bit is set if the Command Index error occurs in response to a command" "ACIE_0_r,ACIE_1_r" newline rbitfld.long 0x3C 3. "ACEB,Auto CMD End Bit Error - For Auto CMD12 and Auto CMD23 This bit is set when detecting that the end bit of command response is 0" "ACEB_0_r,ACEB_1_r" rbitfld.long 0x3C 2. "ACCE,Auto CMD CRC Error - For Auto CMD12 and Auto CMD23 This bit is set when detecting a CRC error in the command response" "ACCE_0_r,ACCE_1_r" newline rbitfld.long 0x3C 1. "ACTO,Auto CMD Timeout Error - For Auto CMD12 and Auto CMD23 This bit is set if no response is returned within 64 SDCLK cycles from the end bit of command" "ACTO_0_r,ACTO_1_r" rbitfld.long 0x3C 0. "ACNE,Auto CMD12 Not Executed If memory multiple block data transfer is not started due to command error this bit is not set because it is not necessary to issue Auto CMD12" "ACNE_0_r,ACNE_1_r" line.long 0x40 "MMCHS_CAPA,Capabilities Register This register lists the capabilities of the MMC/SD/SDIO host controller" rbitfld.long 0x40 30.--31. "RESERVED," "0,1,2,3" rbitfld.long 0x40 29. "AIS,Asynchronous Interrupt Support Refer to SDIO Specification Version 3.00 about asynchronous interrupt" "AIS_0_r,AIS_1_r" newline rbitfld.long 0x40 28. "BIT64,64 Bit System Bus Support Setting 1 to this bit indicates that the Host Controller supports 64-bit address descriptor mode and is connected to 64-bit address system bus" "BIT64_0_r,BIT64_1_r" rbitfld.long 0x40 27. "RESERVED," "0,1" newline bitfld.long 0x40 26. "VS18,Voltage support 1.8V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS18_0_r,VS18_1_r" bitfld.long 0x40 25. "VS30,Voltage support 3.0V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS30_0_r,VS30_1_r" newline bitfld.long 0x40 24. "VS33,Voltage support 3.3V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS33_0_r,VS33_1_r" rbitfld.long 0x40 23. "SRS,Suspend/Resume support (SDIO cards only) This bit indicates whether the host controller supports Suspend/Resume functionality" "SRS_0_r,SRS_1_r" newline rbitfld.long 0x40 22. "DS,DMA support This bit indicates that the Host Controller is able to use DMA to transfer data between system memory and the Host Controller directly" "DS_0_r,DS_1_r" rbitfld.long 0x40 21. "HSS,High speed support This bit indicates that the host controller supports high speed operations and can supply an up-to maximum card frequency" "HSS_0_r,HSS_1_r" newline rbitfld.long 0x40 20. "RESERVED," "0,1" rbitfld.long 0x40 19. "AD2S,ADMA2 Support This bit indicates whether the Host Controller is capable of using ADMA2" "AD2S_0_r,AD2S_1_r" newline rbitfld.long 0x40 18. "RESERVED," "0,1" rbitfld.long 0x40 16.--17. "MBL,Maximum block length This value indicates the maximum block size that the host driver can read and write to the buffer in the host controller" "MBL_0_r,MBL_1_r,MBL_2_r,?" newline abitfld.long 0x40 8.--15. "BCF,Base Clock Frequency For SD Clock This value indicates the base (maximum) clock frequency for the SD Clock" "0x00=Get information via..,0x01=1MHz,0x02=2MHz,0xFF=255MHz" rbitfld.long 0x40 7. "TCU,Timeout clock unit This bit shows the unit of base clock frequency used to detect Data Timeout Error (MMCHS_STAT[DTO])" "TCU_0_r,TCU_1_r" newline rbitfld.long 0x40 6. "RESERVED," "0,1" rbitfld.long 0x40 0.--5. "TCF,Timeout clock frequency The timeout clock frequency is used to detect Data Timeout Error (MMCHS_STAT[DTO])" "TCF_0_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x44 "MMCHS_CAPA2,Capabilities 2 Register This register provides the Host Driver with information specific to the Host Controller implementation" hexmask.long.byte 0x44 24.--31. 1. "RESERVED," abitfld.long 0x44 16.--23. "CM,Clock Multiplier This field indicates clock multiplier value of programmable clock generator" "0x00=Clock Multiplier is Not Supported,0x01=Clock Multiplier M = 2,0x02=Clock Multiplier M = 3,0xFF=Clock Multiplier M = 256" newline bitfld.long 0x44 14.--15. "RTM,Re-Tuning Modes This field selects re-tuning method and limits the maximum data length" "RTM_0_r,RTM_1_r,RTM_2_r,RTM_3_r" bitfld.long 0x44 13. "TSDR50,Use Tuning for SDR50 If this bit is set to 1 this Host Controller requires tuning to operate SDR50" "TSDR50_0_r,TSDR50_1_r" newline bitfld.long 0x44 12. "RESERVED," "0,1" bitfld.long 0x44 8.--11. "TCRT,Timer Count for Re-Tuning This field indicates an initial value of the Re-Tuning Timer for Re-Tuning Mode 1 to 3" "TCRT_0_r,TCRT_1_r,TCRT_2_r,TCRT_3_r,TCRT_4_r,TCRT_5_r,TCRT_6_r,TCRT_7_r,TCRT_8_r,TCRT_9_r,TCRT_10_r,TCRT_11_r,TCRT_12_r,TCRT_13_r,TCRT_14_r,TCRT_15_r" newline bitfld.long 0x44 7. "RESERVED," "0,1" bitfld.long 0x44 6. "DTD,Driver Type D Support This bit indicates support of Driver Type D for 1.8 Signaling" "DTD_0_r,DTD_1_r" newline bitfld.long 0x44 5. "DTC,Driver Type C Support This bit indicates support of Driver Type C for 1.8 Signaling" "DTC_0_r,DTC_1_r" bitfld.long 0x44 4. "DTA,Driver Type A Support This bit indicates support of Driver Type A for 1.8 Signaling" "DTA_0_r,DTA_1_r" newline bitfld.long 0x44 3. "RESERVED," "0,1" bitfld.long 0x44 2. "DDR50,DDR50 Support" "DDR50_0_r,DDR50_1_r" newline bitfld.long 0x44 1. "SDR104,SDR104 Support SDR104 requires tuning" "SDR104_0_r,SDR104_1_r" bitfld.long 0x44 0. "SDR50,SDR50 Support If SDR104 is supported this bit shall be set to 1" "SDR50_0_r,SDR50_1_r" line.long 0x48 "MMCHS_CUR_CAPA,Maximum Current Capabilities Register This register indicates the maximum current capability for each voltage" hexmask.long.byte 0x48 24.--31. 1. "RESERVED," hexmask.long.byte 0x48 16.--23. 1. "CUR_1V8,Maximum current for 1.8V" newline hexmask.long.byte 0x48 8.--15. 1. "CUR_3V0,Maximum current for 3.0V" hexmask.long.byte 0x48 0.--7. 1. "CUR_3V3,Maximum current for 3.3V" group.long 0x250++0x0B line.long 0x00 "MMCHS_FE,Force Event Register for Auto CMD Error Status and Error Interrupt status The Force Event Register is not a physically implemented register" bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 29. "FE_BADA,Force Event Bad access to data space" "FE_BADA_0_w,FE_BADA_1_w" newline bitfld.long 0x00 28. "FE_CERR,Force Event Card error" "FE_CERR_0_w,FE_CERR_1_w" bitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 25. "FE_ADMAE,Force Event ADMA Error" "FE_ADMAE_0_w,FE_ADMAE_1_w" bitfld.long 0x00 24. "FE_ACE,Force Event for Auto CMD Error - For Auto CMD12 and Auto CMD23" "FE_ACE_0_w,FE_ACE_1_w" newline bitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 22. "FE_DEB,Force Event Data End Bit error" "FE_DEB_0_w,FE_DEB_1_w" newline bitfld.long 0x00 21. "FE_DCRC,Force Event Data CRC Error" "FE_DCRC_0_w,FE_DCRC_1_w" bitfld.long 0x00 20. "FE_DTO,Force Event Data Timeout Error" "FE_DTO_0_w,FE_DTO_1_w" newline bitfld.long 0x00 19. "FE_CIE,Force Event Command Index Error" "FE_CIE_0_w,FE_CIE_1_w" bitfld.long 0x00 18. "FE_CEB,Force Event Command End Bit Error" "FE_CEB_0_w,FE_CEB_1_w" newline bitfld.long 0x00 17. "FE_CCRC,Force Event Command CRC Error" "FE_CCRC_0_w,FE_CCRC_1_w" bitfld.long 0x00 16. "FE_CTO,Command Timeout Error This bit is set automatically when no response is received within 64 clock cycles from the end bit of the command" "FE_CTO_0_w,FE_CTO_1_w" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," bitfld.long 0x00 7. "FE_CNI,Force Event Command not issue by Auto CMD12 error" "FE_CNI_0_w,FE_CNI_1_w" newline bitfld.long 0x00 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x00 4. "FE_ACIE,Force Event for Auto CMD Index Error - For Auto CMD12 and Auto CMD23" "FE_ACIE_0_w,FE_ACIE_1_w" newline bitfld.long 0x00 3. "FE_ACEB,Force Event Auto CMD End Bit Error" "FE_ACEB_0_w,FE_ACEB_1_w" bitfld.long 0x00 2. "FE_ACCE,Force Event Auto CMD CRC Error" "FE_ACCE_0_w,FE_ACCE_1_w" newline bitfld.long 0x00 1. "FE_ACTO,Force Event Auto CMD Timeout Error" "FE_ACTO_0_w,FE_ACTO_1_w" bitfld.long 0x00 0. "FE_ACNE,Force Event Auto CMD12 Not Executed" "FE_ACNE_0_w,FE_ACNE_1_w" line.long 0x04 "MMCHS_ADMAES,ADMA Error Status Register When ADMA Error Interrupt is occurred. the ADMA Error States field in this register holds the ADMA state and the ADMA System Address Register holds the address around the error descriptor" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 2. "LME,ADMA Length Mismatch Error: (1) While Block Count Enable being set the total data length specified by the Descriptor table is different from that specified by the Block Count and Block Length" "LME_0,LME_1" newline bitfld.long 0x04 0.--1. "AES,ADMA Error StateThis field indicates the state of ADMA when error occurred during ADMA data transfer" "0,1,2,3" line.long 0x08 "MMCHS_ADMASAL,ADMA System address Low bits" rgroup.long 0x260++0x0F line.long 0x00 "MMCHS_PVINITSD,Preset Value for Initialization and Default Speed modes" bitfld.long 0x00 30.--31. "DSDS_SEL,Driver Strength Select Value - Default Speed mode Driver Strength is supported by 1.8V signaling bus speed modes" "DSDS_SEL_0_r,DSDS_SEL_1_r,DSDS_SEL_2_r,DSDS_SEL_3_r" bitfld.long 0x00 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 26. "DSCLKGEN_SEL,Clock Generator Select Value - Default Speed mode This bit is effective when Host Controller supports programmable clock generator" "DSCLKGEN_SEL_0_r,DSCLKGEN_SEL_1_r" hexmask.long.word 0x00 16.--25. 1. "DSSDCLK_SEL,SDCLK Frequency Select Value - Default Speed mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" newline bitfld.long 0x00 14.--15. "INITDS_SEL,Driver Strength Select Value - Initialization mode Driver Strength is supported by 1.8V signaling bus speed modes" "INITDS_SEL_0_r,INITDS_SEL_1_r,INITDS_SEL_2_r,INITDS_SEL_3_r" bitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "INITCLKGEN_SEL,Clock Generator Select Value - Initialization mode This bit is effective when Host Controller supports programmable clock generator" "INITCLKGEN_SEL_0_r,INITCLKGEN_SEL_1_r" hexmask.long.word 0x00 0.--9. 1. "INITSDCLK_SEL,SDCLK Frequency Select Value - Initialization mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x04 "MMCHS_PVHSSDR12,Preset Value for High Speed and SDR12 speed modes" bitfld.long 0x04 30.--31. "SDR12DS_SEL,Driver Strength Select Value - SDR12 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR12DS_SEL_0_r,SDR12DS_SEL_1_r,SDR12DS_SEL_2_r,SDR12DS_SEL_3_r" bitfld.long 0x04 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 26. "SDR12CLKGEN_SEL,Clock Generator Select Value - SDR12 mode This bit is effective when Host Controller supports programmable clock generator" "SDR12CLKGEN_SEL_0_r,SDR12CLKGEN_SEL_1_r" hexmask.long.word 0x04 16.--25. 1. "SDR12SDCLK_SEL,SDCLK Frequency Select Value - SDR12 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" newline bitfld.long 0x04 14.--15. "HSDS_SEL,Driver Strength Select Value - High Speed mode Driver Strength is supported by 1.8V signaling bus speed modes" "HSDS_SEL_0_r,HSDS_SEL_1_r,HSDS_SEL_2_r,HSDS_SEL_3_r" bitfld.long 0x04 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 10. "HSCLKGEN_SEL,Clock Generator Select Value - High Speed mode This bit is effective when Host Controller supports programmable clock generator" "HSCLKGEN_SEL_0_r,HSCLKGEN_SEL_1_r" hexmask.long.word 0x04 0.--9. 1. "HSSDCLK_SEL,SDCLK Frequency Select Value - High Speed mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x08 "MMCHS_PVSDR25SDR50,Preset Value for SDR25 and SDR50 speed modes" bitfld.long 0x08 30.--31. "SDR50DS_SEL,Driver Strength Select Value - SDR50 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR50DS_SEL_0_r,SDR50DS_SEL_1_r,SDR50DS_SEL_2_r,SDR50DS_SEL_3_r" bitfld.long 0x08 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 26. "SDR50CLKGEN_SEL,Clock Generator Select Value - SDR50 mode This bit is effective when Host Controller supports programmable clock generator" "SDR50CLKGEN_SEL_0_r,SDR50CLKGEN_SEL_1_r" hexmask.long.word 0x08 16.--25. 1. "SDR50SDCLK_SEL,SDCLK Frequency Select Value - SDR50 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" newline bitfld.long 0x08 14.--15. "SDR25DS_SEL,Driver Strength Select Value - SDR25 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR25DS_SEL_0_r,SDR25DS_SEL_1_r,SDR25DS_SEL_2_r,SDR25DS_SEL_3_r" bitfld.long 0x08 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 10. "SDR25CLKGEN_SEL,Clock Generator Select Value - SDR25 mode This bit is effective when Host Controller supports programmable clock generator" "SDR25CLKGEN_SEL_0_r,SDR25CLKGEN_SEL_1_r" hexmask.long.word 0x08 0.--9. 1. "SDR25SDCLK_SEL,SDCLK Frequency Select Value - SDR25 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x0C "MMCHS_PVSDR104DDR50,Preset Value for SDR104 and DDR50 speed modes" bitfld.long 0x0C 30.--31. "DDR50DS_SEL,Driver Strength Select Value - DDR50 mode Driver Strength is supported by 1.8V signaling bus speed modes" "DDR50DS_SEL_0_r,DDR50DS_SEL_1_r,DDR50DS_SEL_2_r,DDR50DS_SEL_3_r" bitfld.long 0x0C 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 26. "DDR50CLKGEN_SEL,Clock Generator Select Value - DDR50 mode This bit is effective when Host Controller supports programmable clock generator" "DDR50CLKGEN_SEL_0_r,DDR50CLKGEN_SEL_1_r" hexmask.long.word 0x0C 16.--25. 1. "DDR50SDCLK_SEL,SDCLK Frequency Select Value - DDR50 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" newline bitfld.long 0x0C 14.--15. "SDR104DS_SEL,Driver Strength Select Value - SDR104 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR104DS_SEL_0_r,SDR104DS_SEL_1_r,SDR104DS_SEL_2_r,SDR104DS_SEL_3_r" bitfld.long 0x0C 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 10. "SDR104CLKGEN_SEL,Clock Generator Select Value - SDR104 mode This bit is effective when Host Controller supports programmable clock generator" "SDR104CLKGEN_SEL_0_r,SDR104CLKGEN_SEL_1_r" hexmask.long.word 0x0C 0.--9. 1. "SDR104SDCLK_SEL,SDCLK Frequency Select Value - SDR104 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" rgroup.long 0x2FC++0x03 line.long 0x00 "MMCHS_REV,Versions Register This register contains the hard coded RTL vendor revision number. the version number of SD specification compliancy and a slot status bit" hexmask.long.byte 0x00 24.--31. 1. "VREV,Vendor Version Number: IP revision [7:4] Major revision [3:0] Minor revision Examples: 0x10 for 1.0 0x21 for 2.1" hexmask.long.byte 0x00 16.--23. 1. "SREV,Specification Version Number This status indicates the Host Controller Spec" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," bitfld.long 0x00 0. "SIS,Slot Interrupt Status This status bit indicates the inverted state of interrupt signal for the module" "0,1" tree.end repeat.end repeat 2. (list 3. 4. )(list ad:0x480AD000 ad:0x480D1000 ) tree "MMC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "MMCHS_HL_REV,IP Revision Identifier (X.Y.R) Used by software to track features. bugs. and compatibility" line.long 0x04 "MMCHS_HL_HWINFO,Information about the IP module's hardware configuration" hexmask.long 0x04 7.--31. 1. "RESERVED," bitfld.long 0x04 6. "RETMODE,Retention Mode generic parameter This bit field indicates whether the retention mode is supported using the pin PIRFFRET" "RETMODE_0_r,RETMODE_1_r" bitfld.long 0x04 2.--5. "MEM_SIZE,Memory size for FIFO buffer" "?,MEM_SIZE_1_r,MEM_SIZE_2_r,?,MEM_SIZE_4_r,?,?,?,MEM_SIZE_8_r,?,?,?,?,?,?,?" newline bitfld.long 0x04 1. "MERGE_MEM,Memory merged for FIFO buffer: This register defines the configuration of FIFO buffer architecture" "MERGE_MEM_0_r,MERGE_MEM_1_r" bitfld.long 0x04 0. "MADMA_EN,Master DMA enabled generic parameter: This register defines the configuration of the controller to know if it supports the master DMA management called ADMA" "MADMA_EN_0_r,MADMA_EN_1_r" group.long 0x10++0x03 line.long 0x00 "MMCHS_HL_SYSCONFIG,Clock Management Configuration Register" hexmask.long 0x00 6.--31. 1. "RESERVED," bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "STANDBYMODE_0,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x00 1. "FREEEMU,Sensitivity to emulation (debug) suspend input signal" "FREEEMU_0,FREEEMU_1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_r" group.long 0x110++0x07 line.long 0x00 "MMCHS_SYSCONFIG,System Configuration Register This register allows controlling various parameters of the Interconnect interface" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "STANDBYMODE,Master interface power Management standby/wait control" "STANDBYMODE_0,STANDBYMODE_1,STANDBYMODE_2,?" rbitfld.long 0x00 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clocks activity during wake up mode period" "CLOCKACTIVITY_0,CLOCKACTIVITY_1,CLOCKACTIVITY_2,CLOCKACTIVITY_3" rbitfld.long 0x00 5.--7. "RESERVED,This bit is initialized to zero and writes to it are ignored" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3.--4. "SIDLEMODE,Power management" "SIDLEMODE_0,SIDLEMODE_1,SIDLEMODE_2,SIDLEMODE_3" newline bitfld.long 0x00 2. "ENAWAKEUP,Wakeup feature control" "ENAWAKEUP_0,ENAWAKEUP_1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_r,SOFTRESET_1_r" bitfld.long 0x00 0. "AUTOIDLE,Internal Clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMCHS_SYSSTATUS,System Status Register This register provides status information about the module excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "RESETDONE,Internal Reset Monitoring Note: the debounce clock the system clock (Interface) and the functional clock shall be provided to the MMC/SD/SDIO host controller to allow the internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" group.long 0x124++0x0F line.long 0x00 "MMCHS_CSRE,Card Status Response Error This register enables the host controller to detect card status errors of response type R1. R1b for all cards and of R5. R5b and R6 response for cards types SD or SDIO" line.long 0x04 "MMCHS_SYSTEST,System Test Register This register is used to control the signals that connect to I/O pins when the module is configured in system test (SYSTEST) mode for boundary connectivity verification" hexmask.long.word 0x04 17.--31. 1. "RESERVED," rbitfld.long 0x04 16. "OBI,Out-Of-Band Interrupt (OBI) data value" "OBI_0_r,OBI_1_r" rbitfld.long 0x04 15. "SDCD,Card detect input signal (mmci_sdcd) data value" "SDCD_0_r,SDCD_1_r" newline rbitfld.long 0x04 14. "SDWP,Write protect input signal (mmci_sdwp) data value" "SDWP_0_r,SDWP_1_r" bitfld.long 0x04 13. "WAKD,Wake request output signal data value" "WAKD_0_r,WAKD_1_r" bitfld.long 0x04 12. "SSB,Set status bit This bit must be cleared prior attempting to clear a status bit of the interrupt status register (MMCHS_STAT)" "SSB_0_r,SSB_1_r" newline bitfld.long 0x04 11. "D7D,DAT7 input/output signal data value" "D7D_0_r,D7D_1_r" bitfld.long 0x04 10. "D6D,DAT6 input/output signal data value" "D6D_0_r,D6D_1_r" bitfld.long 0x04 9. "D5D,DAT5 input/output signal data value" "D5D_0_r,D5D_1_r" newline bitfld.long 0x04 8. "D4D,DAT4 input/output signal data value" "D4D_0_r,D4D_1_r" bitfld.long 0x04 7. "D3D,DAT3 input/output signal data value" "D3D_0_r,D3D_1_r" bitfld.long 0x04 6. "D2D,DAT2 input/output signal data value" "D2D_0_r,D2D_1_r" newline bitfld.long 0x04 5. "D1D,DAT1 input/output signal data value" "D1D_0_r,D1D_1_r" bitfld.long 0x04 4. "D0D,DAT0 input/output signal data value" "D0D_0_r,D0D_1_r" bitfld.long 0x04 3. "DDIR,Control of the DAT[7:0] pins direction" "DDIR_0_r,DDIR_1_r" newline bitfld.long 0x04 2. "CDAT,CMD input/output signal data value" "CDAT_0_r,CDAT_1_r" bitfld.long 0x04 1. "CDIR,Control of the CMD pin direction" "CDIR_0_r,CDIR_1_r" bitfld.long 0x04 0. "MCKD,MMC clock output signal data value" "MCKD_0_r,MCKD_1_r" line.long 0x08 "MMCHS_CON,Configuration Register This register is used: - to select the functional mode or the SYSTEST mode for any card" hexmask.long.word 0x08 22.--31. 1. "RESERVED," bitfld.long 0x08 21. "SDMA_LNE,Slave DMA Level/Edge Request: The waveform of the DMA request can be configured either edge sensitive with early de-assertion on first access to MMCHS_DATA register or late de-assertion request remains active until last allowed data written.." "SDMA_LNE_0,SDMA_LNE_1" bitfld.long 0x08 20. "DMA_MNS,DMA Master or Slave selection: When this bit is set and the controller is configured to use the DMA Interconnect master interface is used to get datas from system using ADMA2 procedure (direct access to the memory).This option is only available.." "DMA_MNS_0,DMA_MNS_1" newline bitfld.long 0x08 19. "DDR,Dual Data Rate mode: When this register is set the controller uses both clock edge to emit or receive data" "DDR_0,DDR_1" bitfld.long 0x08 18. "BOOT_CF0,Boot status supported: This register is set when the CMD line need to be forced to '0' for a boot sequence" "BOOT_CF0_0_r,BOOT_CF0_1_r" bitfld.long 0x08 17. "BOOT_ACK,Boot acknowledge received: When this bit is set the controller should receive a boot status on DAT0 line after next command issued" "BOOT_ACK_0,BOOT_ACK_1" newline bitfld.long 0x08 16. "CLKEXTFREE,External clock free running: This register is used to maintain card clock out of transfer transaction to enable slave module for example to generate a synchronous interrupt on DAT[1]" "CLKEXTFREE_0,CLKEXTFREE_1" bitfld.long 0x08 15. "PADEN,Control Power for MMC Lines: This register is only useful when MMC PADs contain power saving mechanism to minimize its leakage power" "PADEN_0,PADEN_1" bitfld.long 0x08 14. "OBIE,Out-of-Band Interrupt Enable MMC cards only: This bit enables the detection of Out-of-Band Interrupt on MMCOBI input pin" "OBIE_0,OBIE_1" newline bitfld.long 0x08 13. "OBIP,Out-of-Band Interrupt Polarity MMC cards only: This bit selects the active level of the out-of-band interrupt coming from MMC cards" "OBIP_0,OBIP_1" bitfld.long 0x08 12. "CEATA,CE-ATA control mode MMC cards compliant with CE-ATA:By default this bit is set to 0" "CEATA_0,CEATA_1" bitfld.long 0x08 11. "CTPL,Control Power for DAT[1] line MMC and SD cards: By default this bit is set to 0 and the host controller automatically disables all the input buffers outside of a transaction to minimize the leakage current" "CTPL_0,CTPL_1" newline bitfld.long 0x08 9.--10. "DVAL,Debounce filter value All cards This register is used to define a debounce period to filter the card detect input signal (mmci_sdcd)" "DVAL_0,DVAL_1,DVAL_2,DVAL_3" bitfld.long 0x08 8. "WPP,Write protect polarity For SD and SDIO cards only This bit selects the active level of the write protect input signal (mmci_sdwp)" "WPP_0,WPP_1" bitfld.long 0x08 7. "CDP,Card detect polarity All cards This bit selects the active level of the card detect input signal (mmci_sdcd)" "CDP_0,CDP_1" newline bitfld.long 0x08 6. "MIT,MMC interrupt command Only for MMC cards" "MIT_0,MIT_1" bitfld.long 0x08 5. "DW8,8-bit mode MMC select For SD/SDIO cards this bit must be set to 0" "DW8_0,DW8_1" bitfld.long 0x08 4. "MODE,Mode select All cards This bit select between Functional mode and SYSTEST mode" "MODE_0,MODE_1" newline bitfld.long 0x08 3. "STR,Stream command Only for MMC cards" "STR_0,STR_1" bitfld.long 0x08 2. "HR,Broadcast host response Only for MMC cards" "HR_0,HR_1" bitfld.long 0x08 1. "INIT,Send initialization stream All cards" "INIT_0,INIT_1" newline bitfld.long 0x08 0. "OD,Card open drain mode" "OD_0,OD_1" line.long 0x0C "MMCHS_PWCNT,Power Counter Register This register is used to program a mmc counter to delay command transfers after activating the PAD power. this value depends on PAD characteristics and voltage" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--15. 1. "PWRCNT,Power counter register" group.long 0x200++0x4B line.long 0x00 "MMCHS_SDMASA,SDMA System Address / Argument 2 Register" line.long 0x04 "MMCHS_BLK,Transfer Length Configuration Register [BLEN] is the block size register" hexmask.long.word 0x04 16.--31. 1. "NBLK,Blocks count for current transfer This register is enabled when Block count Enable (MMCHS_CMD[BCE]) is set to 1 and is valid only for multiple block transfers" rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--11. 1. "BLEN,Transfer Block Size" line.long 0x08 "MMCHS_ARG,Command Argument Register This register contains command argument specified as bit 39-8 of Command-Format These registers must be initialized prior to sending the command itself to the card (write action into the register register)" line.long 0x0C "MMCHS_CMD,Command and Transfer Mode Register [31:16] = the command register [15:0] = the transfer mode" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 24.--29. "INDX,Command indexBinary encoded value from 0 to 63 specifying the command number send to card" "INDX_0,INDX_1,INDX_2,INDX_3,INDX_4,INDX_5,INDX_6,INDX_7,INDX_8,INDX_9,INDX_10,INDX_11,INDX_12,INDX_13,INDX_14,INDX_15,INDX_16,INDX_17,INDX_18,INDX_19,INDX_20,INDX_21,INDX_22,INDX_23,INDX_24,INDX_25,INDX_26,INDX_27,INDX_28,INDX_29,INDX_30,INDX_31,INDX_32,INDX_33,INDX_34,INDX_35,INDX_36,INDX_37,INDX_38,INDX_39,INDX_40,INDX_41,INDX_42,INDX_43,INDX_44,INDX_45,INDX_46,INDX_47,INDX_48,INDX_49,INDX_50,INDX_51,INDX_52,INDX_53,INDX_54,INDX_55,INDX_56,INDX_57,INDX_58,INDX_59,INDX_60,INDX_61,INDX_62,INDX_63" bitfld.long 0x0C 22.--23. "CMD_TYPE,Command typeThis register specifies three types of special command: Suspend Resume and Abort" "CMD_TYPE_0,CMD_TYPE_1,CMD_TYPE_2,CMD_TYPE_3" newline bitfld.long 0x0C 21. "DP,Data present selectThis register indicates that data is present and DAT line shall be used" "DP_0,DP_1" bitfld.long 0x0C 20. "CICE,Command Index check enableThis bit must be set to 1 to enable index check on command response to compare the index field in the response against the index of the command" "CICE_0,CICE_1" bitfld.long 0x0C 19. "CCCE,Command CRC check enableThis bit must be set to 1 to enable CRC7 check on command response to protect the response against transmission errors on the bus" "CCCE_0,CCCE_1" newline rbitfld.long 0x0C 18. "RESERVED," "0,1" bitfld.long 0x0C 16.--17. "RSP_TYPE,Response typeThis bits defines the response type of the command" "RSP_TYPE_0,RSP_TYPE_1,RSP_TYPE_2,RSP_TYPE_3" hexmask.long.word 0x0C 6.--15. 1. "RESERVED," newline bitfld.long 0x0C 5. "MSBS,Multi/Single block selectThis bit must be set to 1 for data transfer in case of multi block command" "MSBS_0,MSBS_1" bitfld.long 0x0C 4. "DDIR,Data transfer Direction SelectThis bit defines either data transfer will be a read or a" "DDIR_0,DDIR_1" bitfld.long 0x0C 2.--3. "ACEN,Auto CMD Enable - SD card only.This field determines use of auto command functions" "ACEN_0,ACEN_1,ACEN_2,ACEN_3" newline bitfld.long 0x0C 1. "BCE,Block Count EnableMultiple block transfers only" "BCE_0,BCE_1" bitfld.long 0x0C 0. "DE,DMA EnableThis bit is used to enable DMA mode for host data access" "DE_0,DE_1" line.long 0x10 "MMCHS_RSP10,Command Response[31:0] Register (bits [31:0] of the internal RSP register) This 32-bit register holds bits positions [31:0] of command response type R1/R1b/R2/R3/R4/R5/R5b/R6/R7" hexmask.long.word 0x10 16.--31. 1. "RSP1,Command Response [31:16]" hexmask.long.word 0x10 0.--15. 1. "RSP0,Command Response [15:0]" line.long 0x14 "MMCHS_RSP32,Command Response[63:32] Register (bits [63:32] of the internal RSP register) This 32-bit register holds bits positions [63:32] of command response type R2" hexmask.long.word 0x14 16.--31. 1. "RSP3,Command Response [63:48]" hexmask.long.word 0x14 0.--15. 1. "RSP2,Command Response [47:32]" line.long 0x18 "MMCHS_RSP54,Command Response[95:64] Register (bits [95:64] of the internal RSP register) This 32-bit register holds bits positions [95:64] of command response type R2" hexmask.long.word 0x18 16.--31. 1. "RSP5,Command Response [95:80]" hexmask.long.word 0x18 0.--15. 1. "RSP4,Command Response [79:64]" line.long 0x1C "MMCHS_RSP76,Command Response[127:96] Register (bits [127:96] of the internal RSP register) This 32-bit register holds bits positions [127:96] of command response type R1(Auto CMD23)/R1b(Auto CMD12)/R2" hexmask.long.word 0x1C 16.--31. 1. "RSP7,Command Response [127:112]" hexmask.long.word 0x1C 0.--15. 1. "RSP6,Command Response [111:96]" line.long 0x20 "MMCHS_DATA,Data Register This register is the 32-bit entry point of the buffer for read or write data transfers" line.long 0x24 "MMCHS_PSTATE,Present State Register The Host can get status of the Host Controller from this 32-bit read only register" hexmask.long.byte 0x24 25.--31. 1. "RESERVED," bitfld.long 0x24 24. "CLEV,CMD line signal level This status is used to check the CMD line level to recover from errors and for debugging" "CLEV_0_r,CLEV_1_r" bitfld.long 0x24 20.--23. "DLEV,DAT[3:0] line signal level DAT[3] => bit 23 DAT[2] => bit 22 DAT[1] => bit 21 DAT[0] => bit 20 This status is used to check DAT line level to recover from errors and for debugging" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x24 19. "WP,Write protect switch pin level For SDIO cards only" "WP_0_r,WP_1_r" bitfld.long 0x24 18. "CDPL,Card detect pin level This bit reflects the inverse value of the card detect input pin (mmci_sdcd) debouncing is not performed on this bit and bit is valid only when Card State Stable (MMCHS_PSTAE[CSS]) is set to 1" "CDPL_0_r,CDPL_1_r" bitfld.long 0x24 17. "CSS,Card State Stable This bit is used for testing" "CSS_0_r,CSS_1_r" newline bitfld.long 0x24 16. "CINS,Card inserted This bit is the debounced value of the card detect input pin (mmci_sdcd)" "CINS_0_r,CINS_1_r" bitfld.long 0x24 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x24 11. "BRE,Buffer read enable This bit is used for non-DMA read transfers" "BRE_0_r,BRE_1_r" newline bitfld.long 0x24 10. "BWE,Buffer Write enable This status is used for non-DMA write transfers" "BWE_0_r,BWE_1_r" bitfld.long 0x24 9. "RTA,Read transfer active This status is used for detecting completion of a read transfer" "RTA_0_r,RTA_1_r" bitfld.long 0x24 8. "WTA,Write transfer active This status indicates a write transfer active" "WTA_0_r,WTA_1_r" newline bitfld.long 0x24 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x24 3. "RTR,Re-Tuning Request Host Controller may request Host Driver to execute re-tuning sequence by setting this bit when the data window is shifted by temperature drift and a tuned sampling point does not have a good margin to receive correct data" "RTR_0_r,RTR_1_r" bitfld.long 0x24 2. "DLA,DAT line active This status bit indicates whether one of the DAT line is in use" "DLA_0_r,DLA_1_r" newline bitfld.long 0x24 1. "DATI,Command inhibit(DAT) This status bit is generated if either DAT line is active (MMCHS_PSTATE[DLA]) or Read transfer is active (MMCHS_PSTATE[RTA]) or when a command with busy is issued" "DATI_0_r,DATI_1_r" bitfld.long 0x24 0. "CMDI,Command inhibit(CMD) This status bit indicates that the CMD line is in use" "CMDI_0_r,CMDI_1_r" line.long 0x28 "MMCHS_HCTL,Host Control Register This register defines the host controls to set power. wakeup and transfer parameters" rbitfld.long 0x28 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x28 27. "OBWE,Wakeup event enable for 'Out-of-Band' Interrupt" "OBWE_0,OBWE_1" bitfld.long 0x28 26. "REM,Wakeup event enable on SD card removal This bit enables wakeup events for card removal assertion" "REM_0,REM_1" newline bitfld.long 0x28 25. "INS,Wakeup event enable on SD card insertion This bit enables wakeup events for card insertion assertion" "INS_0,INS_1" bitfld.long 0x28 24. "IWE,Wakeup event enable on SD card interrupt This bit enables wakeup events for card interrupt assertion" "IWE_0,IWE_1" rbitfld.long 0x28 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x28 19. "IBG,Interrupt block at gap This bit is valid only in 4-bit mode of SDIO card to enable interrupt detection in the interrupt cycle at block gap for a multiple block transfer" "IBG_0,IBG_1" bitfld.long 0x28 18. "RWC,Read wait control The read wait function is optional only for SDIO cards" "RWC_0,RWC_1" bitfld.long 0x28 17. "CR,Continue request This bit is used to restart a transaction that was stopped by requesting a stop at block gap (MMCHS_HCTL[SBGR])" "CR_0,CR_1" newline bitfld.long 0x28 16. "SBGR,Stop at block gap request This bit is used to stop executing a transaction at the next block gap" "SBGR_0,SBGR_1" rbitfld.long 0x28 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x28 9.--11. "SDVS,SD bus voltage select All cards" "?,?,?,?,?,SDVS_5,SDVS_6,SDVS_7" newline bitfld.long 0x28 8. "SDBP,SD bus power Before setting this bit the host driver shall select the SD bus voltage (MMCHS_HCTL[SDVS])" "SDBP_0,SDBP_1" bitfld.long 0x28 7. "CDSS,Card Detect Signal Selection This bit selects source for the card detection.When the source for the card detection is switched the interrupt should be disabled during the switching period by clearing the Interrupt Status/Signal Enable register in.." "CDSS_0,CDSS_1" bitfld.long 0x28 6. "CDTL,Card Detect Test Level: This bit is enabled while MMCHS_HCTL[7] CDSS is set to 1 and it indicates whether the card is inserted or not" "CDTL_0,CDTL_1" newline rbitfld.long 0x28 5. "RESERVED," "0,1" bitfld.long 0x28 3.--4. "DMAS,DMA Select Mode: One of supported DMA modes can be selected" "DMAS_0,DMAS_1,DMAS_2,DMAS_3" bitfld.long 0x28 2. "HSPE,Before setting this bit the Host Driver shall check theMMCHS_CAPA[21] HSS" "HSPE_0,HSPE_1" newline bitfld.long 0x28 1. "DTW,Data transfer width For MMC card this bit must be set following a valid SWITCH command (CMD6) with the correct value and extend CSD index written in the argument" "DTW_0,DTW_1" rbitfld.long 0x28 0. "LED,Reserved bit" "0,1" line.long 0x2C "MMCHS_SYSCTL,SD System Control Register This register defines the system controls to set software resets. clock frequency management and data timeout" rbitfld.long 0x2C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x2C 26. "SRD,Software reset for DAT line This bit is set to 1 for reset and released to 0 when completed" "SRD_0,SRD_1" bitfld.long 0x2C 25. "SRC,Software reset for CMD line For more information about SRC bit manipulation see CMD Line Reset Procedure" "SRC_0,SRC_1" newline bitfld.long 0x2C 24. "SRA,Software reset for all This bit is set to 1 for reset and released to 0 when completed" "SRA_0,SRA_1" rbitfld.long 0x2C 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x2C 16.--19. "DTO,Data timeout counter value and busy timeout" "DTO_0,DTO_1,?,?,?,?,?,?,?,?,?,?,?,?,DTO_14,DTO_15" newline hexmask.long.word 0x2C 6.--15. 1. "CLKD,Clock frequency select These bits define the ratio between MMCi_FCLK and the output clock frequency on the CLK pin of either the memory card (MMC SD or SDIO)" rbitfld.long 0x2C 5. "CGS,Clock Generator Select - For SD cards Host Controller Version 3.00 supports this bit" "0,1" rbitfld.long 0x2C 3.--4. "RESERVED," "0,1,2,3" newline bitfld.long 0x2C 2. "CEN,Clock enable This bit controls if the clock is provided to the card or not" "CEN_0,CEN_1" rbitfld.long 0x2C 1. "ICS,Internal clock stable (status) This bit indicates either the internal clock is stable or not" "ICS_0_r,ICS_1_r" bitfld.long 0x2C 0. "ICE,Internal clock enable This register controls the internal clock activity" "ICE_0,ICE_1" line.long 0x30 "MMCHS_STAT,Interrupt Status Register The interrupt status regroups all the status of the module internal events that can generate an interrupt" rbitfld.long 0x30 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x30 29. "BADA,Bad access to data space This bit is set automatically to indicate a bad access to buffer when not allowed: -This bit is set during a read access to the data register (MMCHS_DATA) while buffer reads are not allowed (MMCHS_PSTATE[BRE] =0) -This bit.." "BADA_0_r,BADA_1_r" bitfld.long 0x30 28. "CERR,Card error This bit is set automatically when there is at least one error in a response of type R1 R1b R6 R5 or R5b" "CERR_0_r,CERR_1_r" newline rbitfld.long 0x30 27. "RESERVED," "0,1" bitfld.long 0x30 26. "TE,Tuning Error This bit is set when an unrecoverable error is detected in a tuning circuit except during tuning procedure (Occurrence of an error during tuning procedure is indicated by Sampling Select)" "TE_0,TE_1" bitfld.long 0x30 25. "ADMAE,ADMA Error: This bit is set when the Host Controller detects errors during ADMA based data transfer" "ADMAE_0_r,ADMAE_1_r" newline bitfld.long 0x30 24. "ACE,Auto CMD error Auto CMD12 and Auto CMD23 use this error status" "ACE_0_r,ACE_1_r" rbitfld.long 0x30 23. "RESERVED," "0,1" bitfld.long 0x30 22. "DEB,Data End Bit error This bit is set automatically when detecting a 0 at the end bit position of read data on DAT line or at the end position of the CRC status in write mode" "DEB_0_r,DEB_1_r" newline bitfld.long 0x30 21. "DCRC,Data CRC Error This bit is set automatically when there is a CRC16 error in the data phase response following a block read command or if there is a 3-bit CRC status different of a position '010' token during a block write command" "DCRC_0_r,DCRC_1_r" bitfld.long 0x30 20. "DTO,Data timeout error This bit is set automatically according to the following conditions: - busy timeout for R1b R5b response type - busy timeout after write CRC status - write CRC status timeout - read data timeout" "DTO_0_r,DTO_1_r" bitfld.long 0x30 19. "CIE,Command index error This bit is set automatically when response index differs from corresponding command index previously emitted" "CIE_0_r,CIE_1_r" newline bitfld.long 0x30 18. "CEB,Command end bit error This bit is set automatically when detecting a 0 at the end bit position of a command response" "CEB_0_r,CEB_1_r" bitfld.long 0x30 17. "CCRC,Command CRC Error This bit is set automatically when there is a CRC7 error in the command response depending on the enable in MMCHS_CMD[CCCE] register" "CCRC_0_r,CCRC_1_r" bitfld.long 0x30 16. "CTO,Command Timeout Error This bit is set automatically when no response is received within 64 clock cycles from the end bit of the command" "CTO_0_r,CTO_1_r" newline rbitfld.long 0x30 15. "ERRI,Error Interrupt If any of the bits in the Error Interrupt Status register (MMCHS_STAT[31:16]) are set then this bit is set to 1" "ERRI_0_r,ERRI_1_r" rbitfld.long 0x30 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x30 10. "BSR,Boot status received interrupt This bit is set automatically when MMCHS_CON[BOOT] is set 0x1 or 0x2 and a boot status is received on DAT[0] line" "BSR_0_r,BSR_1_r" newline bitfld.long 0x30 9. "OBI,Out-Of-Band interrupt This bit is set automatically when MMCHS_CON[OBIE] is set and an Out-of-Band interrupt occurs on OBI pin" "OBI_0_r,OBI_1_r" rbitfld.long 0x30 8. "CIRQ,Card interrupt This bit is only used for SD and SDIO and CE-ATA cards" "CIRQ_0_r,CIRQ_1_r" bitfld.long 0x30 7. "CREM,Card removal This bit is set automatically when MMCHS_PSTATE[CINS] changes from 1 to 0" "CREM_0_r,CREM_1_r" newline bitfld.long 0x30 6. "CINS,Card insertion This bit is set automatically when MMCHS_PSTATE[CINS] changes from 0 to 1" "CINS_0_r,CINS_1_r" bitfld.long 0x30 5. "BRR,Buffer read ready This bit is set automatically during a read operation to the card (see class 2 - block oriented read commands) when one block specified by MMCHS_BLK[BLEN] is completely written in the buffer" "BRR_0_r,BRR_1_r" bitfld.long 0x30 4. "BWR,Buffer write ready This bit is set automatically during a write operation to the card (see class 4 - block oriented write command) when the host can write a complete block as specified by MMCHS_BLK[BLEN]" "BWR_0_r,BWR_1_r" newline bitfld.long 0x30 3. "DMA,DMA interrupt : This status is set when an interrupt is required in the ADMA instruction and after the data transfer completion" "DMA_0_r,DMA_1_r" bitfld.long 0x30 2. "BGE,Block gap event When a stop at block gap is requested (MMCHS_HCTL[SBGR]) this bit is automatically set when transaction is stopped at the block gap during a read or write operation" "BGE_0_r,BGE_1_r" bitfld.long 0x30 1. "TC,Transfer completed This bit is always set when a read/write transfer is completed or between two blocks when the transfer is stopped due to a stop at block gap request (MMCHS_HCTL[SBGR])" "TC_0_r,TC_1_r" newline bitfld.long 0x30 0. "CC,Command complete This bit is set when a 1-to-0 transition occurs in the register command inhibit (MMCHS_PSTATE[CMDI]) If the command is a type for which no response is expected then the command complete interrupt is generated at the end of the command" "CC_0_r,CC_1_r" line.long 0x34 "MMCHS_IE,Interrupt Status Enable Register This register allows to enable/disable the module to set status bits. on an event-by-event basis" rbitfld.long 0x34 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x34 29. "BADA_ENABLE,Bad access to data space Status Enable" "BADA_ENABLE_0,BADA_ENABLE_1" bitfld.long 0x34 28. "CERR_ENABLE,Card Error Status Enable" "CERR_ENABLE_0,CERR_ENABLE_1" newline rbitfld.long 0x34 27. "RESERVED," "0,1" bitfld.long 0x34 26. "TE_ENABLE,Tuning Error Status Enable" "TE_ENABLE_0,TE_ENABLE_1" bitfld.long 0x34 25. "ADMAE_ENABLE,ADMA Error Status Enable" "ADMAE_ENABLE_0,ADMAE_ENABLE_1" newline bitfld.long 0x34 24. "ACE_ENABLE,Auto CMD Error Status Enable" "ACE_ENABLE_0,ACE_ENABLE_1" rbitfld.long 0x34 23. "RESERVED," "0,1" bitfld.long 0x34 22. "DEB_ENABLE,Data End Bit Error Status Enable" "DEB_ENABLE_0,DEB_ENABLE_1" newline bitfld.long 0x34 21. "DCRC_ENABLE,Data CRC Error Status Enable" "DCRC_ENABLE_0,DCRC_ENABLE_1" bitfld.long 0x34 20. "DTO_ENABLE,Data Timeout Error Status Enable" "DTO_ENABLE_0,DTO_ENABLE_1" bitfld.long 0x34 19. "CIE_ENABLE,Command Index Error Status Enable" "CIE_ENABLE_0,CIE_ENABLE_1" newline bitfld.long 0x34 18. "CEB_ENABLE,Command End Bit Error Status Enable" "CEB_ENABLE_0,CEB_ENABLE_1" bitfld.long 0x34 17. "CCRC_ENABLE,Command CRC Error Status Enable" "CCRC_ENABLE_0,CCRC_ENABLE_1" bitfld.long 0x34 16. "CTO_ENABLE,Command Timeout Error Status Enable" "CTO_ENABLE_0,CTO_ENABLE_1" newline rbitfld.long 0x34 15. "NULL,Fixed to 0 The host driver shall control error interrupts using the Error Interrupt Signal Enable register" "0,1" rbitfld.long 0x34 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x34 10. "BSR_ENABLE,Boot Status Enable A write to this register when MMCHS_CON[BOOT_ACK] is set to 0x0 is ignored" "BSR_ENABLE_0,BSR_ENABLE_1" newline bitfld.long 0x34 9. "OBI_ENABLE,Out-of-Band Status Enable A write to this register when MMCHS_CON[OBIE] is set to '0' is ignored" "OBI_ENABLE_0,OBI_ENABLE_1" bitfld.long 0x34 8. "CIRQ_ENABLE,Card Status Enable A clear of this bit also clears the corresponding status bit" "CIRQ_ENABLE_0,CIRQ_ENABLE_1" bitfld.long 0x34 7. "CREM_ENABLE,Card Removal Status Enable" "CREM_ENABLE_0,CREM_ENABLE_1" newline bitfld.long 0x34 6. "CINS_ENABLE,Card Insertion Status Enable" "CINS_ENABLE_0,CINS_ENABLE_1" bitfld.long 0x34 5. "BRR_ENABLE,Buffer Read Ready Status Enable" "BRR_ENABLE_0,BRR_ENABLE_1" bitfld.long 0x34 4. "BWR_ENABLE,Buffer Write Ready Status Enable" "BWR_ENABLE_0,BWR_ENABLE_1" newline bitfld.long 0x34 3. "DMA_ENABLE,DMA Status Enable" "DMA_ENABLE_0,DMA_ENABLE_1" bitfld.long 0x34 2. "BGE_ENABLE,Block Gap Event Status Enable" "BGE_ENABLE_0,BGE_ENABLE_1" bitfld.long 0x34 1. "TC_ENABLE,Transfer Complete Status Enable" "TC_ENABLE_0,TC_ENABLE_1" newline bitfld.long 0x34 0. "CC_ENABLE,Command Complete Status Enable" "CC_ENABLE_0,CC_ENABLE_1" line.long 0x38 "MMCHS_ISE,Interrupt Signal Enable Register This register allows to enable/disable the module internal sources of status. on an event-by-event basis" rbitfld.long 0x38 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x38 29. "BADA_SIGEN,Bad access to data space Signal Enable" "BADA_SIGEN_0,BADA_SIGEN_1" bitfld.long 0x38 28. "CERR_SIGEN,Card Error Interrupt Signal Enable" "CERR_SIGEN_0,CERR_SIGEN_1" newline rbitfld.long 0x38 27. "RESERVED," "0,1" bitfld.long 0x38 26. "TE_SIGEN,Tuning Error Signal Enable" "TE_SIGEN_0,TE_SIGEN_1" bitfld.long 0x38 25. "ADMAE_SIGEN,ADMA Error Signal Enable" "ADMAE_SIGEN_0,ADMAE_SIGEN_1" newline bitfld.long 0x38 24. "ACE_SIGEN,Auto CMD Error Signal Enable" "ACE_SIGEN_0,ACE_SIGEN_1" rbitfld.long 0x38 23. "RESERVED," "0,1" bitfld.long 0x38 22. "DEB_SIGEN,Data End Bit Error Signal Enable" "DEB_SIGEN_0,DEB_SIGEN_1" newline bitfld.long 0x38 21. "DCRC_SIGEN,Data CRC Error Signal Enable" "DCRC_SIGEN_0,DCRC_SIGEN_1" bitfld.long 0x38 20. "DTO_SIGEN,Data Timeout Error Signal Enable" "DTO_SIGEN_0,DTO_SIGEN_1" bitfld.long 0x38 19. "CIE_SIGEN,Command Index Error Signal Enable" "CIE_SIGEN_0,CIE_SIGEN_1" newline bitfld.long 0x38 18. "CEB_SIGEN,Command End Bit Error Signal Enable" "CEB_SIGEN_0,CEB_SIGEN_1" bitfld.long 0x38 17. "CCRC_SIGEN,Command CRC Error Signal Enable" "CCRC_SIGEN_0,CCRC_SIGEN_1" bitfld.long 0x38 16. "CTO_SIGEN,Command timeout Error Signal Enable" "CTO_SIGEN_0,CTO_SIGEN_1" newline rbitfld.long 0x38 15. "NULL,Fixed to 0 The host driver shall control error interrupts using the Error Interrupt Signal Enable register" "0,1" rbitfld.long 0x38 11.--14. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x38 10. "BSR_SIGEN,Boot Status Signal Enable A write to this register when MMCHS_CON[BOOT_ACK] is set to 0x0 is ignored" "BSR_SIGEN_0,BSR_SIGEN_1" newline bitfld.long 0x38 9. "OBI_SIGEN,Out-Of-Band Interrupt Signal Enable A write to this register when MMCHS_CON[OBIE] is set to '0' is ignored" "OBI_SIGEN_0,OBI_SIGEN_1" bitfld.long 0x38 8. "CIRQ_SIGEN,Card Interrupt Signal Enable" "CIRQ_SIGEN_0,CIRQ_SIGEN_1" bitfld.long 0x38 7. "CREM_SIGEN,Card Removal Signal Enable" "CREM_SIGEN_0,CREM_SIGEN_1" newline bitfld.long 0x38 6. "CINS_SIGEN,Card Insertion Signal Enable" "CINS_SIGEN_0,CINS_SIGEN_1" bitfld.long 0x38 5. "BRR_SIGEN,Buffer Read Ready Signal Enable" "BRR_SIGEN_0,BRR_SIGEN_1" bitfld.long 0x38 4. "BWR_SIGEN,Buffer Write Ready Signal Enable" "BWR_SIGEN_0,BWR_SIGEN_1" newline bitfld.long 0x38 3. "DMA_SIGEN,DMA Interrupt Signal Enable" "DMA_SIGEN_0,DMA_SIGEN_1" bitfld.long 0x38 2. "BGE_SIGEN,Black Gap Event Signal Enable" "BGE_SIGEN_0,BGE_SIGEN_1" bitfld.long 0x38 1. "TC_SIGEN,Transfer Completed Status Enable" "TC_SIGEN_0,TC_SIGEN_1" newline bitfld.long 0x38 0. "CC_SIGEN,Command Complete Status Enable" "CC_SIGEN_0,CC_SIGEN_1" line.long 0x3C "MMCHS_AC12,Host Control 2 Register and Auto CMD Error Status Register This register is used to indicate CMD12 response error of Auto CMD12 and CMD23 response error of Auto CMD23" bitfld.long 0x3C 31. "PV_ENABLE,Preset Value Enable Host Controller Version 3.00 supports this bit" "PV_ENABLE_0,PV_ENABLE_1" bitfld.long 0x3C 30. "AI_ENABLE,Asynchronous Interrupt Enable This bit can be set to 1 if a card supports asynchronous interrupts and MMCHS_CAPA[29] AIS is set to 1" "AI_ENABLE_0,AI_ENABLE_1" rbitfld.long 0x3C 24.--29. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x3C 23. "SCLK_SEL,Sampling Clock Select Host Controller uses this bit to select sampling clock to receive CMD and DAT" "SCLK_SEL_0,SCLK_SEL_1" bitfld.long 0x3C 22. "ET,Execute Tuning This bit is set to 1 to start tuning procedure and automatically cleared when tuning procedure is completed" "ET_0,ET_1" bitfld.long 0x3C 20.--21. "DS_SEL,Driver Strength Select Host Controller output driver in 1.8V signaling is selected by this bit" "DS_SEL_0,DS_SEL_1,DS_SEL_2,DS_SEL_3" newline bitfld.long 0x3C 19. "V1V8_SIGEN,1.8V Signaling Enable This bit controls voltage regulator for I/O cell" "V1V8_SIGEN_0,V1V8_SIGEN_1" bitfld.long 0x3C 16.--18. "UHSMS,UHS Mode Select This field is used to select one of UHS-I modes or eMMC HS200 mode and is effective when 1.8V Signaling Enable is set to 1" "UHSMS_0,UHSMS_1,UHSMS_2,UHSMS_3,UHSMS_4,UHSMS_5,UHSMS_6,UHSMS_7" hexmask.long.byte 0x3C 8.--15. 1. "RESERVED," newline rbitfld.long 0x3C 7. "CNI,Command Not Issued By Auto CMD12 Error Setting this bit to 1 means CMD_wo_DAT is not executed due to an Auto CMD12 Error (D04-D01) in this register" "CNI_0_r,CNI_1_r" rbitfld.long 0x3C 5.--6. "RESERVED," "0,1,2,3" rbitfld.long 0x3C 4. "ACIE,Auto CMD Index Error - For Auto CMD12 and Auto CMD23 This bit is set if the Command Index error occurs in response to a command" "ACIE_0_r,ACIE_1_r" newline rbitfld.long 0x3C 3. "ACEB,Auto CMD End Bit Error - For Auto CMD12 and Auto CMD23 This bit is set when detecting that the end bit of command response is 0" "ACEB_0_r,ACEB_1_r" rbitfld.long 0x3C 2. "ACCE,Auto CMD CRC Error - For Auto CMD12 and Auto CMD23 This bit is set when detecting a CRC error in the command response" "ACCE_0_r,ACCE_1_r" rbitfld.long 0x3C 1. "ACTO,Auto CMD Timeout Error - For Auto CMD12 and Auto CMD23 This bit is set if no response is returned within 64 SDCLK cycles from the end bit of command" "ACTO_0_r,ACTO_1_r" newline rbitfld.long 0x3C 0. "ACNE,Auto CMD12 Not Executed If memory multiple block data transfer is not started due to command error this bit is not set because it is not necessary to issue Auto CMD12" "ACNE_0_r,ACNE_1_r" line.long 0x40 "MMCHS_CAPA,Capabilities Register This register lists the capabilities of the MMC/SD/SDIO host controller" rbitfld.long 0x40 30.--31. "RESERVED," "0,1,2,3" rbitfld.long 0x40 29. "AIS,Asynchronous Interrupt Support Refer to SDIO Specification Version 3.00 about asynchronous interrupt" "AIS_0_r,AIS_1_r" rbitfld.long 0x40 28. "BIT64,64 Bit System Bus Support Setting 1 to this bit indicates that the Host Controller supports 64-bit address descriptor mode and is connected to 64-bit address system bus" "BIT64_0_r,BIT64_1_r" newline rbitfld.long 0x40 27. "RESERVED," "0,1" bitfld.long 0x40 26. "VS18,Voltage support 1.8V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS18_0_r,VS18_1_r" bitfld.long 0x40 25. "VS30,Voltage support 3.0V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS30_0_r,VS30_1_r" newline bitfld.long 0x40 24. "VS33,Voltage support 3.3V Initialization of this register (via a write access to this register) depends on the system capabilities" "VS33_0_r,VS33_1_r" rbitfld.long 0x40 23. "SRS,Suspend/Resume support (SDIO cards only) This bit indicates whether the host controller supports Suspend/Resume functionality" "SRS_0_r,SRS_1_r" rbitfld.long 0x40 22. "DS,DMA support This bit indicates that the Host Controller is able to use DMA to transfer data between system memory and the Host Controller directly" "DS_0_r,DS_1_r" newline rbitfld.long 0x40 21. "HSS,High speed support This bit indicates that the host controller supports high speed operations and can supply an up-to maximum card frequency" "HSS_0_r,HSS_1_r" rbitfld.long 0x40 20. "RESERVED," "0,1" rbitfld.long 0x40 19. "AD2S,ADMA2 Support This bit indicates whether the Host Controller is capable of using ADMA2" "AD2S_0_r,AD2S_1_r" newline rbitfld.long 0x40 18. "RESERVED," "0,1" rbitfld.long 0x40 16.--17. "MBL,Maximum block length This value indicates the maximum block size that the host driver can read and write to the buffer in the host controller" "MBL_0_r,MBL_1_r,MBL_2_r,?" abitfld.long 0x40 8.--15. "BCF,Base Clock Frequency For SD Clock This value indicates the base (maximum) clock frequency for the SD Clock" "0x00=Get information via..,0x01=1MHz,0x02=2MHz,0xFF=255MHz" newline rbitfld.long 0x40 7. "TCU,Timeout clock unit This bit shows the unit of base clock frequency used to detect Data Timeout Error (MMCHS_STAT[DTO])" "TCU_0_r,TCU_1_r" rbitfld.long 0x40 6. "RESERVED," "0,1" rbitfld.long 0x40 0.--5. "TCF,Timeout clock frequency The timeout clock frequency is used to detect Data Timeout Error (MMCHS_STAT[DTO])" "TCF_0_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x44 "MMCHS_CAPA2,Capabilities 2 Register This register provides the Host Driver with information specific to the Host Controller implementation" hexmask.long.byte 0x44 24.--31. 1. "RESERVED," abitfld.long 0x44 16.--23. "CM,Clock Multiplier This field indicates clock multiplier value of programmable clock generator" "0x00=Clock Multiplier is Not Supported,0x01=Clock Multiplier M = 2,0x02=Clock Multiplier M = 3,0xFF=Clock Multiplier M = 256" bitfld.long 0x44 14.--15. "RTM,Re-Tuning Modes This field selects re-tuning method and limits the maximum data length" "RTM_0_r,RTM_1_r,RTM_2_r,RTM_3_r" newline bitfld.long 0x44 13. "TSDR50,Use Tuning for SDR50 If this bit is set to 1 this Host Controller requires tuning to operate SDR50" "TSDR50_0_r,TSDR50_1_r" bitfld.long 0x44 12. "RESERVED," "0,1" bitfld.long 0x44 8.--11. "TCRT,Timer Count for Re-Tuning This field indicates an initial value of the Re-Tuning Timer for Re-Tuning Mode 1 to 3" "TCRT_0_r,TCRT_1_r,TCRT_2_r,TCRT_3_r,TCRT_4_r,TCRT_5_r,TCRT_6_r,TCRT_7_r,TCRT_8_r,TCRT_9_r,TCRT_10_r,TCRT_11_r,TCRT_12_r,TCRT_13_r,TCRT_14_r,TCRT_15_r" newline bitfld.long 0x44 7. "RESERVED," "0,1" bitfld.long 0x44 6. "DTD,Driver Type D Support This bit indicates support of Driver Type D for 1.8 Signaling" "DTD_0_r,DTD_1_r" bitfld.long 0x44 5. "DTC,Driver Type C Support This bit indicates support of Driver Type C for 1.8 Signaling" "DTC_0_r,DTC_1_r" newline bitfld.long 0x44 4. "DTA,Driver Type A Support This bit indicates support of Driver Type A for 1.8 Signaling" "DTA_0_r,DTA_1_r" bitfld.long 0x44 3. "RESERVED," "0,1" bitfld.long 0x44 2. "DDR50,DDR50 Support" "DDR50_0_r,DDR50_1_r" newline bitfld.long 0x44 1. "SDR104,SDR104 Support SDR104 requires tuning" "SDR104_0_r,SDR104_1_r" bitfld.long 0x44 0. "SDR50,SDR50 Support If SDR104 is supported this bit shall be set to 1" "SDR50_0_r,SDR50_1_r" line.long 0x48 "MMCHS_CUR_CAPA,Maximum Current Capabilities Register This register indicates the maximum current capability for each voltage" hexmask.long.byte 0x48 24.--31. 1. "RESERVED," hexmask.long.byte 0x48 16.--23. 1. "CUR_1V8,Maximum current for 1.8V" hexmask.long.byte 0x48 8.--15. 1. "CUR_3V0,Maximum current for 3.0V" newline hexmask.long.byte 0x48 0.--7. 1. "CUR_3V3,Maximum current for 3.3V" group.long 0x250++0x0B line.long 0x00 "MMCHS_FE,Force Event Register for Auto CMD Error Status and Error Interrupt status The Force Event Register is not a physically implemented register" bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 29. "FE_BADA,Force Event Bad access to data space" "FE_BADA_0_w,FE_BADA_1_w" bitfld.long 0x00 28. "FE_CERR,Force Event Card error" "FE_CERR_0_w,FE_CERR_1_w" newline bitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" bitfld.long 0x00 25. "FE_ADMAE,Force Event ADMA Error" "FE_ADMAE_0_w,FE_ADMAE_1_w" bitfld.long 0x00 24. "FE_ACE,Force Event for Auto CMD Error - For Auto CMD12 and Auto CMD23" "FE_ACE_0_w,FE_ACE_1_w" newline bitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 22. "FE_DEB,Force Event Data End Bit error" "FE_DEB_0_w,FE_DEB_1_w" bitfld.long 0x00 21. "FE_DCRC,Force Event Data CRC Error" "FE_DCRC_0_w,FE_DCRC_1_w" newline bitfld.long 0x00 20. "FE_DTO,Force Event Data Timeout Error" "FE_DTO_0_w,FE_DTO_1_w" bitfld.long 0x00 19. "FE_CIE,Force Event Command Index Error" "FE_CIE_0_w,FE_CIE_1_w" bitfld.long 0x00 18. "FE_CEB,Force Event Command End Bit Error" "FE_CEB_0_w,FE_CEB_1_w" newline bitfld.long 0x00 17. "FE_CCRC,Force Event Command CRC Error" "FE_CCRC_0_w,FE_CCRC_1_w" bitfld.long 0x00 16. "FE_CTO,Command Timeout Error This bit is set automatically when no response is received within 64 clock cycles from the end bit of the command" "FE_CTO_0_w,FE_CTO_1_w" hexmask.long.byte 0x00 8.--15. 1. "RESERVED," newline bitfld.long 0x00 7. "FE_CNI,Force Event Command not issue by Auto CMD12 error" "FE_CNI_0_w,FE_CNI_1_w" bitfld.long 0x00 5.--6. "RESERVED," "0,1,2,3" bitfld.long 0x00 4. "FE_ACIE,Force Event for Auto CMD Index Error - For Auto CMD12 and Auto CMD23" "FE_ACIE_0_w,FE_ACIE_1_w" newline bitfld.long 0x00 3. "FE_ACEB,Force Event Auto CMD End Bit Error" "FE_ACEB_0_w,FE_ACEB_1_w" bitfld.long 0x00 2. "FE_ACCE,Force Event Auto CMD CRC Error" "FE_ACCE_0_w,FE_ACCE_1_w" bitfld.long 0x00 1. "FE_ACTO,Force Event Auto CMD Timeout Error" "FE_ACTO_0_w,FE_ACTO_1_w" newline bitfld.long 0x00 0. "FE_ACNE,Force Event Auto CMD12 Not Executed" "FE_ACNE_0_w,FE_ACNE_1_w" line.long 0x04 "MMCHS_ADMAES,ADMA Error Status Register When ADMA Error Interrupt is occurred. the ADMA Error States field in this register holds the ADMA state and the ADMA System Address Register holds the address around the error descriptor" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 2. "LME,ADMA Length Mismatch Error: (1) While Block Count Enable being set the total data length specified by the Descriptor table is different from that specified by the Block Count and Block Length" "LME_0,LME_1" bitfld.long 0x04 0.--1. "AES,ADMA Error StateThis field indicates the state of ADMA when error occurred during ADMA data transfer" "0,1,2,3" line.long 0x08 "MMCHS_ADMASAL,ADMA System address Low bits" rgroup.long 0x260++0x0F line.long 0x00 "MMCHS_PVINITSD,Preset Value for Initialization and Default Speed modes" bitfld.long 0x00 30.--31. "DSDS_SEL,Driver Strength Select Value - Default Speed mode Driver Strength is supported by 1.8V signaling bus speed modes" "DSDS_SEL_0_r,DSDS_SEL_1_r,DSDS_SEL_2_r,DSDS_SEL_3_r" bitfld.long 0x00 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 26. "DSCLKGEN_SEL,Clock Generator Select Value - Default Speed mode This bit is effective when Host Controller supports programmable clock generator" "DSCLKGEN_SEL_0_r,DSCLKGEN_SEL_1_r" newline hexmask.long.word 0x00 16.--25. 1. "DSSDCLK_SEL,SDCLK Frequency Select Value - Default Speed mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" bitfld.long 0x00 14.--15. "INITDS_SEL,Driver Strength Select Value - Initialization mode Driver Strength is supported by 1.8V signaling bus speed modes" "INITDS_SEL_0_r,INITDS_SEL_1_r,INITDS_SEL_2_r,INITDS_SEL_3_r" bitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "INITCLKGEN_SEL,Clock Generator Select Value - Initialization mode This bit is effective when Host Controller supports programmable clock generator" "INITCLKGEN_SEL_0_r,INITCLKGEN_SEL_1_r" hexmask.long.word 0x00 0.--9. 1. "INITSDCLK_SEL,SDCLK Frequency Select Value - Initialization mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x04 "MMCHS_PVHSSDR12,Preset Value for High Speed and SDR12 speed modes" bitfld.long 0x04 30.--31. "SDR12DS_SEL,Driver Strength Select Value - SDR12 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR12DS_SEL_0_r,SDR12DS_SEL_1_r,SDR12DS_SEL_2_r,SDR12DS_SEL_3_r" bitfld.long 0x04 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 26. "SDR12CLKGEN_SEL,Clock Generator Select Value - SDR12 mode This bit is effective when Host Controller supports programmable clock generator" "SDR12CLKGEN_SEL_0_r,SDR12CLKGEN_SEL_1_r" newline hexmask.long.word 0x04 16.--25. 1. "SDR12SDCLK_SEL,SDCLK Frequency Select Value - SDR12 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" bitfld.long 0x04 14.--15. "HSDS_SEL,Driver Strength Select Value - High Speed mode Driver Strength is supported by 1.8V signaling bus speed modes" "HSDS_SEL_0_r,HSDS_SEL_1_r,HSDS_SEL_2_r,HSDS_SEL_3_r" bitfld.long 0x04 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 10. "HSCLKGEN_SEL,Clock Generator Select Value - High Speed mode This bit is effective when Host Controller supports programmable clock generator" "HSCLKGEN_SEL_0_r,HSCLKGEN_SEL_1_r" hexmask.long.word 0x04 0.--9. 1. "HSSDCLK_SEL,SDCLK Frequency Select Value - High Speed mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x08 "MMCHS_PVSDR25SDR50,Preset Value for SDR25 and SDR50 speed modes" bitfld.long 0x08 30.--31. "SDR50DS_SEL,Driver Strength Select Value - SDR50 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR50DS_SEL_0_r,SDR50DS_SEL_1_r,SDR50DS_SEL_2_r,SDR50DS_SEL_3_r" bitfld.long 0x08 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 26. "SDR50CLKGEN_SEL,Clock Generator Select Value - SDR50 mode This bit is effective when Host Controller supports programmable clock generator" "SDR50CLKGEN_SEL_0_r,SDR50CLKGEN_SEL_1_r" newline hexmask.long.word 0x08 16.--25. 1. "SDR50SDCLK_SEL,SDCLK Frequency Select Value - SDR50 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" bitfld.long 0x08 14.--15. "SDR25DS_SEL,Driver Strength Select Value - SDR25 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR25DS_SEL_0_r,SDR25DS_SEL_1_r,SDR25DS_SEL_2_r,SDR25DS_SEL_3_r" bitfld.long 0x08 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 10. "SDR25CLKGEN_SEL,Clock Generator Select Value - SDR25 mode This bit is effective when Host Controller supports programmable clock generator" "SDR25CLKGEN_SEL_0_r,SDR25CLKGEN_SEL_1_r" hexmask.long.word 0x08 0.--9. 1. "SDR25SDCLK_SEL,SDCLK Frequency Select Value - SDR25 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" line.long 0x0C "MMCHS_PVSDR104DDR50,Preset Value for SDR104 and DDR50 speed modes" bitfld.long 0x0C 30.--31. "DDR50DS_SEL,Driver Strength Select Value - DDR50 mode Driver Strength is supported by 1.8V signaling bus speed modes" "DDR50DS_SEL_0_r,DDR50DS_SEL_1_r,DDR50DS_SEL_2_r,DDR50DS_SEL_3_r" bitfld.long 0x0C 27.--29. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x0C 26. "DDR50CLKGEN_SEL,Clock Generator Select Value - DDR50 mode This bit is effective when Host Controller supports programmable clock generator" "DDR50CLKGEN_SEL_0_r,DDR50CLKGEN_SEL_1_r" newline hexmask.long.word 0x0C 16.--25. 1. "DDR50SDCLK_SEL,SDCLK Frequency Select Value - DDR50 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" bitfld.long 0x0C 14.--15. "SDR104DS_SEL,Driver Strength Select Value - SDR104 mode Driver Strength is supported by 1.8V signaling bus speed modes" "SDR104DS_SEL_0_r,SDR104DS_SEL_1_r,SDR104DS_SEL_2_r,SDR104DS_SEL_3_r" bitfld.long 0x0C 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 10. "SDR104CLKGEN_SEL,Clock Generator Select Value - SDR104 mode This bit is effective when Host Controller supports programmable clock generator" "SDR104CLKGEN_SEL_0_r,SDR104CLKGEN_SEL_1_r" hexmask.long.word 0x0C 0.--9. 1. "SDR104SDCLK_SEL,SDCLK Frequency Select Value - SDR104 mode 10-bit preset value to set MMCHS_SYSCTL[15:6] CLKD is described by a host system" rgroup.long 0x2FC++0x03 line.long 0x00 "MMCHS_REV,Versions Register This register contains the hard coded RTL vendor revision number. the version number of SD specification compliancy and a slot status bit" hexmask.long.byte 0x00 24.--31. 1. "VREV,Vendor Version Number: IP revision [7:4] Major revision [3:0] Minor revision Examples: 0x10 for 1.0 0x21 for 2.1" hexmask.long.byte 0x00 16.--23. 1. "SREV,Specification Version Number This status indicates the Host Controller Spec" hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "SIS,Slot Interrupt Status This status bit indicates the inverted state of interrupt signal for the module" "0,1" tree.end repeat.end tree "MMC1_TARG" base ad:0x4809D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MMC2_TARG" base ad:0x480B5000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MMC3_TARG" base ad:0x480AE000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MMC4_TARG" base ad:0x480D2000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MMU1_TARG" base ad:0x44002200 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "MMU1_TARG" base ad:0x4881D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MMU2_TARG" base ad:0x44002800 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "MMU2_TARG" base ad:0x4881F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "MPU_AXI2OCP_MISC" base ad:0x482A2000 group.long 0x00++0x03 line.long 0x00 "MA_PRIORITY,Memory adapter priority register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "HIMEM_INTERLEAVE_UN,HIMEM_INTERLEAVE_UN" "0,1" rbitfld.long 0x00 3.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 0.--2. "PRIORITY,MPU_MA priority value" "0,1,2,3,4,5,6,7" tree.end tree "MPU_MA_WP" base ad:0x482AF200 rgroup.long 0x00++0x43 line.long 0x00 "DBG_HWWP_CAP,Debug Watchpoint Capabilities Register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 15. "HWWP_MEM_CHAIN_REG_PRESENT,Memory Barrier Chain Control Register implementation" "Not present,Present" newline bitfld.long 0x00 14. "HWWP_TRANS_ATTR1_REG_PRESENT,Transaction Attribute 1 Register implementation" "Not present,Present" newline bitfld.long 0x00 13. "HWWP_TRANS_ATTR0_REG_PRESENT,Transaction Attribute 0 Register implementation" "Not present,Present" newline bitfld.long 0x00 12. "HWWP_AUX_CNTL_REG_PRESENT,Auxillary Control Register implementation" "Not present,Present" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 8.--10. "DATA_WIDTH,Data Bus Width" "8 bits,16 bits,32 bits,64 bits,128 bits All other..,?..." newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 4.--6. "ADDR_WIDTH,Address Bus Width" "8 bits,16 bits,24 bits,32 bits,36 bits,40 bits,64 bits,Reserved" newline bitfld.long 0x00 0.--3. "NUM_WP,Number of Watchpoints supported (0-15)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "TRIG_CTRL,Trigger Control Register" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0. "TRIG_EN," "0,1" line.long 0x08 "DBG_HWWP0_LW_ADDR0,Debug Watchpoint Addr0 Register (lower order bits 31:0)" line.long 0x0C "DBG_HWWP0_HG_ADDR0,Debug Watchpoint Addr0 Register (higher order bits 39:32)" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x0C 0.--7. 1. "HIGHER_ORDER_WP_ADDR,The byte-addressable higher order AXI-4 physical watchpoint address to monitor" line.long 0x10 "DBG_HWWP0_MAIN_CNTL,Debug Watchpoint Main Control Register" bitfld.long 0x10 31. "TRIG,Watchpoint trigger" "Watchpoint not triggered,Watchpoint has triggered (Reset upon 0->1.." newline hexmask.long.byte 0x10 24.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x10 20.--23. "BEAT_SEL,Beat Select (This parameter decides upon for which beat of the burst the data byte lanes should be captured data)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 16. "RESERVED,Reserved" "0,1" newline bitfld.long 0x10 14.--15. "SUPERVISOR_USER_ACCESS,Supervisor/User access" "Reserved,User,Supervisor,No preference" newline bitfld.long 0x10 12.--13. "SECURE_ACCESS,Secure/Non-secure access" "Reserved,Non-secure,Secure,No preference" newline rbitfld.long 0x10 11. "RESERVED,Reserved" "0,1" newline bitfld.long 0x10 5.--10. "WP_ADDR_MASK,Watchpoint address mask (bits to ignore)" "Ignore address bit 0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Ignore address bit 39,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Reserved" newline bitfld.long 0x10 4. "WP_MATCH_CRITERIA,Watchpoint match criteria" "Match if access within address range to include..,Match if access outside address range" newline bitfld.long 0x10 1.--3. "WP_LS_ACCESS,Watchpoint Load/Store access" "(Load) Load exclusive or swap,(Store) Store exclusive or swap (non-posted),(Store) Store exclusive or swap (posted),Any type of store 0x4 0x5,?,?,Reserved,No preference (valid only if CHAIN_WP_EN=0;.." newline bitfld.long 0x10 0. "WP_EN,Watchpoint enable" "Disable the watchpoint,Enable the watchpoint" line.long 0x14 "DBG_HWWP0_AUX_CNTL,Debug Watchpoint Auxilliary Control Register" hexmask.long.word 0x14 16.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 14.--15. "MA_SPLIT_TARG,MA splitter target" "Reserved,AXI2OCP bridge,EMIF,No preference" newline hexmask.long.byte 0x14 7.--13. 1. "RESERVED,Reserved" newline bitfld.long 0x14 4.--6. "INITIATOR_ID,Initiator ID" "CPU_0,CPU_1,CPU_2,CPU_3,Unknown source (ACP FEQ etc),CMU,Reserved,No preference" newline rbitfld.long 0x14 2.--3. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x14 0.--1. "ACCESS_TYPE,Access type" "Reserved,Instructions,Data/others,No preference" line.long 0x18 "DBG_HWWP0_MEM_CNTL,Debug Watchpoint Memory Barrier Control Register" bitfld.long 0x18 31. "MEM_BAR_TRIG,Memory barrier trigger" "Memory Barrier Watchpoint not triggered,Memory Barrier Watchpoint has triggered (Reset.." newline hexmask.long 0x18 5.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x18 3.--4. "MEM_BAR_ACCESS_TYPE,Type of memory barrier access" "Reserved,,,Don't care.." newline bitfld.long 0x18 1.--2. "MEM_BAR_TYPE,Memory barrier type" "Reserved,DSB,DMB,No preference" newline bitfld.long 0x18 0. "MEM_BAR_WP_EN,Memory barrier watchpoint enable" "Disable the watchpoint,Enable the watchpoint" line.long 0x1C "DBG_HWWP0_CHAIN_CNTL,Debug Watchpoint Data/Memory Barrier Chain Control Register" bitfld.long 0x1C 31. "CHAIN_WP_TRIG,Chained watchpoints (memory barrier and data watchpoint) trigger" "Chained Watchpoints not triggered,Chained Watchpoints have triggered (Reset upon.." newline hexmask.long 0x1C 2.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 1. "CHAIN_TYPE,Chain type" "Watchpoint match then memory barrier match,Memory barrier match then watchpoint match" newline bitfld.long 0x1C 0. "CHAIN_WP_EN,Chained watchpoints (memory barrier and data watchpoint) enable" "Disable the chained watchpoints,Enable the chained watchpoints" line.long 0x20 "DBG_HWWP0_LW_ADDR0_LOG,Debug Watchpoint Addr0 Log Register (lower order bits 31:0)" line.long 0x24 "DBG_HWWP0_HG_ADDR0_LOG,Debug Watchpoint Addr0 Log Register (higher order bits 39:32)" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "WP_ADDR_HIGHER_ORDER_BITS,Watchpoint address higher order bits (bits 39:32) (The byte-addressable higher order AXI-4 physical watchpoint address bits which results in a match)" line.long 0x28 "DBG_HWWP0_DATA0_LOG,Debug Watchpoint Data Log Register (bits 31:0)" line.long 0x2C "DBG_HWWP0_DATA1_LOG,Debug Watchpoint Data Log Register (bits 63:32)" line.long 0x30 "DBG_HWWP0_DATA2_LOG,Debug Watchpoint Data Log Register (bits 95:64)" line.long 0x34 "DBG_HWWP0_DATA3_LOG,Debug Watchpoint Data Log Register (bits 127:96)" line.long 0x38 "DBG_HWWP0_TRANS_ATTR0_LOG,Debug Watchpoint Transaction Attributes 0 Log Register" bitfld.long 0x38 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x38 24.--25. "RESP_INFO,Response info" "Reserved,Okay,Request failed,Request error" newline bitfld.long 0x38 23. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 20.--22. "INIT_INFO,Initiator info" "CPU_0,CPU_1,CPU_2,CPU_3,Unknown source (ACP FEQ etc),CMU,?,Reserved" newline bitfld.long 0x38 19. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 16.--18. "TARGET_INFO,Target info" "AXI2OCP,EMIF All other..,?..." newline bitfld.long 0x38 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x38 10.--12. "TRANS_TYPE,Transaction type (The type of transaction which results in a watchpoint match and is protocol independent. Not all protocols support all transaction types)" "Reserved,Write posted,,Read exclusive,Read linked,Write non-posted,Write conditional,Broadcast" newline bitfld.long 0x38 4.--9. "BURST_LENGTH,Burst length (The length of the burst which results in a watchpoint match)" "?,Burst length = 1 (min value),Burst length = 2,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Burst length = 63 (max value)" newline bitfld.long 0x38 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 0.--2. "BURST_TYPE,Burst type" "Incrementing,Wrapping,?,Fixed (streaming) All other..,?..." line.long 0x3C "DBG_HWWP0_TRANS_ATTR1_LOG,Debug Watchpoint Transaction Attributes 1 Log Register" hexmask.long 0x3C 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x3C 2. "DATA,Data access/Instruction fetch" "Other data PLE eviction,Instruction" newline bitfld.long 0x3C 1. "SUPERVISOR,Supervisor/User access" "User,Supervisor" newline bitfld.long 0x3C 0. "SECURE,Secure/Non-secure access" "Non-secure,Secure" line.long 0x40 "DBG_HWWP0_DATA_TRANS_ATTR0_LOG,Debug Watchpoint Data Transaction Attributes 0 Log Register" hexmask.long.word 0x40 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x40 0.--15. 1. "BYTE_EN,Byte enable (Byte enables for the 128-bit of data captured for the transaction match)" tree.end tree "MPU_PRCM_CM_C0" base ad:0x48243600 group.long 0x00++0x03 line.long 0x00 "CM_CPU0_CLKSTCTRL,This register enables the CPU domain power state transition" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the full domain transition of the CPU domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" rgroup.long 0x20++0x03 line.long 0x00 "CM_CPU0_CPU0_CLKCTRL,This register manages the CPU clocks" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "STBYST,Module standby status" "STBYST_0_r,STBYST_1_r" tree.end tree "MPU_PRCM_CM_C1" base ad:0x48243A00 group.long 0x00++0x03 line.long 0x00 "CM_CPU1_CLKSTCTRL,This register enables the MPU domain power state transition" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the full domain transition of the CPU domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" rgroup.long 0x20++0x03 line.long 0x00 "CM_CPU1_CPU1_CLKCTRL,This register manages the MPU clocks" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "STBYST,Module standby status" "STBYST_0_r,STBYST_1_r" tree.end tree "MPU_PRCM_DEVICE" base ad:0x48243200 group.long 0x00++0x07 line.long 0x00 "PRM_RSTST,This register logs the global reset sources. thus contains information regarding the cold/warm reset events generated by global PRCM" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "GLOBAL_WARM_RST,Global warm reset event generated by global PRCM" "GLOBAL_WARM_RST_0,GLOBAL_WARM_RST_1" bitfld.long 0x00 0. "GLOBAL_COLD_RST,Power-on (cold) reset event generated by global PRCM" "GLOBAL_COLD_RST_0,GLOBAL_COLD_RST_1" line.long 0x04 "PRM_PSCON_COUNT,Programmable precharge count for L1cache" rbitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "HG_RAMPUP,Ramp-up mode selection of HG power chain switch" "HG_RAMPUP_0,HG_RAMPUP_1" bitfld.long 0x04 24. "HG_EN,HG power chain switch enable" "HG_EN_0,HG_EN_1" newline hexmask.long.byte 0x04 16.--23. 1. "HG_PONOUT_2_PGDOODIN_TIME,The value set in this field determines the slow ramp-up time and the duration (number of cycles) of the PONOUTHG to PGOODINHG (transition for power domain without DPS)" hexmask.long.byte 0x04 8.--15. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 0.--7. 1. "PCHARGE_TIME,Programmable precharge count during retention" group.long 0x10++0x07 line.long 0x00 "PRM_FRAC_INCREMENTER_NUMERATOR,Fractional incrementor" rbitfld.long 0x00 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--27. 1. "ABE_LP_MODE_NUMERATOR,Numerator to be used in fractional incrementor when ABE_LP_CLK clock is used as PRCM clock" rbitfld.long 0x00 12.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 0.--11. 1. "SYS_MODE_NUMERATOR,Numerator to be used in fractional incrementor when SYS_CLK1 is used as PRCM clock.NOTE: The reset value corresponds to SYS_CLK1 = 38.4 MHz" line.long 0x04 "PRM_FRAC_INCREMENTER_DENUMERATOR_RELOAD,Reload command and denominator to be used in fractional incrementor" hexmask.long.word 0x04 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 16. "RELOAD,Reload counter value from coarse counter" "0,1" rbitfld.long 0x04 12.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x04 0.--11. 1. "DENOMINATOR,Denominator to be used in fractional incrementor when when SYS_CLK1 is used as PRCM clock.NOTE: The reset value corresponds to SYS_CLK1 = 38.4 MHz" tree.end tree "MPU_PRCM_OCP_SOCKET" base ad:0x48243000 rgroup.long 0x00++0x03 line.long 0x00 "REVISION_PRCM_MPU,IP Revision register" tree.end tree "MPU_PRCM_PRM_C0" base ad:0x48243400 group.long 0x00++0x07 line.long 0x00 "PM_CPU0_PWRSTCTRL,This register controls the CPU domain power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 16.--17. "L1_BANK_ONSTATE,CPU_L1 memory state when domain is ON" "?,?,?,L1_BANK_ONSTATE_3_r" hexmask.long.byte 0x00 9.--15. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 8. "L1_BANK_RETSTATE,CPU_L1 memory state when domain is RETENTION" "?,L1_BANK_RETSTATE_1_r" rbitfld.long 0x00 3.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "?,LOGICRETSTATE_1_r" newline bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_CPU0_PWRSTST,This register provides a status on the CPU domain current power state" rbitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0_r,INTRANSITION_1_r" hexmask.long.word 0x04 6.--19. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--5. "L1_BANK_STATEST,CPU_L1 memory state status" "L1_BANK_STATEST_0_r,L1_BANK_STATEST_1_r,L1_BANK_STATEST_2_r,L1_BANK_STATEST_3_r" newline rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0_r,LOGICSTATEST_1_r" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0_r,POWERSTATEST_1_r,POWERSTATEST_2_r,POWERSTATEST_3_r" group.long 0x10++0x07 line.long 0x00 "RM_CPU0_CPU0_RSTCTRL,This register controls the assertion/release of the CPU CORE reset" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "RST,CPU warm local reset control" "RST_0,RST_1" line.long 0x04 "RM_CPU0_CPU0_RSTST,This register logs the different reset sources of the MPU domain" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 1. "DBGRST_REQ_RSTST,MPU_C0 processor has been reset due to MPU_C0 emulation reset request driven from MPUSS" "DBGRST_REQ_RSTST_0_r,DBGRST_REQ_RSTST_1_r" bitfld.long 0x04 0. "RSTST,MPU_C0 software reset" "RSTST_0_r,RSTST_1_r" group.long 0x24++0x03 line.long 0x00 "RM_CPU0_CPU0_CONTEXT,This register contains dedicated CPU context statuses" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "LOSTMEM_CPU_L1,Specify if memory-based context in CPU_L1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_CPU_L1_0,LOSTMEM_CPU_L1_1" hexmask.long.byte 0x00 1.--7. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "MPU_PRCM_PRM_C1" base ad:0x48243800 group.long 0x00++0x07 line.long 0x00 "PM_CPU1_PWRSTCTRL,This register controls the CPU domain power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 16.--17. "L1_BANK_ONSTATE,CPU_L1 memory state when domain is ON" "?,?,?,L1_BANK_ONSTATE_3_r" hexmask.long.byte 0x00 9.--15. 1. "RESERVED,Reserved" newline rbitfld.long 0x00 8. "L1_BANK_RETSTATE,CPU L1 memory state when domain is RETENTION" "?,L1_BANK_RETSTATE_1_r" bitfld.long 0x00 7. "FORCED_OFF,Selects if logic must be forced in OFF state" "FORCED_OFF_0,FORCED_OFF_1" rbitfld.long 0x00 3.--6. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "?,LOGICRETSTATE_1_r" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_CPU1_PWRSTST,This register provides a status on the CPU domain current power state" rbitfld.long 0x04 26.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low-power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0_r,INTRANSITION_1_r" hexmask.long.word 0x04 6.--19. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--5. "L1_BANK_STATEST,CPU_L1 memory state status" "L1_BANK_STATEST_0_r,L1_BANK_STATEST_1_r,L1_BANK_STATEST_2_r,L1_BANK_STATEST_3_r" newline rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0_r,LOGICSTATEST_1_r" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0_r,POWERSTATEST_1_r,POWERSTATEST_2_r,POWERSTATEST_3_r" group.long 0x10++0x07 line.long 0x00 "RM_CPU1_CPU1_RSTCTRL,This register controls the assertion/release of the CPU CORE reset" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "RST,CPU warm local reset control" "RST_0,RST_1" line.long 0x04 "RM_CPU1_CPU1_RSTST,This register logs the different reset sources of the MPU domain" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 1. "DBGRST_REQ_RSTST,MPU_C1 processor has been reset due to MPU_C0 emulation reset request driven from MPUSS" "DBGRST_REQ_RSTST_0_r,DBGRST_REQ_RSTST_1_r" bitfld.long 0x04 0. "RSTST,MPU_C1 software reset" "RSTST_0_r,RSTST_1_r" group.long 0x24++0x03 line.long 0x00 "RM_CPU1_CPU1_CONTEXT,This register contains dedicated CPU context statuses" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "LOSTMEM_CPU_L1,Specify if memory-based context in CPU_L1 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_CPU_L1_0,LOSTMEM_CPU_L1_1" hexmask.long.byte 0x00 1.--7. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "MPU_PRM" base ad:0x4AE06300 group.long 0x00++0x07 line.long 0x00 "PM_MPU_PWRSTCTRL,This register controls the MPU domain power state to reach upon a domain sleep transition" hexmask.long.word 0x00 22.--31. 1. "RESERVED," rbitfld.long 0x00 20.--21. "MPU_RAM_ONSTATE,MPU_RAM memory state when domain is ON" "?,?,?,MPU_RAM_ONSTATE_3" rbitfld.long 0x00 18.--19. "MPU_L2_ONSTATE,MPU_L2 memory state when domain is ON" "?,?,?,MPU_L2_ONSTATE_3" newline hexmask.long.byte 0x00 11.--17. 1. "RESERVED," rbitfld.long 0x00 10. "MPU_RAM_RETSTATE,MPU_RAM memory state when domain is RETENTION" "?,MPU_RAM_RETSTATE_1" bitfld.long 0x00 9. "MPU_L2_RETSTATE,MPU_L2 memory state when domain is RETENTION" "MPU_L2_RETSTATE_0,MPU_L2_RETSTATE_1" newline rbitfld.long 0x00 5.--8. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,?" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,LOGICRETSTATE_1" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_MPU_PWRSTST,This register provides a status on the MPU domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 10.--19. 1. "RESERVED," rbitfld.long 0x04 8.--9. "MPU_RAM_STATEST,MPU_RAM memory state status" "MPU_RAM_STATEST_0,MPU_RAM_STATEST_1,MPU_RAM_STATEST_2,MPU_RAM_STATEST_3" newline rbitfld.long 0x04 6.--7. "MPU_L2_STATEST,MPU_L2 memory state status" "MPU_L2_STATEST_0,MPU_L2_STATEST_1,MPU_L2_STATEST_2,MPU_L2_STATEST_3" rbitfld.long 0x04 3.--5. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" newline rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x24++0x03 line.long 0x00 "RM_MPU_MPU_CONTEXT,This register contains dedicated MPU context statuses" hexmask.long.tbyte 0x00 11.--31. 1. "RESERVED," bitfld.long 0x00 10. "LOSTMEM_MPU_RAM,Specify if memory-based context in MPU_RAM memory bank has been lost due to a previous power transition or other reset source (not affected by a global warm reset)" "LOSTMEM_MPU_RAM_0,LOSTMEM_MPU_RAM_1" bitfld.long 0x00 9. "LOSTMEM_MPU_L2,Specify if memory-based context in MPU_L2 memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_MPU_L2_0,LOSTMEM_MPU_L2_1" newline hexmask.long.byte 0x00 2.--8. 1. "RESERVED," bitfld.long 0x00 1. "LOSTCONTEXT_RFF,Specify if RFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_RFF_0,LOSTCONTEXT_RFF_1" bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "MPU_WD_TIMER" base ad:0x482A0000 repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x14)++0x03 line.long 0x00 "WDT_RESET_STATUS_REGISTER_i_$1,The TO bit indicated that this MPU_WD_TIMER_Cx has timed out" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "WARN,Warning" "0,1" newline bitfld.long 0x00 0. "TO,Timeout" "0,1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x10)++0x03 line.long 0x00 "WDT_CONTROL_REGISTER_i_$1,This register controls the behavior of the MPU_WD_TIMER_Cx" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 8. "WARNEN,Warning Interrupt Enable" "0,1" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "MPUSSRSTEN,MPUSS Reset Enable" "0,1" newline rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "INTREN,Interrupt Enable" "0,1" newline bitfld.long 0x00 0. "ENABLE,Enable for MPU_WD_TIMER_Cx" "MPU_WD_TIMER_Cx is disabled,MPU_WD_TIMER_Cx is enabled" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x0C)++0x03 line.long 0x00 "WDT_PRESCALER_REGISTER_i_$1,This register is used to set the count rate of the MPU_WD_TIMER_Cx counter" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--9. 1. "PRESCALER,Sets the prescaler ratio.WDT_COUNT_REGISTER_i decrements every (PRESCALER + 1) MPU_DPLL_CLK clocks" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x08)++0x03 line.long 0x00 "WDT_WARNING_REGISTER_i_$1,The is compared to" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x04)++0x03 line.long 0x00 "WDT_COUNT_REGISTER_i_$1,This register is a 32-bit decrementing counter" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x00)++0x03 line.long 0x00 "WDT_LOAD_REGISTER_i_$1,When a new value is stored in this register. the is immediately loaded with this value and the prescaler state is cleared" repeat.end tree.end tree "MPU_WUGEN" base ad:0x48281000 group.long 0x00++0x03 line.long 0x00 "WKG_CONTROL_0,Wake-up generator control and status register for MPU_C0" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 15. "DOMAINRESET,MPU always-on power domain (PD_MPUAON) reset status bit" "no reset occur,reset occur" newline bitfld.long 0x00 14. "MPU_WARM_RESET,This bit is set when the MPU_WARM_RESET signal is asserted" "MPU_WARM_RESET reset signal has not been asserted,MPU_WARM_RESET reset request has been asserted" newline bitfld.long 0x00 13. "MPU_COLD_RESET,This bit is set when the MPU_COLD_RESET signal is asserted" "MPU_COLD_RESET reset signal has not been asserted,MPU_COLD_RESET reset request has been asserted" newline rbitfld.long 0x00 11.--12. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 10. "EVENTO,EVENTO status bit" "Rising edge of EVENTO is not detected,Rising edge of EVENTO is detected" newline bitfld.long 0x00 9. "STANDBYWFE,This bit gives software the visibility to track whether WFE mode have been entered" "WFE mode has not been entered,WFE mode has been entered" newline bitfld.long 0x00 8. "STANDBYWFI,This bit gives software the visibility to track whether WFI mode have been entered" "WFI mode has not been entered,WFI mode has been entered" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" group.long 0x10++0x13 line.long 0x00 "WKG_ENB_A_0,Wake-up interrupt enable register for MPU_C0 (interrupts MPU_IRQ_0 to MPU_IRQ_31)" bitfld.long 0x00 31. "WKG_ENB_FOR_INTR31,Wakeup enable for interrupt line MPU_IRQ_31" "0,1" newline bitfld.long 0x00 30. "WKG_ENB_FOR_INTR30,Wakeup enable for interrupt line MPU_IRQ_30" "0,1" newline bitfld.long 0x00 29. "WKG_ENB_FOR_INTR29,Wakeup enable for interrupt line MPU_IRQ_29" "0,1" newline bitfld.long 0x00 28. "WKG_ENB_FOR_INTR28,Wakeup enable for interrupt line MPU_IRQ_28" "0,1" newline bitfld.long 0x00 27. "WKG_ENB_FOR_INTR27,Wakeup enable for interrupt line MPU_IRQ_27" "0,1" newline bitfld.long 0x00 26. "WKG_ENB_FOR_INTR26,Wakeup enable for interrupt line MPU_IRQ_26" "0,1" newline bitfld.long 0x00 25. "WKG_ENB_FOR_INTR25,Wakeup enable for interrupt line MPU_IRQ_25" "0,1" newline bitfld.long 0x00 24. "WKG_ENB_FOR_INTR24,Wakeup enable for interrupt line MPU_IRQ_24" "0,1" newline bitfld.long 0x00 23. "WKG_ENB_FOR_INTR23,Wakeup enable for interrupt line MPU_IRQ_23" "0,1" newline bitfld.long 0x00 22. "WKG_ENB_FOR_INTR22,Wakeup enable for interrupt line MPU_IRQ_22" "0,1" newline bitfld.long 0x00 21. "WKG_ENB_FOR_INTR21,Wakeup enable for interrupt line MPU_IRQ_21" "0,1" newline bitfld.long 0x00 20. "WKG_ENB_FOR_INTR20,Wakeup enable for interrupt line MPU_IRQ_20" "0,1" newline bitfld.long 0x00 19. "WKG_ENB_FOR_INTR19,Wakeup enable for interrupt line MPU_IRQ_19" "0,1" newline bitfld.long 0x00 18. "WKG_ENB_FOR_INTR18,Wakeup enable for interrupt line MPU_IRQ_18" "0,1" newline bitfld.long 0x00 17. "WKG_ENB_FOR_INTR17,Wakeup enable for interrupt line MPU_IRQ_17" "0,1" newline bitfld.long 0x00 16. "WKG_ENB_FOR_INTR16,Wakeup enable for interrupt line MPU_IRQ_16" "0,1" newline bitfld.long 0x00 15. "WKG_ENB_FOR_INTR15,Wakeup enable for interrupt line MPU_IRQ_15" "0,1" newline bitfld.long 0x00 14. "WKG_ENB_FOR_INTR14,Wakeup enable for interrupt line MPU_IRQ_14" "0,1" newline bitfld.long 0x00 13. "WKG_ENB_FOR_INTR13,Wakeup enable for interrupt line MPU_IRQ_13" "0,1" newline bitfld.long 0x00 12. "WKG_ENB_FOR_INTR12,Wakeup enable for interrupt line MPU_IRQ_12" "0,1" newline bitfld.long 0x00 11. "WKG_ENB_FOR_INTR11,Wakeup enable for interrupt line MPU_IRQ_11" "0,1" newline bitfld.long 0x00 10. "WKG_ENB_FOR_INTR10,Wakeup enable for interrupt line MPU_IRQ_10" "0,1" newline bitfld.long 0x00 9. "WKG_ENB_FOR_INTR9,Wakeup enable for interrupt line MPU_IRQ_9" "0,1" newline bitfld.long 0x00 8. "WKG_ENB_FOR_INTR8,Wakeup enable for interrupt line MPU_IRQ_8" "0,1" newline bitfld.long 0x00 7. "WKG_ENB_FOR_INTR7,Wakeup enable for interrupt line MPU_IRQ_7" "0,1" newline bitfld.long 0x00 6. "WKG_ENB_FOR_INTR6,Wakeup enable for interrupt line MPU_IRQ_6" "0,1" newline bitfld.long 0x00 5. "WKG_ENB_FOR_INTR5,Wakeup enable for interrupt line MPU_IRQ_5" "0,1" newline bitfld.long 0x00 4. "WKG_ENB_FOR_INTR4,Wakeup enable for interrupt line MPU_IRQ_4" "0,1" newline bitfld.long 0x00 3. "WKG_ENB_FOR_INTR3,Wakeup enable for interrupt line MPU_IRQ_3" "0,1" newline bitfld.long 0x00 2. "WKG_ENB_FOR_INTR2,Wakeup enable for interrupt line MPU_IRQ_2" "0,1" newline bitfld.long 0x00 1. "WKG_ENB_FOR_INTR1,Wakeup enable for interrupt line MPU_IRQ_1" "0,1" newline bitfld.long 0x00 0. "WKG_ENB_FOR_INTR0,Wakeup enable for interrupt line MPU_IRQ_0" "0,1" line.long 0x04 "WKG_ENB_B_0,Wake-up interrupt enable register for MPU_C0 (interrupts MPU_IRQ_32 to MPU_IRQ_63)" bitfld.long 0x04 31. "WKG_ENB_FOR_INTR63,Wakeup enable for interrupt line MPU_IRQ_63" "0,1" newline bitfld.long 0x04 30. "WKG_ENB_FOR_INTR62,Wakeup enable for interrupt line MPU_IRQ_62" "0,1" newline bitfld.long 0x04 29. "WKG_ENB_FOR_INTR61,Wakeup enable for interrupt line MPU_IRQ_61" "0,1" newline bitfld.long 0x04 28. "WKG_ENB_FOR_INTR60,Wakeup enable for interrupt line MPU_IRQ_60" "0,1" newline bitfld.long 0x04 27. "WKG_ENB_FOR_INTR59,Wakeup enable for interrupt line MPU_IRQ_59" "0,1" newline bitfld.long 0x04 26. "WKG_ENB_FOR_INTR58,Wakeup enable for interrupt line MPU_IRQ_58" "0,1" newline bitfld.long 0x04 25. "WKG_ENB_FOR_INTR57,Wakeup enable for interrupt line MPU_IRQ_57" "0,1" newline bitfld.long 0x04 24. "WKG_ENB_FOR_INTR56,Wakeup enable for interrupt line MPU_IRQ_56" "0,1" newline bitfld.long 0x04 23. "WKG_ENB_FOR_INTR55,Wakeup enable for interrupt line MPU_IRQ_55" "0,1" newline bitfld.long 0x04 22. "WKG_ENB_FOR_INTR54,Wakeup enable for interrupt line MPU_IRQ_54" "0,1" newline bitfld.long 0x04 21. "WKG_ENB_FOR_INTR53,Wakeup enable for interrupt line MPU_IRQ_53" "0,1" newline bitfld.long 0x04 20. "WKG_ENB_FOR_INTR52,Wakeup enable for interrupt line MPU_IRQ_52" "0,1" newline bitfld.long 0x04 19. "WKG_ENB_FOR_INTR51,Wakeup enable for interrupt line MPU_IRQ_51" "0,1" newline bitfld.long 0x04 18. "WKG_ENB_FOR_INTR50,Wakeup enable for interrupt line MPU_IRQ_50" "0,1" newline bitfld.long 0x04 17. "WKG_ENB_FOR_INTR49,Wakeup enable for interrupt line MPU_IRQ_49" "0,1" newline bitfld.long 0x04 16. "WKG_ENB_FOR_INTR48,Wakeup enable for interrupt line MPU_IRQ_48" "0,1" newline bitfld.long 0x04 15. "WKG_ENB_FOR_INTR47,Wakeup enable for interrupt line MPU_IRQ_47" "0,1" newline bitfld.long 0x04 14. "WKG_ENB_FOR_INTR46,Wakeup enable for interrupt line MPU_IRQ_46" "0,1" newline bitfld.long 0x04 13. "WKG_ENB_FOR_INTR45,Wakeup enable for interrupt line MPU_IRQ_45" "0,1" newline bitfld.long 0x04 12. "WKG_ENB_FOR_INTR44,Wakeup enable for interrupt line MPU_IRQ_44" "0,1" newline bitfld.long 0x04 11. "WKG_ENB_FOR_INTR43,Wakeup enable for interrupt line MPU_IRQ_43" "0,1" newline bitfld.long 0x04 10. "WKG_ENB_FOR_INTR42,Wakeup enable for interrupt line MPU_IRQ_42" "0,1" newline bitfld.long 0x04 9. "WKG_ENB_FOR_INTR41,Wakeup enable for interrupt line MPU_IRQ_41" "0,1" newline bitfld.long 0x04 8. "WKG_ENB_FOR_INTR40,Wakeup enable for interrupt line MPU_IRQ_40" "0,1" newline bitfld.long 0x04 7. "WKG_ENB_FOR_INTR39,Wakeup enable for interrupt line MPU_IRQ_39" "0,1" newline bitfld.long 0x04 6. "WKG_ENB_FOR_INTR38,Wakeup enable for interrupt line MPU_IRQ_38" "0,1" newline bitfld.long 0x04 5. "WKG_ENB_FOR_INTR37,Wakeup enable for interrupt line MPU_IRQ_37" "0,1" newline bitfld.long 0x04 4. "WKG_ENB_FOR_INTR36,Wakeup enable for interrupt line MPU_IRQ_36" "0,1" newline bitfld.long 0x04 3. "WKG_ENB_FOR_INTR35,Wakeup enable for interrupt line MPU_IRQ_35" "0,1" newline bitfld.long 0x04 2. "WKG_ENB_FOR_INTR34,Wakeup enable for interrupt line MPU_IRQ_34" "0,1" newline bitfld.long 0x04 1. "WKG_ENB_FOR_INTR33,Wakeup enable for interrupt line MPU_IRQ_33" "0,1" newline bitfld.long 0x04 0. "WKG_ENB_FOR_INTR32,Wakeup enable for interrupt line MPU_IRQ_32" "0,1" line.long 0x08 "WKG_ENB_C_0,Wake-up interrupt enable register for MPU_C0 (interrupts MPU_IRQ_64 to MPU_IRQ_95)" bitfld.long 0x08 31. "WKG_ENB_FOR_INTR95,Wakeup enable for interrupt line MPU_IRQ_95" "0,1" newline bitfld.long 0x08 30. "WKG_ENB_FOR_INTR94,Wakeup enable for interrupt line MPU_IRQ_94" "0,1" newline bitfld.long 0x08 29. "WKG_ENB_FOR_INTR93,Wakeup enable for interrupt line MPU_IRQ_93" "0,1" newline bitfld.long 0x08 28. "WKG_ENB_FOR_INTR92,Wakeup enable for interrupt line MPU_IRQ_92" "0,1" newline bitfld.long 0x08 27. "WKG_ENB_FOR_INTR91,Wakeup enable for interrupt line MPU_IRQ_91" "0,1" newline bitfld.long 0x08 26. "WKG_ENB_FOR_INTR90,Wakeup enable for interrupt line MPU_IRQ_90" "0,1" newline bitfld.long 0x08 25. "WKG_ENB_FOR_INTR89,Wakeup enable for interrupt line MPU_IRQ_89" "0,1" newline bitfld.long 0x08 24. "WKG_ENB_FOR_INTR88,Wakeup enable for interrupt line MPU_IRQ_88" "0,1" newline bitfld.long 0x08 23. "WKG_ENB_FOR_INTR87,Wakeup enable for interrupt line MPU_IRQ_87" "0,1" newline bitfld.long 0x08 22. "WKG_ENB_FOR_INTR86,Wakeup enable for interrupt line MPU_IRQ_86" "0,1" newline bitfld.long 0x08 21. "WKG_ENB_FOR_INTR85,Wakeup enable for interrupt line MPU_IRQ_85" "0,1" newline bitfld.long 0x08 20. "WKG_ENB_FOR_INTR84,Wakeup enable for interrupt line MPU_IRQ_84" "0,1" newline bitfld.long 0x08 19. "WKG_ENB_FOR_INTR83,Wakeup enable for interrupt line MPU_IRQ_83" "0,1" newline bitfld.long 0x08 18. "WKG_ENB_FOR_INTR82,Wakeup enable for interrupt line MPU_IRQ_82" "0,1" newline bitfld.long 0x08 17. "WKG_ENB_FOR_INTR81,Wakeup enable for interrupt line MPU_IRQ_81" "0,1" newline bitfld.long 0x08 16. "WKG_ENB_FOR_INTR80,Wakeup enable for interrupt line MPU_IRQ_80" "0,1" newline bitfld.long 0x08 15. "WKG_ENB_FOR_INTR79,Wakeup enable for interrupt line MPU_IRQ_79" "0,1" newline bitfld.long 0x08 14. "WKG_ENB_FOR_INTR78,Wakeup enable for interrupt line MPU_IRQ_78" "0,1" newline bitfld.long 0x08 13. "WKG_ENB_FOR_INTR77,Wakeup enable for interrupt line MPU_IRQ_77" "0,1" newline bitfld.long 0x08 12. "WKG_ENB_FOR_INTR76,Wakeup enable for interrupt line MPU_IRQ_76" "0,1" newline bitfld.long 0x08 11. "WKG_ENB_FOR_INTR75,Wakeup enable for interrupt line MPU_IRQ_75" "0,1" newline bitfld.long 0x08 10. "WKG_ENB_FOR_INTR74,Wakeup enable for interrupt line MPU_IRQ_74" "0,1" newline bitfld.long 0x08 9. "WKG_ENB_FOR_INTR73,Wakeup enable for interrupt line MPU_IRQ_73" "0,1" newline bitfld.long 0x08 8. "WKG_ENB_FOR_INTR72,Wakeup enable for interrupt line MPU_IRQ_72" "0,1" newline bitfld.long 0x08 7. "WKG_ENB_FOR_INTR71,Wakeup enable for interrupt line MPU_IRQ_71" "0,1" newline bitfld.long 0x08 6. "WKG_ENB_FOR_INTR70,Wakeup enable for interrupt line MPU_IRQ_70" "0,1" newline bitfld.long 0x08 5. "WKG_ENB_FOR_INTR69,Wakeup enable for interrupt line MPU_IRQ_69" "0,1" newline bitfld.long 0x08 4. "WKG_ENB_FOR_INTR68,Wakeup enable for interrupt line MPU_IRQ_68" "0,1" newline bitfld.long 0x08 3. "WKG_ENB_FOR_INTR67,Wakeup enable for interrupt line MPU_IRQ_67" "0,1" newline bitfld.long 0x08 2. "WKG_ENB_FOR_INTR66,Wakeup enable for interrupt line MPU_IRQ_66" "0,1" newline bitfld.long 0x08 1. "WKG_ENB_FOR_INTR65,Wakeup enable for interrupt line MPU_IRQ_65" "0,1" newline bitfld.long 0x08 0. "WKG_ENB_FOR_INTR64,Wakeup enable for interrupt line MPU_IRQ_64" "0,1" line.long 0x0C "WKG_ENB_D_0,Wake-up interrupt enable register for MPU_C0 (interrupts MPU_IRQ_96 to MPU_IRQ_127)" bitfld.long 0x0C 31. "WKG_ENB_FOR_INTR127,Wakeup enable for interrupt line MPU_IRQ_127" "0,1" newline bitfld.long 0x0C 30. "WKG_ENB_FOR_INTR126,Wakeup enable for interrupt line MPU_IRQ_126" "0,1" newline bitfld.long 0x0C 29. "WKG_ENB_FOR_INTR125,Wakeup enable for interrupt line MPU_IRQ_125" "0,1" newline bitfld.long 0x0C 28. "WKG_ENB_FOR_INTR124,Wakeup enable for interrupt line MPU_IRQ_124" "0,1" newline bitfld.long 0x0C 27. "WKG_ENB_FOR_INTR123,Wakeup enable for interrupt line MPU_IRQ_123" "0,1" newline bitfld.long 0x0C 26. "WKG_ENB_FOR_INTR122,Wakeup enable for interrupt line MPU_IRQ_122" "0,1" newline bitfld.long 0x0C 25. "WKG_ENB_FOR_INTR121,Wakeup enable for interrupt line MPU_IRQ_121" "0,1" newline bitfld.long 0x0C 24. "WKG_ENB_FOR_INTR120,Wakeup enable for interrupt line MPU_IRQ_120" "0,1" newline bitfld.long 0x0C 23. "WKG_ENB_FOR_INTR119,Wakeup enable for interrupt line MPU_IRQ_119" "0,1" newline bitfld.long 0x0C 22. "WKG_ENB_FOR_INTR118,Wakeup enable for interrupt line MPU_IRQ_118" "0,1" newline bitfld.long 0x0C 21. "WKG_ENB_FOR_INTR117,Wakeup enable for interrupt line MPU_IRQ_117" "0,1" newline bitfld.long 0x0C 20. "WKG_ENB_FOR_INTR116,Wakeup enable for interrupt line MPU_IRQ_116" "0,1" newline bitfld.long 0x0C 19. "WKG_ENB_FOR_INTR115,Wakeup enable for interrupt line MPU_IRQ_115" "0,1" newline bitfld.long 0x0C 18. "WKG_ENB_FOR_INTR114,Wakeup enable for interrupt line MPU_IRQ_114" "0,1" newline bitfld.long 0x0C 17. "WKG_ENB_FOR_INTR113,Wakeup enable for interrupt line MPU_IRQ_113" "0,1" newline bitfld.long 0x0C 16. "WKG_ENB_FOR_INTR112,Wakeup enable for interrupt line MPU_IRQ_112" "0,1" newline bitfld.long 0x0C 15. "WKG_ENB_FOR_INTR111,Wakeup enable for interrupt line MPU_IRQ_111" "0,1" newline bitfld.long 0x0C 14. "WKG_ENB_FOR_INTR110,Wakeup enable for interrupt line MPU_IRQ_110" "0,1" newline bitfld.long 0x0C 13. "WKG_ENB_FOR_INTR109,Wakeup enable for interrupt line MPU_IRQ_109" "0,1" newline bitfld.long 0x0C 12. "WKG_ENB_FOR_INTR108,Wakeup enable for interrupt line MPU_IRQ_108" "0,1" newline bitfld.long 0x0C 11. "WKG_ENB_FOR_INTR107,Wakeup enable for interrupt line MPU_IRQ_107" "0,1" newline bitfld.long 0x0C 10. "WKG_ENB_FOR_INTR106,Wakeup enable for interrupt line MPU_IRQ_106" "0,1" newline bitfld.long 0x0C 9. "WKG_ENB_FOR_INTR105,Wakeup enable for interrupt line MPU_IRQ_105" "0,1" newline bitfld.long 0x0C 8. "WKG_ENB_FOR_INTR104,Wakeup enable for interrupt line MPU_IRQ_104" "0,1" newline bitfld.long 0x0C 7. "WKG_ENB_FOR_INTR103,Wakeup enable for interrupt line MPU_IRQ_103" "0,1" newline bitfld.long 0x0C 6. "WKG_ENB_FOR_INTR102,Wakeup enable for interrupt line MPU_IRQ_102" "0,1" newline bitfld.long 0x0C 5. "WKG_ENB_FOR_INTR101,Wakeup enable for interrupt line MPU_IRQ_101" "0,1" newline bitfld.long 0x0C 4. "WKG_ENB_FOR_INTR100,Wakeup enable for interrupt line MPU_IRQ_100" "0,1" newline bitfld.long 0x0C 3. "WKG_ENB_FOR_INTR99,Wakeup enable for interrupt line MPU_IRQ_99" "0,1" newline bitfld.long 0x0C 2. "WKG_ENB_FOR_INTR98,Wakeup enable for interrupt line MPU_IRQ_98" "0,1" newline bitfld.long 0x0C 1. "WKG_ENB_FOR_INTR97,Wakeup enable for interrupt line MPU_IRQ_97" "0,1" newline bitfld.long 0x0C 0. "WKG_ENB_FOR_INTR96,Wakeup enable for interrupt line MPU_IRQ_96" "0,1" line.long 0x10 "WKG_ENB_E_0,Wake-up interrupt enable register for MPU_C0 (interrupts MPU_IRQ_128 to MPU_IRQ_159)" bitfld.long 0x10 31. "WKG_ENB_FOR_INTR159,Wakeup enable for interrupt line MPU_IRQ_159" "0,1" newline bitfld.long 0x10 30. "WKG_ENB_FOR_INTR158,Wakeup enable for interrupt line MPU_IRQ_158" "0,1" newline bitfld.long 0x10 29. "WKG_ENB_FOR_INTR157,Wakeup enable for interrupt line MPU_IRQ_157" "0,1" newline bitfld.long 0x10 28. "WKG_ENB_FOR_INTR156,Wakeup enable for interrupt line MPU_IRQ_156" "0,1" newline bitfld.long 0x10 27. "WKG_ENB_FOR_INTR155,Wakeup enable for interrupt line MPU_IRQ_155" "0,1" newline bitfld.long 0x10 26. "WKG_ENB_FOR_INTR154,Wakeup enable for interrupt line MPU_IRQ_154" "0,1" newline bitfld.long 0x10 25. "WKG_ENB_FOR_INTR153,Wakeup enable for interrupt line MPU_IRQ_153" "0,1" newline bitfld.long 0x10 24. "WKG_ENB_FOR_INTR152,Wakeup enable for interrupt line MPU_IRQ_152" "0,1" newline bitfld.long 0x10 23. "WKG_ENB_FOR_INTR151,Wakeup enable for interrupt line MPU_IRQ_151" "0,1" newline bitfld.long 0x10 22. "WKG_ENB_FOR_INTR150,Wakeup enable for interrupt line MPU_IRQ_150" "0,1" newline bitfld.long 0x10 21. "WKG_ENB_FOR_INTR149,Wakeup enable for interrupt line MPU_IRQ_149" "0,1" newline bitfld.long 0x10 20. "WKG_ENB_FOR_INTR148,Wakeup enable for interrupt line MPU_IRQ_148" "0,1" newline bitfld.long 0x10 19. "WKG_ENB_FOR_INTR147,Wakeup enable for interrupt line MPU_IRQ_147" "0,1" newline bitfld.long 0x10 18. "WKG_ENB_FOR_INTR146,Wakeup enable for interrupt line MPU_IRQ_146" "0,1" newline bitfld.long 0x10 17. "WKG_ENB_FOR_INTR145,Wakeup enable for interrupt line MPU_IRQ_145" "0,1" newline bitfld.long 0x10 16. "WKG_ENB_FOR_INTR144,Wakeup enable for interrupt line MPU_IRQ_144" "0,1" newline bitfld.long 0x10 15. "WKG_ENB_FOR_INTR143,Wakeup enable for interrupt line MPU_IRQ_143" "0,1" newline bitfld.long 0x10 14. "WKG_ENB_FOR_INTR142,Wakeup enable for interrupt line MPU_IRQ_142" "0,1" newline bitfld.long 0x10 13. "WKG_ENB_FOR_INTR141,Wakeup enable for interrupt line MPU_IRQ_141" "0,1" newline bitfld.long 0x10 12. "WKG_ENB_FOR_INTR140,Wakeup enable for interrupt line MPU_IRQ_140" "0,1" newline bitfld.long 0x10 11. "WKG_ENB_FOR_INTR139,Wakeup enable for interrupt line MPU_IRQ_139" "0,1" newline bitfld.long 0x10 10. "WKG_ENB_FOR_INTR138,Wakeup enable for interrupt line MPU_IRQ_138" "0,1" newline bitfld.long 0x10 9. "WKG_ENB_FOR_INTR137,Wakeup enable for interrupt line MPU_IRQ_137" "0,1" newline bitfld.long 0x10 8. "WKG_ENB_FOR_INTR136,Wakeup enable for interrupt line MPU_IRQ_136" "0,1" newline bitfld.long 0x10 7. "WKG_ENB_FOR_INTR135,Wakeup enable for interrupt line MPU_IRQ_135" "0,1" newline bitfld.long 0x10 6. "WKG_ENB_FOR_INTR134,Wakeup enable for interrupt line MPU_IRQ_134" "0,1" newline bitfld.long 0x10 5. "WKG_ENB_FOR_INTR133,Wakeup enable for interrupt line MPU_IRQ_133" "0,1" newline bitfld.long 0x10 4. "WKG_ENB_FOR_INTR132,Wakeup enable for interrupt line MPU_IRQ_132" "0,1" newline bitfld.long 0x10 3. "WKG_ENB_FOR_INTR131,Wakeup enable for interrupt line MPU_IRQ_131" "0,1" newline bitfld.long 0x10 2. "WKG_ENB_FOR_INTR130,Wakeup enable for interrupt line MPU_IRQ_130" "0,1" newline bitfld.long 0x10 1. "WKG_ENB_FOR_INTR129,Wakeup enable for interrupt line MPU_IRQ_129" "0,1" newline bitfld.long 0x10 0. "WKG_ENB_FOR_INTR128,Wakeup enable for interrupt line MPU_IRQ_128" "0,1" group.long 0x400++0x03 line.long 0x00 "WKG_CONTROL_1,Wake-up generator control and status register for MPU_C1" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 15. "DOMAINRESET,MPU always-on power domain (PD_MPUAON) reset status bit" "No reset occurred,Reset occurred" newline bitfld.long 0x00 14. "MPU_WARM_RESET,This bit is set when the MPU_WARM_RESET signal is asserted" "MPU_WARM_RESET reset signal has not been asserted,MPU_WARM_RESET reset request has been asserted" newline bitfld.long 0x00 13. "MPU_COLD_RESET,This bit is set when the MPU_COLD_RESET signal is asserted" "MPU_COLD_RESET reset signal has not been asserted,MPU_COLD_RESET reset request has been asserted" newline rbitfld.long 0x00 11.--12. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 10. "EVENTO,EVENTO status bit" "Rising edge of EVENTO is not detected,Rising edge of EVENTO is detected" newline bitfld.long 0x00 9. "STANDBYWFE,This bit gives software the visibility to track whether WFE mode have been entered" "WFE mode has not been entered,WFE mode has been entered" newline bitfld.long 0x00 8. "STANDBYWFI,This bit gives software the visibility to track whether WFI mode have been entered" "WFI mode has not been entered,WFI mode has been entered" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" group.long 0x410++0x13 line.long 0x00 "WKG_ENB_A_1,Wake-up interrupt enable register for MPU_C1 (interrupts MPU_IRQ_0 to MPU_IRQ_31)" bitfld.long 0x00 31. "WKG_ENB_FOR_INTR31,Wakeup enable for interrupt line MPU_IRQ_31" "0,1" newline bitfld.long 0x00 30. "WKG_ENB_FOR_INTR30,Wakeup enable for interrupt line MPU_IRQ_30" "0,1" newline bitfld.long 0x00 29. "WKG_ENB_FOR_INTR29,Wakeup enable for interrupt line MPU_IRQ_29" "0,1" newline bitfld.long 0x00 28. "WKG_ENB_FOR_INTR28,Wakeup enable for interrupt line MPU_IRQ_28" "0,1" newline bitfld.long 0x00 27. "WKG_ENB_FOR_INTR27,Wakeup enable for interrupt line MPU_IRQ_27" "0,1" newline bitfld.long 0x00 26. "WKG_ENB_FOR_INTR26,Wakeup enable for interrupt line MPU_IRQ_26" "0,1" newline bitfld.long 0x00 25. "WKG_ENB_FOR_INTR25,Wakeup enable for interrupt line MPU_IRQ_25" "0,1" newline bitfld.long 0x00 24. "WKG_ENB_FOR_INTR24,Wakeup enable for interrupt line MPU_IRQ_24" "0,1" newline bitfld.long 0x00 23. "WKG_ENB_FOR_INTR23,Wakeup enable for interrupt line MPU_IRQ_23" "0,1" newline bitfld.long 0x00 22. "WKG_ENB_FOR_INTR22,Wakeup enable for interrupt line MPU_IRQ_22" "0,1" newline bitfld.long 0x00 21. "WKG_ENB_FOR_INTR21,Wakeup enable for interrupt line MPU_IRQ_21" "0,1" newline bitfld.long 0x00 20. "WKG_ENB_FOR_INTR20,Wakeup enable for interrupt line MPU_IRQ_20" "0,1" newline bitfld.long 0x00 19. "WKG_ENB_FOR_INTR19,Wakeup enable for interrupt line MPU_IRQ_19" "0,1" newline bitfld.long 0x00 18. "WKG_ENB_FOR_INTR18,Wakeup enable for interrupt line MPU_IRQ_18" "0,1" newline bitfld.long 0x00 17. "WKG_ENB_FOR_INTR17,Wakeup enable for interrupt line MPU_IRQ_17" "0,1" newline bitfld.long 0x00 16. "WKG_ENB_FOR_INTR16,Wakeup enable for interrupt line MPU_IRQ_16" "0,1" newline bitfld.long 0x00 15. "WKG_ENB_FOR_INTR15,Wakeup enable for interrupt line MPU_IRQ_15" "0,1" newline bitfld.long 0x00 14. "WKG_ENB_FOR_INTR14,Wakeup enable for interrupt line MPU_IRQ_14" "0,1" newline bitfld.long 0x00 13. "WKG_ENB_FOR_INTR13,Wakeup enable for interrupt line MPU_IRQ_13" "0,1" newline bitfld.long 0x00 12. "WKG_ENB_FOR_INTR12,Wakeup enable for interrupt line MPU_IRQ_12" "0,1" newline bitfld.long 0x00 11. "WKG_ENB_FOR_INTR11,Wakeup enable for interrupt line MPU_IRQ_11" "0,1" newline bitfld.long 0x00 10. "WKG_ENB_FOR_INTR10,Wakeup enable for interrupt line MPU_IRQ_10" "0,1" newline bitfld.long 0x00 9. "WKG_ENB_FOR_INTR9,Wakeup enable for interrupt line MPU_IRQ_9" "0,1" newline bitfld.long 0x00 8. "WKG_ENB_FOR_INTR8,Wakeup enable for interrupt line MPU_IRQ_8" "0,1" newline bitfld.long 0x00 7. "WKG_ENB_FOR_INTR7,Wakeup enable for interrupt line MPU_IRQ_7" "0,1" newline bitfld.long 0x00 6. "WKG_ENB_FOR_INTR6,Wakeup enable for interrupt line MPU_IRQ_6" "0,1" newline bitfld.long 0x00 5. "WKG_ENB_FOR_INTR5,Wakeup enable for interrupt line MPU_IRQ_5" "0,1" newline bitfld.long 0x00 4. "WKG_ENB_FOR_INTR4,Wakeup enable for interrupt line MPU_IRQ_4" "0,1" newline bitfld.long 0x00 3. "WKG_ENB_FOR_INTR3,Wakeup enable for interrupt line MPU_IRQ_3" "0,1" newline bitfld.long 0x00 2. "WKG_ENB_FOR_INTR2,Wakeup enable for interrupt line MPU_IRQ_2" "0,1" newline bitfld.long 0x00 1. "WKG_ENB_FOR_INTR1,Wakeup enable for interrupt line MPU_IRQ_1" "0,1" newline bitfld.long 0x00 0. "WKG_ENB_FOR_INTR0,Wakeup enable for interrupt line MPU_IRQ_0" "0,1" line.long 0x04 "WKG_ENB_B_1,Wake-up interrupt enable register for MPU_C1 (interrupts MPU_IRQ_32 to MPU_IRQ_63)" bitfld.long 0x04 31. "WKG_ENB_FOR_INTR63,Wakeup enable for interrupt line MPU_IRQ_63" "0,1" newline bitfld.long 0x04 30. "WKG_ENB_FOR_INTR62,Wakeup enable for interrupt line MPU_IRQ_62" "0,1" newline bitfld.long 0x04 29. "WKG_ENB_FOR_INTR61,Wakeup enable for interrupt line MPU_IRQ_61" "0,1" newline bitfld.long 0x04 28. "WKG_ENB_FOR_INTR60,Wakeup enable for interrupt line MPU_IRQ_60" "0,1" newline bitfld.long 0x04 27. "WKG_ENB_FOR_INTR59,Wakeup enable for interrupt line MPU_IRQ_59" "0,1" newline bitfld.long 0x04 26. "WKG_ENB_FOR_INTR58,Wakeup enable for interrupt line MPU_IRQ_58" "0,1" newline bitfld.long 0x04 25. "WKG_ENB_FOR_INTR57,Wakeup enable for interrupt line MPU_IRQ_57" "0,1" newline bitfld.long 0x04 24. "WKG_ENB_FOR_INTR56,Wakeup enable for interrupt line MPU_IRQ_56" "0,1" newline bitfld.long 0x04 23. "WKG_ENB_FOR_INTR55,Wakeup enable for interrupt line MPU_IRQ_55" "0,1" newline bitfld.long 0x04 22. "WKG_ENB_FOR_INTR54,Wakeup enable for interrupt line MPU_IRQ_54" "0,1" newline bitfld.long 0x04 21. "WKG_ENB_FOR_INTR53,Wakeup enable for interrupt line MPU_IRQ_53" "0,1" newline bitfld.long 0x04 20. "WKG_ENB_FOR_INTR52,Wakeup enable for interrupt line MPU_IRQ_52" "0,1" newline bitfld.long 0x04 19. "WKG_ENB_FOR_INTR51,Wakeup enable for interrupt line MPU_IRQ_51" "0,1" newline bitfld.long 0x04 18. "WKG_ENB_FOR_INTR50,Wakeup enable for interrupt line MPU_IRQ_50" "0,1" newline bitfld.long 0x04 17. "WKG_ENB_FOR_INTR49,Wakeup enable for interrupt line MPU_IRQ_49" "0,1" newline bitfld.long 0x04 16. "WKG_ENB_FOR_INTR48,Wakeup enable for interrupt line MPU_IRQ_48" "0,1" newline bitfld.long 0x04 15. "WKG_ENB_FOR_INTR47,Wakeup enable for interrupt line MPU_IRQ_47" "0,1" newline bitfld.long 0x04 14. "WKG_ENB_FOR_INTR46,Wakeup enable for interrupt line MPU_IRQ_46" "0,1" newline bitfld.long 0x04 13. "WKG_ENB_FOR_INTR45,Wakeup enable for interrupt line MPU_IRQ_45" "0,1" newline bitfld.long 0x04 12. "WKG_ENB_FOR_INTR44,Wakeup enable for interrupt line MPU_IRQ_44" "0,1" newline bitfld.long 0x04 11. "WKG_ENB_FOR_INTR43,Wakeup enable for interrupt line MPU_IRQ_43" "0,1" newline bitfld.long 0x04 10. "WKG_ENB_FOR_INTR42,Wakeup enable for interrupt line MPU_IRQ_42" "0,1" newline bitfld.long 0x04 9. "WKG_ENB_FOR_INTR41,Wakeup enable for interrupt line MPU_IRQ_41" "0,1" newline bitfld.long 0x04 8. "WKG_ENB_FOR_INTR40,Wakeup enable for interrupt line MPU_IRQ_40" "0,1" newline bitfld.long 0x04 7. "WKG_ENB_FOR_INTR39,Wakeup enable for interrupt line MPU_IRQ_39" "0,1" newline bitfld.long 0x04 6. "WKG_ENB_FOR_INTR38,Wakeup enable for interrupt line MPU_IRQ_38" "0,1" newline bitfld.long 0x04 5. "WKG_ENB_FOR_INTR37,Wakeup enable for interrupt line MPU_IRQ_37" "0,1" newline bitfld.long 0x04 4. "WKG_ENB_FOR_INTR36,Wakeup enable for interrupt line MPU_IRQ_36" "0,1" newline bitfld.long 0x04 3. "WKG_ENB_FOR_INTR35,Wakeup enable for interrupt line MPU_IRQ_35" "0,1" newline bitfld.long 0x04 2. "WKG_ENB_FOR_INTR34,Wakeup enable for interrupt line MPU_IRQ_34" "0,1" newline bitfld.long 0x04 1. "WKG_ENB_FOR_INTR33,Wakeup enable for interrupt line MPU_IRQ_33" "0,1" newline bitfld.long 0x04 0. "WKG_ENB_FOR_INTR32,Wakeup enable for interrupt line MPU_IRQ_32" "0,1" line.long 0x08 "WKG_ENB_C_1,Wake-up interrupt enable register for MPU_C1 (interrupts MPU_IRQ_64 to MPU_IRQ_95)" bitfld.long 0x08 31. "WKG_ENB_FOR_INTR95,Wakeup enable for interrupt line MPU_IRQ_95" "0,1" newline bitfld.long 0x08 30. "WKG_ENB_FOR_INTR94,Wakeup enable for interrupt line MPU_IRQ_94" "0,1" newline bitfld.long 0x08 29. "WKG_ENB_FOR_INTR93,Wakeup enable for interrupt line MPU_IRQ_93" "0,1" newline bitfld.long 0x08 28. "WKG_ENB_FOR_INTR92,Wakeup enable for interrupt line MPU_IRQ_92" "0,1" newline bitfld.long 0x08 27. "WKG_ENB_FOR_INTR91,Wakeup enable for interrupt line MPU_IRQ_91" "0,1" newline bitfld.long 0x08 26. "WKG_ENB_FOR_INTR90,Wakeup enable for interrupt line MPU_IRQ_90" "0,1" newline bitfld.long 0x08 25. "WKG_ENB_FOR_INTR89,Wakeup enable for interrupt line MPU_IRQ_89" "0,1" newline bitfld.long 0x08 24. "WKG_ENB_FOR_INTR88,Wakeup enable for interrupt line MPU_IRQ_88" "0,1" newline bitfld.long 0x08 23. "WKG_ENB_FOR_INTR87,Wakeup enable for interrupt line MPU_IRQ_87" "0,1" newline bitfld.long 0x08 22. "WKG_ENB_FOR_INTR86,Wakeup enable for interrupt line MPU_IRQ_86" "0,1" newline bitfld.long 0x08 21. "WKG_ENB_FOR_INTR85,Wakeup enable for interrupt line MPU_IRQ_85" "0,1" newline bitfld.long 0x08 20. "WKG_ENB_FOR_INTR84,Wakeup enable for interrupt line MPU_IRQ_84" "0,1" newline bitfld.long 0x08 19. "WKG_ENB_FOR_INTR83,Wakeup enable for interrupt line MPU_IRQ_83" "0,1" newline bitfld.long 0x08 18. "WKG_ENB_FOR_INTR82,Wakeup enable for interrupt line MPU_IRQ_82" "0,1" newline bitfld.long 0x08 17. "WKG_ENB_FOR_INTR81,Wakeup enable for interrupt line MPU_IRQ_81" "0,1" newline bitfld.long 0x08 16. "WKG_ENB_FOR_INTR80,Wakeup enable for interrupt line MPU_IRQ_80" "0,1" newline bitfld.long 0x08 15. "WKG_ENB_FOR_INTR79,Wakeup enable for interrupt line MPU_IRQ_79" "0,1" newline bitfld.long 0x08 14. "WKG_ENB_FOR_INTR78,Wakeup enable for interrupt line MPU_IRQ_78" "0,1" newline bitfld.long 0x08 13. "WKG_ENB_FOR_INTR77,Wakeup enable for interrupt line MPU_IRQ_77" "0,1" newline bitfld.long 0x08 12. "WKG_ENB_FOR_INTR76,Wakeup enable for interrupt line MPU_IRQ_76" "0,1" newline bitfld.long 0x08 11. "WKG_ENB_FOR_INTR75,Wakeup enable for interrupt line MPU_IRQ_75" "0,1" newline bitfld.long 0x08 10. "WKG_ENB_FOR_INTR74,Wakeup enable for interrupt line MPU_IRQ_74" "0,1" newline bitfld.long 0x08 9. "WKG_ENB_FOR_INTR73,Wakeup enable for interrupt line MPU_IRQ_73" "0,1" newline bitfld.long 0x08 8. "WKG_ENB_FOR_INTR72,Wakeup enable for interrupt line MPU_IRQ_72" "0,1" newline bitfld.long 0x08 7. "WKG_ENB_FOR_INTR71,Wakeup enable for interrupt line MPU_IRQ_71" "0,1" newline bitfld.long 0x08 6. "WKG_ENB_FOR_INTR70,Wakeup enable for interrupt line MPU_IRQ_70" "0,1" newline bitfld.long 0x08 5. "WKG_ENB_FOR_INTR69,Wakeup enable for interrupt line MPU_IRQ_69" "0,1" newline bitfld.long 0x08 4. "WKG_ENB_FOR_INTR68,Wakeup enable for interrupt line MPU_IRQ_68" "0,1" newline bitfld.long 0x08 3. "WKG_ENB_FOR_INTR67,Wakeup enable for interrupt line MPU_IRQ_67" "0,1" newline bitfld.long 0x08 2. "WKG_ENB_FOR_INTR66,Wakeup enable for interrupt line MPU_IRQ_66" "0,1" newline bitfld.long 0x08 1. "WKG_ENB_FOR_INTR65,Wakeup enable for interrupt line MPU_IRQ_65" "0,1" newline bitfld.long 0x08 0. "WKG_ENB_FOR_INTR64,Wakeup enable for interrupt line MPU_IRQ_64" "0,1" line.long 0x0C "WKG_ENB_D_1,Wake-up interrupt enable register for MPU_C1 (interrupts MPU_IRQ_96 to MPU_IRQ_127)" bitfld.long 0x0C 31. "WKG_ENB_FOR_INTR127,Wakeup enable for interrupt line MPU_IRQ_127" "0,1" newline bitfld.long 0x0C 30. "WKG_ENB_FOR_INTR126,Wakeup enable for interrupt line MPU_IRQ_126" "0,1" newline bitfld.long 0x0C 29. "WKG_ENB_FOR_INTR125,Wakeup enable for interrupt line MPU_IRQ_125" "0,1" newline bitfld.long 0x0C 28. "WKG_ENB_FOR_INTR124,Wakeup enable for interrupt line MPU_IRQ_124" "0,1" newline bitfld.long 0x0C 27. "WKG_ENB_FOR_INTR123,Wakeup enable for interrupt line MPU_IRQ_123" "0,1" newline bitfld.long 0x0C 26. "WKG_ENB_FOR_INTR122,Wakeup enable for interrupt line MPU_IRQ_122" "0,1" newline bitfld.long 0x0C 25. "WKG_ENB_FOR_INTR121,Wakeup enable for interrupt line MPU_IRQ_121" "0,1" newline bitfld.long 0x0C 24. "WKG_ENB_FOR_INTR120,Wakeup enable for interrupt line MPU_IRQ_120" "0,1" newline bitfld.long 0x0C 23. "WKG_ENB_FOR_INTR119,Wakeup enable for interrupt line MPU_IRQ_119" "0,1" newline bitfld.long 0x0C 22. "WKG_ENB_FOR_INTR118,Wakeup enable for interrupt line MPU_IRQ_118" "0,1" newline bitfld.long 0x0C 21. "WKG_ENB_FOR_INTR117,Wakeup enable for interrupt line MPU_IRQ_117" "0,1" newline bitfld.long 0x0C 20. "WKG_ENB_FOR_INTR116,Wakeup enable for interrupt line MPU_IRQ_116" "0,1" newline bitfld.long 0x0C 19. "WKG_ENB_FOR_INTR115,Wakeup enable for interrupt line MPU_IRQ_115" "0,1" newline bitfld.long 0x0C 18. "WKG_ENB_FOR_INTR114,Wakeup enable for interrupt line MPU_IRQ_114" "0,1" newline bitfld.long 0x0C 17. "WKG_ENB_FOR_INTR113,Wakeup enable for interrupt line MPU_IRQ_113" "0,1" newline bitfld.long 0x0C 16. "WKG_ENB_FOR_INTR112,Wakeup enable for interrupt line MPU_IRQ_112" "0,1" newline bitfld.long 0x0C 15. "WKG_ENB_FOR_INTR111,Wakeup enable for interrupt line MPU_IRQ_111" "0,1" newline bitfld.long 0x0C 14. "WKG_ENB_FOR_INTR110,Wakeup enable for interrupt line MPU_IRQ_110" "0,1" newline bitfld.long 0x0C 13. "WKG_ENB_FOR_INTR109,Wakeup enable for interrupt line MPU_IRQ_109" "0,1" newline bitfld.long 0x0C 12. "WKG_ENB_FOR_INTR108,Wakeup enable for interrupt line MPU_IRQ_108" "0,1" newline bitfld.long 0x0C 11. "WKG_ENB_FOR_INTR107,Wakeup enable for interrupt line MPU_IRQ_107" "0,1" newline bitfld.long 0x0C 10. "WKG_ENB_FOR_INTR106,Wakeup enable for interrupt line MPU_IRQ_106" "0,1" newline bitfld.long 0x0C 9. "WKG_ENB_FOR_INTR105,Wakeup enable for interrupt line MPU_IRQ_105" "0,1" newline bitfld.long 0x0C 8. "WKG_ENB_FOR_INTR104,Wakeup enable for interrupt line MPU_IRQ_104" "0,1" newline bitfld.long 0x0C 7. "WKG_ENB_FOR_INTR103,Wakeup enable for interrupt line MPU_IRQ_103" "0,1" newline bitfld.long 0x0C 6. "WKG_ENB_FOR_INTR102,Wakeup enable for interrupt line MPU_IRQ_102" "0,1" newline bitfld.long 0x0C 5. "WKG_ENB_FOR_INTR101,Wakeup enable for interrupt line MPU_IRQ_101" "0,1" newline bitfld.long 0x0C 4. "WKG_ENB_FOR_INTR100,Wakeup enable for interrupt line MPU_IRQ_100" "0,1" newline bitfld.long 0x0C 3. "WKG_ENB_FOR_INTR99,Wakeup enable for interrupt line MPU_IRQ_99" "0,1" newline bitfld.long 0x0C 2. "WKG_ENB_FOR_INTR98,Wakeup enable for interrupt line MPU_IRQ_98" "0,1" newline bitfld.long 0x0C 1. "WKG_ENB_FOR_INTR97,Wakeup enable for interrupt line MPU_IRQ_97" "0,1" newline bitfld.long 0x0C 0. "WKG_ENB_FOR_INTR96,Wakeup enable for interrupt line MPU_IRQ_96" "0,1" line.long 0x10 "WKG_ENB_E_1,Wake-up interrupt enable register for MPU_C1 (interrupts MPU_IRQ_128 to MPU_IRQ_159)" bitfld.long 0x10 31. "WKG_ENB_FOR_INTR159,Wakeup enable for interrupt line MPU_IRQ_159" "0,1" newline bitfld.long 0x10 30. "WKG_ENB_FOR_INTR158,Wakeup enable for interrupt line MPU_IRQ_158" "0,1" newline bitfld.long 0x10 29. "WKG_ENB_FOR_INTR157,Wakeup enable for interrupt line MPU_IRQ_157" "0,1" newline bitfld.long 0x10 28. "WKG_ENB_FOR_INTR156,Wakeup enable for interrupt line MPU_IRQ_156" "0,1" newline bitfld.long 0x10 27. "WKG_ENB_FOR_INTR155,Wakeup enable for interrupt line MPU_IRQ_155" "0,1" newline bitfld.long 0x10 26. "WKG_ENB_FOR_INTR154,Wakeup enable for interrupt line MPU_IRQ_154" "0,1" newline bitfld.long 0x10 25. "WKG_ENB_FOR_INTR153,Wakeup enable for interrupt line MPU_IRQ_153" "0,1" newline bitfld.long 0x10 24. "WKG_ENB_FOR_INTR152,Wakeup enable for interrupt line MPU_IRQ_152" "0,1" newline bitfld.long 0x10 23. "WKG_ENB_FOR_INTR151,Wakeup enable for interrupt line MPU_IRQ_151" "0,1" newline bitfld.long 0x10 22. "WKG_ENB_FOR_INTR150,Wakeup enable for interrupt line MPU_IRQ_150" "0,1" newline bitfld.long 0x10 21. "WKG_ENB_FOR_INTR149,Wakeup enable for interrupt line MPU_IRQ_149" "0,1" newline bitfld.long 0x10 20. "WKG_ENB_FOR_INTR148,Wakeup enable for interrupt line MPU_IRQ_148" "0,1" newline bitfld.long 0x10 19. "WKG_ENB_FOR_INTR147,Wakeup enable for interrupt line MPU_IRQ_147" "0,1" newline bitfld.long 0x10 18. "WKG_ENB_FOR_INTR146,Wakeup enable for interrupt line MPU_IRQ_146" "0,1" newline bitfld.long 0x10 17. "WKG_ENB_FOR_INTR145,Wakeup enable for interrupt line MPU_IRQ_145" "0,1" newline bitfld.long 0x10 16. "WKG_ENB_FOR_INTR144,Wakeup enable for interrupt line MPU_IRQ_144" "0,1" newline bitfld.long 0x10 15. "WKG_ENB_FOR_INTR143,Wakeup enable for interrupt line MPU_IRQ_143" "0,1" newline bitfld.long 0x10 14. "WKG_ENB_FOR_INTR142,Wakeup enable for interrupt line MPU_IRQ_142" "0,1" newline bitfld.long 0x10 13. "WKG_ENB_FOR_INTR141,Wakeup enable for interrupt line MPU_IRQ_141" "0,1" newline bitfld.long 0x10 12. "WKG_ENB_FOR_INTR140,Wakeup enable for interrupt line MPU_IRQ_140" "0,1" newline bitfld.long 0x10 11. "WKG_ENB_FOR_INTR139,Wakeup enable for interrupt line MPU_IRQ_139" "0,1" newline bitfld.long 0x10 10. "WKG_ENB_FOR_INTR138,Wakeup enable for interrupt line MPU_IRQ_138" "0,1" newline bitfld.long 0x10 9. "WKG_ENB_FOR_INTR137,Wakeup enable for interrupt line MPU_IRQ_137" "0,1" newline bitfld.long 0x10 8. "WKG_ENB_FOR_INTR136,Wakeup enable for interrupt line MPU_IRQ_136" "0,1" newline bitfld.long 0x10 7. "WKG_ENB_FOR_INTR135,Wakeup enable for interrupt line MPU_IRQ_135" "0,1" newline bitfld.long 0x10 6. "WKG_ENB_FOR_INTR134,Wakeup enable for interrupt line MPU_IRQ_134" "0,1" newline bitfld.long 0x10 5. "WKG_ENB_FOR_INTR133,Wakeup enable for interrupt line MPU_IRQ_133" "0,1" newline bitfld.long 0x10 4. "WKG_ENB_FOR_INTR132,Wakeup enable for interrupt line MPU_IRQ_132" "0,1" newline bitfld.long 0x10 3. "WKG_ENB_FOR_INTR131,Wakeup enable for interrupt line MPU_IRQ_131" "0,1" newline bitfld.long 0x10 2. "WKG_ENB_FOR_INTR130,Wakeup enable for interrupt line MPU_IRQ_130" "0,1" newline bitfld.long 0x10 1. "WKG_ENB_FOR_INTR129,Wakeup enable for interrupt line MPU_IRQ_129" "0,1" newline bitfld.long 0x10 0. "WKG_ENB_FOR_INTR128,Wakeup enable for interrupt line MPU_IRQ_128" "0,1" group.long 0x800++0x0F line.long 0x00 "AUX_CORE_BOOT_0,This register is used by the ROM code and OS during SMP boot" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 4.--7. "MPU_C1_STATUS,MPU_C1 boot status" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "AUX_CORE_BOOT_1,This register is used by the ROM code and OS during SMP boot" line.long 0x08 "STM_HWEVENTS_INV,Gives programmable control of inverting or not inverting MPUHWDBGOUT[31:0] going to HWEVENTS[31:0] input of CS_STM" bitfld.long 0x08 31. "STM_HWEVENT_INV_31,Polarity inversion control for MPUHWDBGOUT31 signal" "0,1" newline bitfld.long 0x08 30. "STM_HWEVENT_INV_30,Polarity inversion control for MPUHWDBGOUT30 signal" "0,1" newline bitfld.long 0x08 29. "STM_HWEVENT_INV_29,Polarity inversion control for MPUHWDBGOUT29 signal" "0,1" newline bitfld.long 0x08 28. "STM_HWEVENT_INV_28,Polarity inversion control for MPUHWDBGOUT28 signal" "0,1" newline bitfld.long 0x08 27. "STM_HWEVENT_INV_27,Polarity inversion control for MPUHWDBGOUT27 signal" "0,1" newline bitfld.long 0x08 26. "STM_HWEVENT_INV_26,Polarity inversion control for MPUHWDBGOUT26 signal" "0,1" newline bitfld.long 0x08 25. "STM_HWEVENT_INV_25,Polarity inversion control for MPUHWDBGOUT25 signal" "0,1" newline bitfld.long 0x08 24. "STM_HWEVENT_INV_24,Polarity inversion control for MPUHWDBGOUT24 signal" "0,1" newline bitfld.long 0x08 23. "STM_HWEVENT_INV_23,Polarity inversion control for MPUHWDBGOUT23 signal" "0,1" newline bitfld.long 0x08 22. "STM_HWEVENT_INV_22,Polarity inversion control for MPUHWDBGOUT22 signal" "0,1" newline bitfld.long 0x08 21. "STM_HWEVENT_INV_21,Polarity inversion control for MPUHWDBGOUT21 signal" "0,1" newline bitfld.long 0x08 20. "STM_HWEVENT_INV_20,Polarity inversion control for MPUHWDBGOUT20 signal" "0,1" newline bitfld.long 0x08 19. "STM_HWEVENT_INV_19,Polarity inversion control for MPUHWDBGOUT19 signal" "0,1" newline bitfld.long 0x08 18. "STM_HWEVENT_INV_18,Polarity inversion control for MPUHWDBGOUT18 signal" "0,1" newline bitfld.long 0x08 17. "STM_HWEVENT_INV_17,Polarity inversion control for MPUHWDBGOUT17 signal" "0,1" newline bitfld.long 0x08 16. "STM_HWEVENT_INV_16,Polarity inversion control for MPUHWDBGOUT16 signal" "0,1" newline bitfld.long 0x08 15. "STM_HWEVENT_INV_15,Polarity inversion control for MPUHWDBGOUT15 signal" "0,1" newline bitfld.long 0x08 14. "STM_HWEVENT_INV_14,Polarity inversion control for MPUHWDBGOUT14 signal" "0,1" newline bitfld.long 0x08 13. "STM_HWEVENT_INV_13,Polarity inversion control for MPUHWDBGOUT13 signal" "0,1" newline bitfld.long 0x08 12. "STM_HWEVENT_INV_12,Polarity inversion control for MPUHWDBGOUT12 signal" "0,1" newline bitfld.long 0x08 11. "STM_HWEVENT_INV_11,Polarity inversion control for MPUHWDBGOUT11 signal" "0,1" newline bitfld.long 0x08 10. "STM_HWEVENT_INV_10,Polarity inversion control for MPUHWDBGOUT10 signal" "0,1" newline bitfld.long 0x08 9. "STM_HWEVENT_INV_9,Polarity inversion control for MPUHWDBGOUT9 signal" "0,1" newline bitfld.long 0x08 8. "STM_HWEVENT_INV_8,Polarity inversion control for MPUHWDBGOUT8 signal" "0,1" newline bitfld.long 0x08 7. "STM_HWEVENT_INV_7,Polarity inversion control for MPUHWDBGOUT7 signal" "0,1" newline bitfld.long 0x08 6. "STM_HWEVENT_INV_6,Polarity inversion control for MPUHWDBGOUT6 signal" "0,1" newline bitfld.long 0x08 5. "STM_HWEVENT_INV_5,Polarity inversion control for MPUHWDBGOUT5 signal" "0,1" newline bitfld.long 0x08 4. "STM_HWEVENT_INV_4,Polarity inversion control for MPUHWDBGOUT4 signal" "0,1" newline bitfld.long 0x08 3. "STM_HWEVENT_INV_3,Polarity inversion control for MPUHWDBGOUT3 signal" "0,1" newline bitfld.long 0x08 2. "STM_HWEVENT_INV_2,Polarity inversion control for MPUHWDBGOUT2 signal" "0,1" newline bitfld.long 0x08 1. "STM_HWEVENT_INV_1,Polarity inversion control for MPUHWDBGOUT1 signal" "0,1" newline bitfld.long 0x08 0. "STM_HWEVENT_INV_0,Polarity inversion control for MPUHWDBGOUT0 signal" "0,1" line.long 0x0C "AMBA_IF_MODE,This register controls the MPU core interface tie-off values for BI. BO. BCM and SBD" hexmask.long 0x0C 6.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 5. "ES2_PM_MODE,Enables OFF mode behavior" "OFF Mode 1 CPUs would enter and exit OFF mode..,OFF Mode 2 CPUs are allowed to enter/exit OFF.." newline bitfld.long 0x0C 4. "APB_FENCE_EN,Enables APB fencing logic" "0,1" newline bitfld.long 0x0C 3. "BI,BROADCASTINNER input of MPU core" "0,1" newline bitfld.long 0x0C 2. "BO,BROADCASTOUTER input of MPU core" "0,1" newline bitfld.long 0x0C 1. "BCM,BROADCASTMAINTENANCE input of MPU core" "0,1" newline bitfld.long 0x0C 0. "SBD,SYSBARDISABLE input of MPU core" "0,1" rgroup.long 0xC08++0x07 line.long 0x00 "TIMESTAMPCYCLELO,Lower 32 bits of the 48-bit timestamp counter value" line.long 0x04 "TIMESTAMPCYCLEHI,Higher 16 bits of the 48-bit timestamp counter value" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" newline hexmask.long.word 0x04 0.--15. 1. "COUNTER_47_32,Higher 16 bits of the timestamp counter value" tree.end repeat 3. (list 1. 2. 3. )(list ad:0x48804000 ad:0x4880A000 ad:0x48810000 ) tree "OCMC_RAM$1" base $2 rgroup.long 0x00++0x0F line.long 0x00 "OCMC_ECC_PID," line.long 0x04 "OCMC_SYSCONFIG_PM," hexmask.long 0x04 4.--31. 1. "RESERVED," newline bitfld.long 0x04 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline rbitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" line.long 0x08 "OCMC_SYSCONFIG_RST," hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "SW_RST,Software reset of the OCM controller configuration and history logic (does not reset L4 interface)" "SW_RST_0,SW_RST_1" line.long 0x0C "OCMC_MEM_SIZE_READ,This register provides the status of the OCM Controller configuration" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline bitfld.long 0x0C 12.--16. "VBUF_ADDR_MSB,This bit field returns the MSB bit of the valid VBUF address range" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 9. "MEM_CBUF_ENABLE,Indicates whether CBUF is supported or not" "MEM_CBUF_ENABLE_0,MEM_CBUF_ENABLE_1" newline bitfld.long 0x0C 8. "MEM_ECC_ENABLE,Indicates whether ECC is supported or not" "MEM_ECC_ENABLE_0,MEM_ECC_ENABLE_1" newline bitfld.long 0x0C 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 0.--4. "MEM_SIZE_128K_CNT,This bit field indicates how many 128KiB memory blocks are present in the SRAM" "?,One 128KiB memory block,Two 128KiB memory blocks,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,20 memory blocks of 128KiB,?..." group.long 0x40++0x13 line.long 0x00 "INTR0_STATUS_RAW_SET,This register contains the raw interrupt status" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED," newline bitfld.long 0x00 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x00 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x00 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x00 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x00 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x00 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x00 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x00 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x00 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x00 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x00 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x00 0. "SEC_ERR_FOUND," "0,1" line.long 0x04 "INTR0_STATUS_ENABLED_CLEAR,Read indicates ENABLED interrupt status (0=inactive. 1=active)" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," newline bitfld.long 0x04 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x04 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x04 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x04 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x04 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x04 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x04 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x04 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x04 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x04 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x04 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x04 0. "SEC_ERR_FOUND," "0,1" line.long 0x08 "INTR0_ENABLE_SET,Read indicates interrupt enable (0=disabled. 1=enabled) Writing 1 will set the corresponding interrupt enable bit" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED," newline bitfld.long 0x08 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x08 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x08 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x08 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x08 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x08 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x08 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x08 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x08 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x08 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x08 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x08 0. "SEC_ERR_FOUND," "0,1" line.long 0x0C "INTR0_ENABLE_CLEAR,Read indicates interrupt enable (0=disabled. 1=enabled) Writing 1 will clear interrupt enabled" hexmask.long.tbyte 0x0C 15.--31. 1. "RESERVED," newline bitfld.long 0x0C 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x0C 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x0C 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x0C 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x0C 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x0C 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x0C 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x0C 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x0C 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x0C 0. "SEC_ERR_FOUND," "0,1" line.long 0x10 "OCMC_INTR0_EOI,This register contains the EOI vector" hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "EOI_VECTOR," "0,1" group.long 0x60++0x13 line.long 0x00 "INTR1_STATUS_RAW_SET,This register contains the raw interrupt status" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED," newline bitfld.long 0x00 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x00 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x00 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x00 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x00 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x00 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x00 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x00 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x00 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x00 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x00 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x00 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x00 0. "SEC_ERR_FOUND," "0,1" line.long 0x04 "INTR1_STATUS_ENABLED_CLEAR,Read indicates ENABLED interrupt status (0=inactive. 1=active)" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," newline bitfld.long 0x04 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x04 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x04 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x04 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x04 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x04 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x04 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x04 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x04 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x04 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x04 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x04 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x04 0. "SEC_ERR_FOUND," "0,1" line.long 0x08 "INTR1_ENABLE_SET,Read indicates interrupt enable (0=disabled. 1=enabled) Writing 1 will set the corresponding interrupt enable bit" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED," newline bitfld.long 0x08 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x08 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x08 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x08 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x08 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x08 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x08 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x08 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x08 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x08 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x08 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x08 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x08 0. "SEC_ERR_FOUND," "0,1" line.long 0x0C "INTR1_ENABLE_CLEAR,Read indicates interrupt enable (0=disabled. 1=enabled) Writing 1 will clear interrupt enabled" hexmask.long.tbyte 0x0C 15.--31. 1. "RESERVED," newline bitfld.long 0x0C 14. "CBUF_SHORT_FRAME_DETECT_FOUND,CBUF detected short frame" "0,1" newline bitfld.long 0x0C 13. "CBUF_UNDERFLOW_ERR_FOUND," "0,1" newline bitfld.long 0x0C 12. "CBUF_OVERFLOW_WRAP_ERR_FOUND," "0,1" newline bitfld.long 0x0C 11. "CBUF_OVERFLOW_MID_ERR_FOUND," "0,1" newline bitfld.long 0x0C 10. "CBUF_READ_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 9. "CBUF_VBUF_READ_START_ERR_FOUND," "0,1" newline bitfld.long 0x0C 8. "CBUF_READ_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 7. "CBUF_WRITE_SEQUENCE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 6. "CBUF_VBUF_WRITE_START_ERR_FOUND," "0,1" newline bitfld.long 0x0C 5. "CBUF_WR_OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 4. "CBUF_VIRTUAL_ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x0C 3. "OUT_OF_RANGE_ERR_FOUND," "0,1" newline bitfld.long 0x0C 2. "ADDR_ERR_FOUND," "0,1" newline bitfld.long 0x0C 1. "DED_ERR_FOUND," "0,1" newline bitfld.long 0x0C 0. "SEC_ERR_FOUND," "0,1" line.long 0x10 "OCMC_INTR1_EOI,This register contains the EOI vector" hexmask.long 0x10 1.--31. 1. "RESERVED," newline bitfld.long 0x10 0. "EOI_VECTOR," "0,1" group.long 0x80++0x1F line.long 0x00 "CFG_OCMC_ECC," hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CFG_ECC_OPT_NON_ECC_READ,Optimize read latency for non-ECC" "Disable,Enable" newline bitfld.long 0x00 4. "CFG_ECC_ERR_SRESP_EN,ECC non-correctable error SRESP enable" "Disable,Enable" newline bitfld.long 0x00 3. "CFG_ECC_SEC_AUTO_CORRECT,SEC error auto correction mode" "Disable,Enable (If the OCM.." newline bitfld.long 0x00 0.--2. "CFG_OCMC_MODE,OCM Controller memory access modes" "Non-ECC mode (data access),Non-ECC mode (code access),Full ECC enabled mode,Block ECC enabled mode 0x4-0x7,?..." line.long 0x04 "CFG_OCMC_ECC_MEM_BLK," hexmask.long.word 0x04 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x04 0.--19. 1. "CFG_ECC_ENABLED_128K_BLK,ECC memory block enable bits" line.long 0x08 "CFG_OCMC_ECC_ERROR," hexmask.long.byte 0x08 25.--31. 1. "RESERVED," newline bitfld.long 0x08 24. "CFG_DISCARD_DUP_ADDR,Do not save duplicate error address" "Save the duplicated addresses,Save only the unique addresses" newline bitfld.long 0x08 20.--23. "CFG_ADDR_ERR_CNT_MAX,Number of ADDR errors to trigger an interrupt (The value configured must be > 0 to generate an interrupt)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 16.--19. "CFG_DED_CNT_MAX,Number of DED errors to trigger an interrupt (The value configured must be > 0 to generate an interrupt)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x08 0.--15. 1. "CFG_SEC_CNT_MAX,Number of SEC error to trigger an interrupt (The value configured must be > 0 to generate an interrupt)" line.long 0x0C "CFG_OCMC_ECC_CLEAR_HIST," hexmask.long 0x0C 4.--31. 1. "RESERVED," newline bitfld.long 0x0C 3. "CLEAR_SEC_BIT_DISTR,Clear stored single error correction (SEC) bit distribution history" "Reserved (not used),Cleares the following registers" newline bitfld.long 0x0C 2. "CLEAR_ADDR_ERR_CNT,Clear stored address error history" "Reserved (not used),Clears" newline bitfld.long 0x0C 1. "CLEAR_DED_ERR_CNT,Clear stored double error detection (DED) history" "Reserved (not used),Clears" newline bitfld.long 0x0C 0. "CLEAR_SEC_ERR_CNT,Clear stored single error correction history" "Reserved (not used),Clears" line.long 0x10 "STATUS_ERROR_CNT,OCM Controller error status" hexmask.long.byte 0x10 24.--31. 1. "RESERVED," newline bitfld.long 0x10 20.--23. "ADDR_ERROR_CNT,Counter for the address errors found" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 16.--19. "DED_ERROR_CNT,Counter for the double error detections" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 0.--15. 1. "SEC_ERROR_CNT,Counter for the single errors occured" line.long 0x14 "STATUS_SEC_ERROR_TRACE,SEC error 128-bit memory address" hexmask.long.word 0x14 19.--31. 1. "RESERVED," newline bitfld.long 0x14 18. "VALID,SEC FIFO valid addres indication" "VALID_0,VALID_1" newline hexmask.long.tbyte 0x14 0.--17. 1. "ADDRESS_128BIT,SEC error 128-bit memory address (Read from the SEC error address trace fifo)" line.long 0x18 "STATUS_DED_ERROR_TRACE,DED error 128-bit memory address" hexmask.long.word 0x18 19.--31. 1. "RESERVED," newline bitfld.long 0x18 18. "VALID,DED FIFO valid addres indication" "The DED FIFO is empty,There is a valid address in the DED FIFO" newline hexmask.long.tbyte 0x18 0.--17. 1. "ADDRESS_128BIT,DED error 128-bit memory address (Read from the DED error address trace fifo)" line.long 0x1C "STATUS_ADDR_TRANSLATION_ERROR_TRACE,ADDR error 128-bit memory address" hexmask.long.word 0x1C 19.--31. 1. "RESERVED," newline bitfld.long 0x1C 18. "VALID,ADDRERR FIFO valid addres indication" "The ADDRERR FIFO is empty,There is a valid address in the ADDRERR FIFO" newline hexmask.long.tbyte 0x1C 0.--17. 1. "ADDRESS_128BIT,ADDR error 128-bit memory address (Read from the ADDR error address trace fifo)" rgroup.long 0xB0++0x03 line.long 0x00 "STATUS_SEC_ERROR_DISTR_4,SEC ecc code error bit distribution status [7:0]" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline abitfld.long 0x00 0.--7. "SEC_ECC_CODE_ERROR_FOUND,ECC Code (excluding the parity bit) error distribution [7:0]" "0x00=SEC error not found,0x01=SEC error found In the corresponding bit.." group.long 0x200++0x33 line.long 0x00 "CFG_OCMC_CBUF_EN,CBUF mode enable register" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 27. "CBUF_EN_11,CBUF 11 enable" "Disable,Enable" newline bitfld.long 0x00 26. "CBUF_EN_10,CBUF 10 enable" "Disable,Enable" newline bitfld.long 0x00 25. "CBUF_EN_9,CBUF 9 enable" "Disable,Enable" newline bitfld.long 0x00 24. "CBUF_EN_8,CBUF 8 enable" "Disable,Enable" newline bitfld.long 0x00 23. "CBUF_EN_7,CBUF 7 enable" "Disable,Enable" newline bitfld.long 0x00 22. "CBUF_EN_6,CBUF 6 enable" "Disable,Enable" newline bitfld.long 0x00 21. "CBUF_EN_5,CBUF 5 enable" "Disable,Enable" newline bitfld.long 0x00 20. "CBUF_EN_4,CBUF 4 enable" "Disable,Enable" newline bitfld.long 0x00 19. "CBUF_EN_3,CBUF 3 enable" "Disable,Enable" newline bitfld.long 0x00 18. "CBUF_EN_2,CBUF 2 enable" "Disable,Enable" newline bitfld.long 0x00 17. "CBUF_EN_1,CBUF 1 enable" "Disable,Enable" newline bitfld.long 0x00 16. "CBUF_EN_0,CBUF 0 enable" "Disable,Enable" newline hexmask.long.word 0x00 3.--15. 1. "RESERVED," newline bitfld.long 0x00 2. "NEW_FRAME_SEL,CBUF New Frame Event Definition Select" "New frame event flag is set when a VBUF access..,New frame event flag is set when a VBUF access.." newline bitfld.long 0x00 1. "CBUF_DEBUG_EN,CBUF Debug Enable Mode" "Default Normal mode,Debug mode" newline bitfld.long 0x00 0. "CBUF_MODE_EN,CBUF Mode Enable" "Disable all CBUF address translation,Enable CBUF address translation" line.long 0x04 "CFG_OCMC_CBUF_RESET,Writing 1 to bit n will set a reset bit to clear the corresponding CBUF_n address translation logic" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED," newline bitfld.long 0x04 11. "CBUF_RESET_11,cbuf_reset_11" "0,1" newline bitfld.long 0x04 10. "CBUF_RESET_10,cbuf_reset_10" "0,1" newline bitfld.long 0x04 9. "CBUF_RESET_9,cbuf_reset_9" "0,1" newline bitfld.long 0x04 8. "CBUF_RESET_8,cbuf_reset_8" "0,1" newline bitfld.long 0x04 7. "CBUF_RESET_7,cbuf_reset_7" "0,1" newline bitfld.long 0x04 6. "CBUF_RESET_6,cbuf_reset_6" "0,1" newline bitfld.long 0x04 5. "CBUF_RESET_5,cbuf_reset_5" "0,1" newline bitfld.long 0x04 4. "CBUF_RESET_4,cbuf_reset_4" "0,1" newline bitfld.long 0x04 3. "CBUF_RESET_3,cbuf_reset_3" "0,1" newline bitfld.long 0x04 2. "CBUF_RESET_2,cbuf_reset_2" "0,1" newline bitfld.long 0x04 1. "CBUF_RESET_1,cbuf_reset_1" "0,1" newline bitfld.long 0x04 0. "CBUF_RESET_0,cbuf_reset_0" "0,1" line.long 0x08 "CFG_OCMC_CBUF_ERR_HANDLER," hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," newline bitfld.long 0x08 8. "UNDERFLOW_LAST_CBUF_SLICE_DISABLE," "0,1" newline bitfld.long 0x08 6.--7. "OVERFLOW_CHECK_REENABLE_SEL,Overflow check re-enable selection" "Overflow check is disabled until next wtire to..,Overflow check is disabled until next write to..,Overflow check is disabled until next read from..,Overflow check is re-enabled immediately" newline bitfld.long 0x08 4.--5. "OVERFLOW_WRITE_HANDLER_SEL,Overflow write handler selection" "Writes disabled only on CBUF_overflow_wrap cases..,Writes disabled on all overflow cases until next..,Writes serviced with CBUF pointer updated even..,Reserved" newline bitfld.long 0x08 3. "UNDERFLOW_ERR_CHECK_EN,Underflow chek enable" "UNDERFLOW_ERR_CHECK_EN_0,UNDERFLOW_ERR_CHECK_EN_1" newline bitfld.long 0x08 2. "OVERFLOW_ERR_CHECK_EN,Overflow chek enable" "OVERFLOW_ERR_CHECK_EN_0,OVERFLOW_ERR_CHECK_EN_1" newline bitfld.long 0x08 1. "SHORT_FRAME_PREV_EOF_SEL," "0,1" newline bitfld.long 0x08 0. "SHORT_FRAME_DETECT_CHECK_EN,Short frame detection enable" "SHORT_FRAME_DETECT_CHECK_EN_0,SHORT_FRAME_DETECT_CHECK_EN_1" line.long 0x0C "STATUS_CBUF_WR_OUT_OF_RANGE_ERR," hexmask.long.tbyte 0x0C 12.--31. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--11. 1. "CBUF_ERR,Indicates that the CBUF write address is out of the CBUF range" line.long 0x10 "STATUS_CBUF_WR_VBUF_START_ERR," hexmask.long.tbyte 0x10 12.--31. 1. "RESERVED," newline hexmask.long.word 0x10 0.--11. 1. "CBUF_ERR,CBUF write is not to the base address at vbuf access start" line.long 0x14 "STATUS_CBUF_WR_ADDR_SEQ_ERROR," hexmask.long.tbyte 0x14 12.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--11. 1. "CBUF_ERR,CBUF address is not incrementing in raster scan order" line.long 0x18 "STATUS_CBUF_RD_OUT_OF_RANGE_ERROR," hexmask.long.tbyte 0x18 12.--31. 1. "RESERVED," newline hexmask.long.word 0x18 0.--11. 1. "CBUF_ERR,Indicates that the CBUF read address is out of the CBUF range" line.long 0x1C "STATUS_CBUF_VBUF_RD_START_ERROR," hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED," newline hexmask.long.word 0x1C 0.--11. 1. "CBUF_ERR,CBUF read is not from the base address at VBUF access start" line.long 0x20 "STATUS_CBUF_RD_ADDR_SEQ_ERROR," hexmask.long.tbyte 0x20 12.--31. 1. "RESERVED," newline hexmask.long.word 0x20 0.--11. 1. "CBUF_ERR,CBUF read address is not incrementing in raster scan order" line.long 0x24 "STATUS_CBUF_OVERFLOW_MID," hexmask.long.tbyte 0x24 12.--31. 1. "RESERVED," newline hexmask.long.word 0x24 0.--11. 1. "CBUF_ERR,CBUF overflow condition detected in the middle of a frame" line.long 0x28 "STATUS_CBUF_OVERFLOW_WRAP," hexmask.long.tbyte 0x28 12.--31. 1. "RESERVED," newline hexmask.long.word 0x28 0.--11. 1. "CBUF_ERR,CBUF overflow condition detected during buffer switching" line.long 0x2C "STATUS_CBUF_UNDERFLOW," hexmask.long.tbyte 0x2C 12.--31. 1. "RESERVED," newline hexmask.long.word 0x2C 0.--11. 1. "CBUF_ERR,CBUF underflow condition detected" line.long 0x30 "STATUS_CBUF_SHORT_FRAME_DETECT," hexmask.long.tbyte 0x30 12.--31. 1. "RESERVED," newline hexmask.long.word 0x30 0.--11. 1. "CBUF_ERR,CBUF short frame detected" rgroup.long 0x360++0x03 line.long 0x00 "LAST_ILLEGAL_OCMC_ADDR," repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "CBUF_k_LAST_RD_ADDR_$1," repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "CBUF_k_LAST_WR_ADDR_$1," repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(increment 0x00 0x10) group.long ($2+0x24C)++0x03 line.long 0x00 "CBUF_i_OCMC_BUF_SIZE_$1," hexmask.long.word 0x00 20.--31. 1. "RESERVED," hexmask.long.word 0x00 4.--19. 1. "BUF_SIZE,SRAM size allocated for this CBUF - bits [19:4]" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(increment 0x00 0x10) group.long ($2+0x248)++0x03 line.long 0x00 "CBUF_i_OCMC_START_ADDR_$1," hexmask.long.word 0x00 22.--31. 1. "RESERVED," hexmask.long.tbyte 0x00 4.--21. 1. "ADDR,SRAM start address for this CBUF - bits [21:4]" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(increment 0x00 0x10) group.long ($2+0x244)++0x03 line.long 0x00 "CBUF_i_VBUF_END_ADDR_$1," hexmask.long.byte 0x00 24.--31. 1. "RESERVED,The virtual address range is determined by theOCMC_MEM_SIZE_READ[16:12] VBUF_ADDR_MSB bit field" hexmask.long.tbyte 0x00 4.--23. 1. "ADDR,Virtual frame end address for this CBUF - bits [23:4]" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 12. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. )(increment 0x00 0x10) group.long ($2+0x240)++0x03 line.long 0x00 "CBUF_i_VBUF_START_ADDR_$1," hexmask.long.byte 0x00 24.--31. 1. "RESERVED,The virtual address range is determined by theOCMC_MEM_SIZE_READ[16:12] VBUF_ADDR_MSB bit field" hexmask.long.tbyte 0x00 4.--23. 1. "ADDR,Virtual frame start address for this CBUF - bits [23:4]" newline rbitfld.long 0x00 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) rgroup.long ($2+0xA0)++0x03 line.long 0x00 "STATUS_SEC_ERROR_DISTR_$1,SEC data error bit distribution status [31:0]" repeat.end tree.end repeat.end tree "OCMC_RAM1_FW" base ad:0x4A212000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "OCMC_RAM1_FW_CFG_TARG" base ad:0x4A213000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "OCMC_RAM1_TARG" base ad:0x44000F00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "OCMC_RAM1_TARG" base ad:0x48805000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "OCMC_RAM2_FW" base ad:0x4A20E000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "OCMC_RAM2_FW_CFG_TARG" base ad:0x4A20F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "OCMC_RAM2_TARG" base ad:0x44001700 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "OCMC_RAM2_TARG" base ad:0x4880B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "OCMC_RAM3_FW" base ad:0x4A22A000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 0xF0 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 15. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 0x80 0x90 0xA0 0xB0 0xC0 0xD0 0xE0 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "OCMC_RAM3_FW_CFG_TARG" base ad:0x4A22B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "OCMC_RAM3_TARG" base ad:0x44001900 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "OCMC_RAM3_TARG" base ad:0x48811000 rgroup.long 0x00++0x03 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" rgroup.long 0x2004++0x03 line.long 0x00 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x2018++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 2. (list 1. 3. )(list ad:0x4A080000 ad:0x4A090000 ) tree "OCP2SCP$1" base $2 rgroup.long 0x00++0x03 line.long 0x00 "OCP2SCP_REVISION,Revision register" group.long 0x10++0x0B line.long 0x00 "OCP2SCP_SYSCONFIG,System configuration register" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software Reset" "SOFTRESET_0,SOFTRESET_1" bitfld.long 0x00 0. "AUTOIDLE,OCP interface clock gating control" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "OCP2SCP_SYSSTATUS,System Status register" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "RESETDONE,Reset done" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "OCP2SCP_TIMING,Timing register" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7.--9. "DIVISIONRATIO,Division Ration of the SCP clock in relation to OCP input clock" "0,1,2,3,4,5,6,7" bitfld.long 0x08 4.--6. "SYNC1,Number of SCPclock cycles defining SYNC1" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--3. "SYNC2,Number of SCPclock cycles defining SYNC2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end repeat.end tree "OCP2SCP2_L4_CFG" base ad:0x4A0A0000 rgroup.long 0x00++0x03 line.long 0x00 "OCP2SCP_REVISION,IP Revision Identifier (X.Y.R)" group.long 0x10++0x0B line.long 0x00 "OCP2SCP_SYSCONFIG,SYSTEM CONFIGURATION REGISTER" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 3.--4. "IDLEMODE,00 Force Idle" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3_r" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software Reset" "SOFTRESET_0,SOFTRESET_1" bitfld.long 0x00 0. "AUTOIDLE,OCP clock gating control" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "OCP2SCP_SYSSTATUS,System Status register" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "RESETDONE," "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "OCP2SCP_TIMING,Timing constraints for the OCP2SCP module" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7.--9. "DIVISIONRATIO,Division Ration of the SCP clock in relation to OCP input clock" "0,1,2,3,4,5,6,7" bitfld.long 0x08 4.--6. "SYNC1,Number of SCPclock cycles defining SYNC1" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--3. "SYNC2,Number of SCPclock cycles defining SYNC2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "OCP2SCP3" base ad:0x4A090000 rgroup.long 0x00++0x03 line.long 0x00 "OCP2SCP_REVISION,IP Revision Identifier (X.Y.R)" bitfld.long 0x00 30.--31. "SCHEME,Used to distinguish between old Scheme and current" "0,1,2,3" bitfld.long 0x00 28.--29. "RESERVED,Reads return 0x1" "0,1,2,3" hexmask.long.word 0x00 16.--27. 1. "FUNC,Function: Indicates a software compatible module family" bitfld.long 0x00 11.--15. "RTL,RTL version This field changes on bug fix and resets to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 8.--10. "MAJOR,ajor Revision This field changes when there is a major feature change" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "CUSTOM,Indicates a special version for a particular device" "0,1,2,3" bitfld.long 0x00 0.--5. "MINOR,inor Revision This field changes when features are scaled up or down" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x10++0x0B line.long 0x00 "OCP2SCP_SYSCONFIG,SYSTEM CONFIGURATION REGISTER" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 3.--4. "IDLEMODE," "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Reserved" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software Reset" "SOFTRESET_0,SOFTRESET_1" bitfld.long 0x00 0. "AUTOIDLE,OCP interface clock gating control" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "OCP2SCP_SYSSTATUS,System Status register" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "RESETDONE," "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "OCP2SCP_TIMING,Interrupt Status Register (legacy) for first line of interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7.--9. "DIVISIONRATIO,Division Ration of the SCP clock in relation to OCP input clock" "0,1,2,3,4,5,6,7" bitfld.long 0x08 4.--6. "SYNC1,Number of SCPclock cycles defining SYNC1" "0,1,2,3,4,5,6,7" bitfld.long 0x08 0.--3. "SYNC2,Number of SCPclock cycles defining SYNC2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "OCP_SOCKET_PRM" base ad:0x4AE06000 rgroup.long 0x00++0x03 line.long 0x00 "REVISION_PRM,This register contains the IP revision code for the PRM part of the PRCM" group.long 0x10++0x13 line.long 0x00 "PRM_IRQSTATUS_MPU,This register provides status on MPU interrupt events" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" bitfld.long 0x00 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" newline bitfld.long 0x00 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" hexmask.long.word 0x00 13.--27. 1. "RESERVED," bitfld.long 0x00 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x00 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" rbitfld.long 0x00 10. "RESERVED," "0,1" bitfld.long 0x00 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" newline bitfld.long 0x00 8. "TRANSITION_ST,Software supervised transition completed event interrupt status (any domain)" "TRANSITION_ST_0,TRANSITION_ST_1" bitfld.long 0x00 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x00 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x00 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" bitfld.long 0x00 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" newline bitfld.long 0x00 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" bitfld.long 0x00 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x00 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" line.long 0x04 "PRM_IRQSTATUS_MPU_2,This register provides status on MPU interrupt events" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," bitfld.long 0x04 7. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" hexmask.long.byte 0x04 0.--6. 1. "RESERVED," line.long 0x08 "PRM_IRQENABLE_MPU,This register is used to enable or disable MPU interrupt activation" bitfld.long 0x08 31. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x08 30. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" bitfld.long 0x08 29. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" newline hexmask.long.tbyte 0x08 12.--28. 1. "RESERVED," bitfld.long 0x08 11. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" bitfld.long 0x08 10. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" newline bitfld.long 0x08 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" bitfld.long 0x08 8. "TRANSITION_EN,Software supervised transition completed event interrupt enable (any domain)" "TRANSITION_EN_0,TRANSITION_EN_1" bitfld.long 0x08 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" newline bitfld.long 0x08 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" bitfld.long 0x08 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x08 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" newline bitfld.long 0x08 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" bitfld.long 0x08 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x08 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" newline bitfld.long 0x08 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x0C "PRM_IRQENABLE_MPU_2,This register is used to enable or disable MPU interrupt activation" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," bitfld.long 0x0C 7. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED," line.long 0x10 "PRM_IRQSTATUS_IPU2,This register provides status on IPU2 interrupt events" bitfld.long 0x10 31. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" bitfld.long 0x10 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" bitfld.long 0x10 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" newline bitfld.long 0x10 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" hexmask.long.word 0x10 13.--27. 1. "RESERVED," bitfld.long 0x10 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x10 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" bitfld.long 0x10 10. "FORCEWKUP_ST,IPU domain software supervised wakeup transition completed event interrupt status" "FORCEWKUP_ST_0,FORCEWKUP_ST_1" bitfld.long 0x10 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" newline bitfld.long 0x10 8. "TRANSITION_ST,Software supervised transition completed event interrupt status (any domain)" "TRANSITION_ST_0,TRANSITION_ST_1" bitfld.long 0x10 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x10 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x10 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x10 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" bitfld.long 0x10 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" newline bitfld.long 0x10 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" bitfld.long 0x10 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x10 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" group.long 0x28++0x03 line.long 0x00 "PRM_IRQENABLE_IPU2,This register is used to enable or disable IPU2 interrupt activation" bitfld.long 0x00 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x00 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x00 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x00 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x00 13.--27. 1. "RESERVED," bitfld.long 0x00 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x00 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x00 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x00 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline bitfld.long 0x00 8. "TRANSITION_EN,Software supervised transition completed event interrupt enable (any domain)" "TRANSITION_EN_0,TRANSITION_EN_1" bitfld.long 0x00 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x00 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x00 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x00 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x00 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x00 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x00 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" group.long 0x30++0x03 line.long 0x00 "PRM_IRQSTATUS_DSP1,This register provides status on DSP1 interrupt events" bitfld.long 0x00 31. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" bitfld.long 0x00 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" bitfld.long 0x00 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" newline bitfld.long 0x00 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" hexmask.long.word 0x00 13.--27. 1. "RESERVED," bitfld.long 0x00 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x00 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" bitfld.long 0x00 10. "FORCEWKUP_ST,IPU domain software supervised wakeup transition completed event interrupt status" "FORCEWKUP_ST_0,FORCEWKUP_ST_1" bitfld.long 0x00 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" newline rbitfld.long 0x00 8. "RESERVED," "0,1" bitfld.long 0x00 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x00 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x00 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" bitfld.long 0x00 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" newline bitfld.long 0x00 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" bitfld.long 0x00 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x00 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" group.long 0x38++0x03 line.long 0x00 "PRM_IRQENABLE_DSP1,This register is used to enable or disable DSP1 interrupt activation" bitfld.long 0x00 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x00 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x00 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x00 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x00 14.--27. 1. "RESERVED," bitfld.long 0x00 13. "DPLL_USB_RECAL_EN,USB DPLL recalibration interrupt enable" "DPLL_USB_RECAL_EN_0,DPLL_USB_RECAL_EN_1" newline bitfld.long 0x00 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" bitfld.long 0x00 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x00 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" newline bitfld.long 0x00 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" rbitfld.long 0x00 8. "RESERVED," "0,1" bitfld.long 0x00 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" newline bitfld.long 0x00 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" bitfld.long 0x00 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" newline bitfld.long 0x00 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" bitfld.long 0x00 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x00 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" newline bitfld.long 0x00 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" group.long 0x40++0x1F line.long 0x00 "CM_PRM_PROFILING_CLKCTRL,This register manages the PRM_PROFILING clock" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" hexmask.long.word 0x00 2.--15. 1. "RESERVED," newline bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" line.long 0x04 "PRM_IRQENABLE_DSP2,This register is used to enable or disable DSP2 interrupt activation" bitfld.long 0x04 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x04 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x04 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x04 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x04 13.--27. 1. "RESERVED," bitfld.long 0x04 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x04 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x04 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x04 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline rbitfld.long 0x04 8. "RESERVED," "0,1" bitfld.long 0x04 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x04 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x04 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x04 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x04 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x04 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x04 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x04 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x08 "PRM_IRQENABLE_EVE1,This register is used to enable or disable EVE1 interrupt activation" bitfld.long 0x08 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x08 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x08 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x08 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x08 13.--27. 1. "RESERVED," bitfld.long 0x08 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x08 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x08 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x08 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline rbitfld.long 0x08 8. "RESERVED," "0,1" bitfld.long 0x08 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x08 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x08 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x08 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x08 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x08 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x08 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x08 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x0C "PRM_IRQENABLE_EVE2,This register is used to enable or disable EVE2 interrupt activation" bitfld.long 0x0C 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x0C 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x0C 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x0C 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x0C 13.--27. 1. "RESERVED," bitfld.long 0x0C 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x0C 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x0C 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x0C 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline rbitfld.long 0x0C 8. "RESERVED," "0,1" bitfld.long 0x0C 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x0C 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x0C 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x0C 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x0C 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x0C 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x0C 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x0C 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x10 "PRM_IRQENABLE_EVE3,This register is used to enable or disable EVE3 interrupt activation" bitfld.long 0x10 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x10 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x10 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x10 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x10 13.--27. 1. "RESERVED," bitfld.long 0x10 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x10 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x10 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x10 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline rbitfld.long 0x10 8. "RESERVED," "0,1" bitfld.long 0x10 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x10 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x10 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x10 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x10 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x10 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x10 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x10 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x14 "PRM_IRQENABLE_EVE4,This register is used to enable or disable EVE4 interrupt activation upon" bitfld.long 0x14 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x14 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x14 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x14 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x14 13.--27. 1. "RESERVED," bitfld.long 0x14 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x14 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x14 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x14 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" bitfld.long 0x14 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x14 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x14 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x14 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x14 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x14 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x14 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x14 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x18 "PRM_IRQENABLE_IPU1,This register is used to enable or disable IPU1 interrupt activation" bitfld.long 0x18 31. "ABB_MPU_DONE_EN,MPU ABB mode change done enable" "ABB_MPU_DONE_EN_0,ABB_MPU_DONE_EN_1" bitfld.long 0x18 30. "ABB_IVA_DONE_EN,IVA ABB mode change done enable" "ABB_IVA_DONE_EN_0,ABB_IVA_DONE_EN_1" bitfld.long 0x18 29. "ABB_DSPEVE_DONE_EN,DSPEVE ABB mode change done enable" "ABB_DSPEVE_DONE_EN_0,ABB_DSPEVE_DONE_EN_1" newline bitfld.long 0x18 28. "ABB_GPU_DONE_EN,GPU ABB mode change done enable" "ABB_GPU_DONE_EN_0,ABB_GPU_DONE_EN_1" hexmask.long.word 0x18 13.--27. 1. "RESERVED," bitfld.long 0x18 12. "DPLL_EVE_RECAL_EN,EVE DPLL recalibration interrupt enable" "DPLL_EVE_RECAL_EN_0,DPLL_EVE_RECAL_EN_1" newline bitfld.long 0x18 11. "DPLL_DSP_RECAL_EN,DSP DPLL recalibration interrupt enable" "DPLL_DSP_RECAL_EN_0,DPLL_DSP_RECAL_EN_1" bitfld.long 0x18 10. "FORCEWKUP_EN,IPU domain software supervised wakeup transition completed event interrupt enable" "FORCEWKUP_EN_0,FORCEWKUP_EN_1" bitfld.long 0x18 9. "IO_EN,IO pad event interrupt enable" "IO_EN_0,IO_EN_1" newline bitfld.long 0x18 8. "TRANSITION_EN,Software supervised transition completed event interrupt enable (any domain)" "TRANSITION_EN_0,TRANSITION_EN_1" bitfld.long 0x18 7. "DPLL_DDR_RECAL_EN,DDR DPLL recalibration interrupt enable" "DPLL_DDR_RECAL_EN_0,DPLL_DDR_RECAL_EN_1" bitfld.long 0x18 6. "DPLL_GPU_RECAL_EN,GPU DPLL recalibration interrupt enable" "DPLL_GPU_RECAL_EN_0,DPLL_GPU_RECAL_EN_1" newline bitfld.long 0x18 5. "DPLL_GMAC_RECAL_EN,GMAC DPLL recalibration interrupt enable" "DPLL_GMAC_RECAL_EN_0,DPLL_GMAC_RECAL_EN_1" bitfld.long 0x18 4. "DPLL_ABE_RECAL_EN,ABEDPLL recalibration interrupt enable" "DPLL_ABE_RECAL_EN_0,DPLL_ABE_RECAL_EN_1" bitfld.long 0x18 3. "DPLL_PER_RECAL_EN,PER DPLL recalibration interrupt enable" "DPLL_PER_RECAL_EN_0,DPLL_PER_RECAL_EN_1" newline bitfld.long 0x18 2. "DPLL_IVA_RECAL_EN,IVA DPLL recalibration interrupt enable" "DPLL_IVA_RECAL_EN_0,DPLL_IVA_RECAL_EN_1" bitfld.long 0x18 1. "DPLL_MPU_RECAL_EN,MPU DPLL recalibration interrupt enable" "DPLL_MPU_RECAL_EN_0,DPLL_MPU_RECAL_EN_1" bitfld.long 0x18 0. "DPLL_CORE_RECAL_EN,CORE DPLL recalibration interrupt enable" "DPLL_CORE_RECAL_EN_0,DPLL_CORE_RECAL_EN_1" line.long 0x1C "PRM_IRQSTATUS_DSP2,This register provides status on DSP interrupt events" bitfld.long 0x1C 31. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" bitfld.long 0x1C 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" bitfld.long 0x1C 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" newline bitfld.long 0x1C 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" hexmask.long.word 0x1C 13.--27. 1. "RESERVED," bitfld.long 0x1C 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x1C 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" bitfld.long 0x1C 10. "FORCEWKUP_ST,IPU domain software supervised wakeup transition completed event interrupt status" "FORCEWKUP_ST_0,FORCEWKUP_ST_1" bitfld.long 0x1C 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" newline rbitfld.long 0x1C 8. "RESERVED," "0,1" bitfld.long 0x1C 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x1C 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x1C 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x1C 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" bitfld.long 0x1C 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" newline bitfld.long 0x1C 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" bitfld.long 0x1C 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x1C 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" group.long 0x70++0x03 line.long 0x00 "PRM_IRQSTATUS_IPU1,This register provides status on IPU1 interrupt events" bitfld.long 0x00 31. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" bitfld.long 0x00 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" bitfld.long 0x00 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" newline bitfld.long 0x00 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" hexmask.long.word 0x00 13.--27. 1. "RESERVED," bitfld.long 0x00 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x00 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" bitfld.long 0x00 10. "FORCEWKUP_ST,IPU domain software supervised wakeup transition completed event interrupt status" "FORCEWKUP_ST_0,FORCEWKUP_ST_1" bitfld.long 0x00 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" newline bitfld.long 0x00 8. "TRANSITION_ST,Software supervised transition completed event interrupt status (any domain)" "TRANSITION_ST_0,TRANSITION_ST_1" bitfld.long 0x00 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x00 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x00 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" bitfld.long 0x00 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" newline bitfld.long 0x00 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" bitfld.long 0x00 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x00 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" rgroup.long 0xF4++0x03 line.long 0x00 "PRM_DEBUG_OUT,This register is used to monitor the PRM's 32 bit HEDEBUG BUS [warm reset insensitive]" repeat 4. (list 1. 2. 3. 4. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0xE4)++0x03 line.long 0x00 "PRM_DEBUG_CFG$1,This register is used to configure the PRM's 32-bit debug output" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--8. 1. "SEL1,Internal signal block select for debug word byte-1" repeat.end repeat 4. (list 1. 2. 3. 4. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x60)++0x03 line.long 0x00 "PRM_IRQSTATUS_EVE$1,This register provides status on EVE interrupt events" bitfld.long 0x00 31. "ABB_MPU_DONE_ST,MPU ABB mode change completion status" "ABB_MPU_DONE_ST_0,ABB_MPU_DONE_ST_1" bitfld.long 0x00 30. "ABB_IVA_DONE_ST,IVA ABB mode change completion status" "ABB_IVA_DONE_ST_0,ABB_IVA_DONE_ST_1" newline bitfld.long 0x00 29. "ABB_DSPEVE_DONE_ST,DSPEVE ABB mode change completion status" "ABB_DSPEVE_DONE_ST_0,ABB_DSPEVE_DONE_ST_1" bitfld.long 0x00 28. "ABB_GPU_DONE_ST,GPU ABB mode change completion status" "ABB_GPU_DONE_ST_0,ABB_GPU_DONE_ST_1" newline hexmask.long.word 0x00 13.--27. 1. "RESERVED," bitfld.long 0x00 12. "DPLL_EVE_RECAL_ST,EVE DPLL recalibration interrupt status" "DPLL_EVE_RECAL_ST_0,DPLL_EVE_RECAL_ST_1" newline bitfld.long 0x00 11. "DPLL_DSP_RECAL_ST,DSP DPLL recalibration interrupt status" "DPLL_DSP_RECAL_ST_0,DPLL_DSP_RECAL_ST_1" bitfld.long 0x00 10. "FORCEWKUP_ST,IPU domain software supervised wakeup transition completed event interrupt status" "FORCEWKUP_ST_0,FORCEWKUP_ST_1" newline bitfld.long 0x00 9. "IO_ST,IO pad event interrupt status" "IO_ST_0,IO_ST_1" rbitfld.long 0x00 8. "RESERVED," "0,1" newline bitfld.long 0x00 7. "DPLL_DDR_RECAL_ST,DDR DPLL recalibration interrupt status" "DPLL_DDR_RECAL_ST_0,DPLL_DDR_RECAL_ST_1" bitfld.long 0x00 6. "DPLL_GPU_RECAL_ST,GPU DPLL recalibration interrupt status" "DPLL_GPU_RECAL_ST_0,DPLL_GPU_RECAL_ST_1" newline bitfld.long 0x00 5. "DPLL_GMAC_RECAL_ST,GMAC DPLL recalibration interrupt status" "DPLL_GMAC_RECAL_ST_0,DPLL_GMAC_RECAL_ST_1" bitfld.long 0x00 4. "DPLL_ABE_RECAL_ST,ABE DPLL recalibration interrupt status" "DPLL_ABE_RECAL_ST_0,DPLL_ABE_RECAL_ST_1" newline bitfld.long 0x00 3. "DPLL_PER_RECAL_ST,PER DPLL recalibration interrupt status" "DPLL_PER_RECAL_ST_0,DPLL_PER_RECAL_ST_1" bitfld.long 0x00 2. "DPLL_IVA_RECAL_ST,IVA DPLL recalibration interrupt status" "DPLL_IVA_RECAL_ST_0,DPLL_IVA_RECAL_ST_1" newline bitfld.long 0x00 1. "DPLL_MPU_RECAL_ST,MPU DPLL recalibration interrupt status" "DPLL_MPU_RECAL_ST_0,DPLL_MPU_RECAL_ST_1" bitfld.long 0x00 0. "DPLL_CORE_RECAL_ST,CORE DPLL recalibration interrupt status" "DPLL_CORE_RECAL_ST_0,DPLL_CORE_RECAL_ST_1" repeat.end tree.end tree "OCP_WP_NOC_TARG" base ad:0x4A103000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_H,Error reporting" line.long 0x14 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x14 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x14 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x14 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 0. "OCP_RESET,L3 Reset" "0,1" tree.end tree "PCIE1_FW" base ad:0x4A165000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 0x70 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "PCIe1_PHY_RX" base ad:0x4A094000 group.long 0x0C++0x03 line.long 0x00 "PCIEPHYRX_ANA_PROGRAMMABILITY_REG1,Programmability for different analog circuits in the PHY" hexmask.long.tbyte 0x00 8.--31. 1. "MEM_ANATESTMODE,Programmability for Analog circuits in the PHY" bitfld.long 0x00 7. "RESERVED," "0,1" newline bitfld.long 0x00 5.--6. "MEM_PLLDIV,This is a test mode" "0,1,2,3" rbitfld.long 0x00 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x1C++0x03 line.long 0x00 "PCIEPHYRX_TRIM_REG4,The IP requires some values to be remembered in EFUSE" bitfld.long 0x00 30.--31. "MEM_DLL_TRIM_SEL,Determines which of the 4 EFUSE registers EFUSE_dll_rateN_coarsetrim should be used as the trim code by the DLL" "0,1,2,3" hexmask.long 0x00 0.--29. 1. "RESERVED," group.long 0x24++0x07 line.long 0x00 "PCIEPHYRX_DLL_REG1,This register is used to program DLL settings" bitfld.long 0x00 30.--31. "MEM_DLL_PHINT_RATE,Programs the DLL and the Phase Interpolator analog circuits to work with different clock frequencies" "0,1,2,3" hexmask.long 0x00 0.--29. 1. "RESERVED," line.long 0x04 "PCIEPHYRX_DIGITAL_MODES_REG1,This register contains control bits which affect different circuits in digital section" bitfld.long 0x04 31. "MEM_INV_RXPN_PAIR,If '1' interchanges RXP and RXN effectively by inverting the received data samples" "0,1" bitfld.long 0x04 30. "MEM_OVRD_INV_RXPN_PAIR,Pin override control" "0,1" newline rbitfld.long 0x04 29. "RESERVED," "0,1" bitfld.long 0x04 27.--28. "MEM_HS_RATE,Determines the ratio of pll_clk (after the bypassing by MEM_en_pllbyp and the division by MEM_plldiv) frequency and the output data rate" "Full Rate,Half Rate,Quarter Rate,RESERVED" newline bitfld.long 0x04 26. "MEM_OVRD_HS_RATE,Pin override control" "0,1" rbitfld.long 0x04 24.--25. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 23. "MEM_CDR_FASTLOCK,'1' to reduce lock time of CDR (clock-data-recovery circuit)" "0,1" bitfld.long 0x04 21.--22. "MEM_CDR_LBW,CDR band-width control" "0,1,2,3" newline bitfld.long 0x04 19.--20. "MEM_CDR_STEPCNT,CDR 2nd order setting" "0,1,2,3" bitfld.long 0x04 16.--18. "MEM_CDR_STL,CDR settling time" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 13.--15. "MEM_CDR_THR,CDR 1st order threshold" "0,1,2,3,4,5,6,7" bitfld.long 0x04 12. "MEM_CDR_THR_MODE,CDR 1st order threshold" "0,1" newline bitfld.long 0x04 11. "MEM_CDR_2NDO_SDM_MODE,If '1' the 2nd Order CDR block uses a 1st order Sigma Delta Modulator to accomplish frequency offset If '0' a simple rate transformer is used for the same purpose" "0,1" hexmask.long.word 0x04 0.--10. 1. "RESERVED," group.long 0x38++0x03 line.long 0x00 "PCIEPHYRX_EQUALIZER_REG1,The module has an Equalizer (with analog and digital parts) which addresses Inter Symbol Interference (ISI)" hexmask.long.word 0x00 16.--31. 1. "MEM_EQLEV,Equalizer level control" bitfld.long 0x00 11.--15. "MEM_EQFTC,Equalizer zero freq control" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 7.--10. "MEM_EQCTL," "?,Fully adaptive; FTC normal,Fully adaptive; FTC inverted,Hold equalizer state 01xx - Init equalizer to..,?,?,?,?,Partially adaptive; zero=1084 MHz,Partially adaptive; zero= 805 MHz,Partially adaptive; zero= 573 MHz,Partially adaptive; zero= 402 MHZ,Partially adaptive; zero= 304 MHz,Partially adaptive; zero= 216 MHz,Partially adaptive; zero= 156 MHz,Partially adaptive; zero= 135 MHz" rbitfld.long 0x00 3.--6. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 2. "MEM_OVRD_EQLEV,Continuosly forces the Equalizer output with the MEM_EQLEV[15:0]" "0,1" bitfld.long 0x00 1. "MEM_OVRD_EQFTC,Continuosly forces the Equalizer output with the MEM_EQFTC[4:0]" "0,1" newline rbitfld.long 0x00 0. "RESERVED," "0,1" tree.end tree "PCIe1_PHY_TX" base ad:0x4A094400 group.long 0x0C++0x07 line.long 0x00 "PCIEPHYTX_FUNC_CONFIG_REG,Functional Configuration registers" bitfld.long 0x00 31. "MEM_INVPAIR,Invert polarity of TXP/TXN" "0,1" hexmask.long 0x00 0.--30. 1. "RESERVED," line.long 0x04 "PCIEPHYTX_DRIVER_DATA_CONFIG1,Configures the Driver data pattern" hexmask.long.byte 0x04 25.--31. 1. "MEM_EVEN_OUT_CONFIG0,Overriding the even TX data driver - to AFE" hexmask.long.byte 0x04 18.--24. 1. "MEM_ODD_OUT_CONFIG0,Overriding the odd TX data driver - to AFE" newline hexmask.long.byte 0x04 11.--17. 1. "MEM_EVEN_OUT_CONFIG1,Overriding the even TX data driver - to AFE" hexmask.long.byte 0x04 4.--10. 1. "MEM_ODD_OUT_CONFIG1,Overriding the odd TX data driver - to AFE" newline bitfld.long 0x04 2.--3. "MEM_HS_RATE_ANA_OVERRIDE,Override for the HS rate signal going to the AFE" "0,1,2,3" bitfld.long 0x04 1. "MEM_OVRD_HS_RATE_ANA_OVERRIDE,Pin override for the hs_rate_ana_override" "0,1" newline bitfld.long 0x04 0. "RESERVED,Reserved" "0,1" group.long 0x2C++0x07 line.long 0x00 "PCIEPHYTX_TEST_CONFIG_REG,Test related configuration registers" rbitfld.long 0x00 31. "RESERVED,Keep at 0" "0,1" bitfld.long 0x00 30. "MEM_EN_LPBK,Loopback enable for test" "0,1" newline bitfld.long 0x00 29. "MEM_ENTXPATT,Enable Test pattern to input of the serializer instead of TD" "0,1" bitfld.long 0x00 26.--28. "MEM_TESTPATT,Select the LFSR mode to generate the required pattern" "?,generate 1010 pattern,?,?,Fixed 31 bit value from pattgen_preload_val,?..." newline hexmask.long 0x00 0.--25. 1. "RESERVED," line.long 0x04 "PCIEPHYTX_PATTGEN_PRELOAD,Pattern generator (31 bit) LFSR Seed or preload value" hexmask.long 0x04 1.--31. 1. "MEM_PATTGEN_PRELOAD_VAL,Preload value to the LFSR pattern generator" bitfld.long 0x04 0. "RESERVED," "0,1" tree.end tree "PCIE1_TARG" base ad:0x44003700 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "PCIE2_TARG" base ad:0x44003800 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "PCIe_SS1_EP_CFG_DBICS" base ad:0x51000000 group.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_DBICS_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_DBICS_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_DBICS_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_DBICS_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_DBICS_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x14 "PCIECTRL_EP_DBICS_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x18 "PCIECTRL_EP_DBICS_BAR2,Base Address Register 2 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x18 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x18 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x18 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x18 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x18 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x1C "PCIECTRL_EP_DBICS_BAR3,Base Address Register 3 If BAR2.AS =" hexmask.long.word 0x1C 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x1C 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x1C 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x1C 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x1C 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x20 "PCIECTRL_EP_DBICS_BAR4,Base Address Register 4 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.tbyte 0x20 12.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.byte 0x20 4.--11. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x20 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x20 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x20 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x24 "PCIECTRL_EP_DBICS_BAR5,Base Address Register 5 If BAR4.AS =" hexmask.long.word 0x24 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x24 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x24 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x24 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x24 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x28 "PCIECTRL_EP_DBICS_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_DBICS_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_DBICS_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_DBICS_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_DBICS_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_DBICS_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" rbitfld.long 0x04 20. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_DBICS_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0.--1. "PM_STATE,Power Management Control and Status Register" "PM_STATE_0,PM_STATE_1,PM_STATE_2,PM_STATE_3" group.long 0x50++0x0F line.long 0x00 "PCIECTRL_EP_DBICS_MSI_CAP,Message Signaled Interrupt Capability structure header" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "PVM_EN,MSI Per Vector Masking (PVM) supported" "0,1" newline rbitfld.long 0x00 23. "MSI_64_EN,64-bit Address Capable (CS)" "0,1" bitfld.long 0x00 20.--22. "MME,Multiple Message Enable" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 17.--19. "MMC,Multiple Message Capable (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16. "MSI_EN,MSI Enable" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "MSI_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,MSI Capability ID Read 0x05 MSI" line.long 0x04 "PCIECTRL_EP_DBICS_MSI_ADD_L32,PCIe memory space address of MSI write TLP request. lower 32 bits" hexmask.long 0x04 2.--31. 1. "ADDR,Lower 32-bit address (DWORD aligned)" rbitfld.long 0x04 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x08 "PCIECTRL_EP_DBICS_MSI_ADD_U32,PCIe memory space address of MSI write TLP request. upper 32 bits (used if MSI_64_EN = 1)" line.long 0x0C "PCIECTRL_EP_DBICS_MSI_DATA,Data of MSI write TLP request (modified for multiple vectors)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x0C 0.--15. 1. "DATA,MSI data" group.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_DBICS_PCIE_CAP,PCIE cap structure" rbitfld.long 0x00 30.--31. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_DBICS_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline rbitfld.long 0x04 16.--17. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_DBICS_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED,Reserved" rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_DBICS_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" rbitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "PCIECTRL_EP_DBICS_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_DBICS_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_DBICS_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_DBICS_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_DBICS_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS1_EP_CFG_DBICS2" base ad:0x51001000 group.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_DBICS2_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_DBICS2_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_DBICS2_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_DBICS2_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_DBICS2_BAR0_MASK,Base Address Register 0 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x10 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x10 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x14 "PCIECTRL_EP_DBICS2_BAR1_MASK,Base Address Register 1 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR0 is in 64-bit mode. contains the upper bits of BAR0 mask" hexmask.long 0x14 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x14 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x18 "PCIECTRL_EP_DBICS2_BAR2_MASK,Base Address Register 2 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x18 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x18 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x1C "PCIECTRL_EP_DBICS2_BAR3_MASK,Base Address Register 3 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR2 is in 64-bit mode. contains the upper bits of BAR2 mask" hexmask.long 0x1C 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x1C 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x20 "PCIECTRL_EP_DBICS2_BAR4_MASK,Base Address Register 4 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x20 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x20 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x24 "PCIECTRL_EP_DBICS2_BAR5_MASK,Base Address Register 5 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR4 is in 64-bit mode. contains the upper bits of BAR4 mask" hexmask.long 0x24 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x24 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x28 "PCIECTRL_EP_DBICS2_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_DBICS2_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_DBICS2_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_DBICS2_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_DBICS2_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_DBICS2_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" rbitfld.long 0x04 20. "RESERVED," "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_DBICS2_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED," "0,1" bitfld.long 0x08 0.--1. "PWR_STATE,Device Power State" "PWR_STATE_0,PWR_STATE_1,PWR_STATE_2,PWR_STATE_3" group.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_DBICS2_PCIE_CAP,PCIE cap structure" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_DBICS2_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_DBICS2_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_DBICS2_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "PCIECTRL_EP_DBICS2_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_DBICS2_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_DBICS2_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_DBICS2_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_DBICS2_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS1_EP_CFG_PCIe" base ad:0x20000000 rgroup.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_PCIEWIRE_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_PCIEWIRE_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS)" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x14 "PCIECTRL_EP_PCIEWIRE_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x18 "PCIECTRL_EP_PCIEWIRE_BAR2,Base Address Register 2 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x18 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x18 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x18 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x18 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x18 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x1C "PCIECTRL_EP_PCIEWIRE_BAR3,Base Address Register 3 If BAR2.AS =" hexmask.long.word 0x1C 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x1C 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x1C 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x1C 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x1C 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x20 "PCIECTRL_EP_PCIEWIRE_BAR4,Base Address Register 4 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.tbyte 0x20 12.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.byte 0x20 4.--11. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x20 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x20 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x20 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x24 "PCIECTRL_EP_PCIEWIRE_BAR5,Base Address Register 5 If BAR4.AS =" hexmask.long.word 0x24 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x24 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x24 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x24 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x24 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x28 "PCIECTRL_EP_PCIEWIRE_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_PCIEWIRE_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_PCIEWIRE_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (that is programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_PCIEWIRE_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_PCIEWIRE_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" bitfld.long 0x04 20. "RESERVED," "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED," "0,1" bitfld.long 0x08 0.--1. "PWR_STATE,Device Power State" "PWR_STATE_0,PWR_STATE_1,PWR_STATE_2,PWR_STATE_3" rgroup.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_PCIEWIRE_PCIE_CAP,PCIE cap structure" bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline bitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_DEV_CAP,PCIE Device Capabilities" bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline bitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline bitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" bitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline bitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" bitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),?,8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_EP_PCIEWIRE_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" rbitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_PCIEWIRE_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS1_PL_CONF" base ad:0x51000700 group.long 0x00++0x27 line.long 0x00 "PCIECTRL_PL_LAT_REL_TIM,Ack Latency and Replay Timer Register" hexmask.long.word 0x00 16.--31. 1. "REPLAY_TIME_LIMIT,The replay timer expires when it reaches this limit; The core initiates a replay upon reception of a Nak or when the replay timer expires; The default value depends on number of bytes (NB) per cycle which is defined by the maximum core.." hexmask.long.word 0x00 0.--15. 1. "ACK_LATENCY_TIME_LIMIT,The Ack/Nak latency timer expires when it reaches this limit; The default value depends on number of bytes (NB) per cycle which is defined by the maximum core base frequency of the device PCIe core corresponding to 250 MHz for.." line.long 0x04 "PCIECTRL_PL_VENDOR_SPECIFIC_DLLP,Vendor Specific DLLP Register" line.long 0x08 "PCIECTRL_PL_PT_LNK_R,Port Force Link Register" hexmask.long.byte 0x08 24.--31. 1. "LOW_POWER_ENTR_CNT,The Power Management state will wait for this many clock cycles for the associated completion of a CfgWr to D-state register to go low-power; This register is intended for applications that do not let the core handle a completion for.." rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 16.--21. "FORCED_LINK_COMMAND,Link command transmitted by setting Force_Link (bit 15);" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 15. "FORCE_LINK,Forces the LTSSM state and the Link command specified in this register; Self-clearing" "?,FORCE_LINK_1" newline rbitfld.long 0x08 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 8.--11. "FORCED_LTSSM_STATE,LTSSM state forced by setting Force_Link (bit 15)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x08 0.--7. 1. "LINK_NUM,Link Number; Not used for Endpoint" line.long 0x0C "PCIECTRL_PL_ACK_FREQ_ASPM,Ack Frequency and L0-L1 ASPM Control Register (Sticky)" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" bitfld.long 0x0C 30. "L1_ENTR_WO_L0S,Enter ASPM L1 without receive in L0s; Allow core to enter ASPM L1 even when link partner did not go to L0s (receive is not in L0s); When not set core goes to ASPM L1 only after idle period during which both receive and transmit are in L0s" "0,1" newline bitfld.long 0x0C 27.--29. "L1_ENTR_LAT,L1 Entrance Latency" "1 uS,2 uS,4 uS,8 uS,16 uS,32 uS,64 uS,64 uS.." bitfld.long 0x0C 24.--26. "L0S_ENTR_LAT,L0s Entrance Latency; Values correspond to" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0C 16.--23. 1. "COMMOM_CLK_N_FTS,Alternative N_FTS value for common clock mode" hexmask.long.byte 0x0C 8.--15. 1. "N_FTS,Number of Fast Training Sequence (FTS) ordered sets to be transmitted when exiting L0s to L0; The maximum that can be requested is 255; Value 0 is not supported and may cause LTSSM to go into Recovery upon L0s exit" newline hexmask.long.byte 0x0C 0.--7. 1. "ACK_FREQ,Ack Frequency; Number of pending ACKs accumulated before sending an ACK DLLP" line.long 0x10 "PCIECTRL_PL_PT_LNK_CTRL_R,Port Link Control Register (Sticky)" hexmask.long.byte 0x10 24.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 23. "CROSSLINK_ACT,Crosslink Active" "0,1" newline bitfld.long 0x10 22. "CROSSLINK_EN,Crosslink Enable" "0,1" bitfld.long 0x10 16.--21. "LINK_MODE,Link Mode Enable; Write 1 to bit N to enable (2**N)-lane mode" "?,_1x,?,_2x,?,?,?,_4x,?..." newline hexmask.long.byte 0x10 8.--15. 1. "RESERVED," bitfld.long 0x10 7. "FAST_LINK,Fast Link Mode" "0,1" newline rbitfld.long 0x10 6. "RESERVED," "0,1" bitfld.long 0x10 5. "DL_EN,DLL Link Enable" "0,1" newline rbitfld.long 0x10 4. "RESERVED," "0,1" bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert" "0,1" newline bitfld.long 0x10 2. "LB_EN,Loopback Enable" "0,1" bitfld.long 0x10 1. "SCRAMBLE_DIS,Scramble Disable" "0,1" newline bitfld.long 0x10 0. "VEN_DLLP_REQ,Vendor Specific DLLP transmit Request" "0,1" line.long 0x14 "PCIECTRL_PL_LN_SKW_R,Lane Skew Register (Sticky)" bitfld.long 0x14 31. "DIS_L2L_SKEW,Disable Lane-to-Lane Deskew" "0,1" rbitfld.long 0x14 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 25. "ACKNAK_DIS,Ack/Nak Disable" "0,1" bitfld.long 0x14 24. "FC_DIS,Flow Control Disable" "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "LANE_SKEW,Insert Lane Skew for Transmit" line.long 0x18 "PCIECTRL_PL_SYMB_N_R,Timer Control and Symbol Number Register (Sticky)" hexmask.long.byte 0x18 24.--31. 1. "RESERVED," bitfld.long 0x18 19.--23. "ACK_LATENCY_INC,Timer Modifier for Ack/Nak Latency Timer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 14.--18. "REPLAY_ADJ,Timer Modifier for Replay Timer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x18 8.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC,Configuration Requests targeted at function numbers above this value will be returned with UR (unsupported request)" line.long 0x1C "PCIECTRL_PL_SYMB_T_R,Symbol Timer Register and Filter Mask Register 1 (Sticky)" hexmask.long.word 0x1C 16.--31. 1. "FLT_MSK_1,Mask RADM Filtering and Error Handling Rules: Mask 1" bitfld.long 0x1C 15. "DIS_FC_TIM,Disable FC Watchdog Timer" "0,1" newline rbitfld.long 0x1C 11.--14. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x1C 0.--10. 1. "SKP_INT,SKP Interval Value minus one PIPE clock cycles" line.long 0x20 "PCIECTRL_PL_FL_MSK_R2,Filter Mask Register 2 (Sticky)" line.long 0x24 "PCIECTRL_PL_OBNP_SUBREQ_CTRL,AXI Multiple Outbound Decomposed NP SubRequests Control Register (Sticky)" hexmask.long 0x24 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x24 0. "EN_OBNP_SUBREQ,Enable AXI Multiple Outbound Decomposed NP Sub-Requests" "0,1" rgroup.long 0x30++0x23 line.long 0x00 "PCIECTRL_PL_TR_P_STS_R,Transmit Posted FC Credit Status Register (Sticky)" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 12.--19. 1. "PH_CRDT,Transmit Posted Header FC Credits" newline hexmask.long.word 0x00 0.--11. 1. "PD_CRDT,Transmit Posted Data FC Credits" line.long 0x04 "PCIECTRL_PL_TR_NP_STS_R,Transmit Non-Posted FC Credit Status Register (Sticky)" hexmask.long.word 0x04 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 12.--19. 1. "NPH_CRDT,Transmit Non-Posted Header FC Credits" newline hexmask.long.word 0x04 0.--11. 1. "NPD_CRDT,Transmit Non-Posted Data FC Credits" line.long 0x08 "PCIECTRL_PL_TR_C_STS_R,Transmit Completion FC Credit Status Register (Sticky)" hexmask.long.word 0x08 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x08 12.--19. 1. "CPLH_CRDT,Transmit Completion Header FC Credits" newline hexmask.long.word 0x08 0.--11. 1. "CPLD_CRDT,Transmit Completion Data FC Credits" line.long 0x0C "PCIECTRL_PL_Q_STS_R,Queue Status Register (Sticky)" bitfld.long 0x0C 31. "FC_LATENCY_OVR_EN,FC Latency Timer Override Enable" "0,1" rbitfld.long 0x0C 29.--30. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x0C 16.--28. 1. "FC_LATENCY_OVR,FC Latency Timer Override Value" hexmask.long.word 0x0C 3.--15. 1. "RESERVED," newline rbitfld.long 0x0C 2. "RCVQ_not_EMPTY,Received Queue Not Empty" "0,1" rbitfld.long 0x0C 1. "RTYB_not_EMPTY,Transmit Retry Buffer Not Empty" "0,1" newline rbitfld.long 0x0C 0. "CRDT_not_RTRN,Received TLP FC Credits Not Returned" "0,1" line.long 0x10 "PCIECTRL_PL_VC_TR_A_R1,VC Transmit Arbitration Register 1 (Sticky)" hexmask.long.byte 0x10 24.--31. 1. "WRR_VC3,WRR Weight for VC3" hexmask.long.byte 0x10 16.--23. 1. "WRR_VC2,WRR Weight for VC2" newline hexmask.long.byte 0x10 8.--15. 1. "WRR_VC1,WRR Weight for VC1" hexmask.long.byte 0x10 0.--7. 1. "WRR_VC0,WRR Weight for VC0" line.long 0x14 "PCIECTRL_PL_VC_TR_A_R2,VC Transmit Arbitration Register 2 (Sticky)" hexmask.long.byte 0x14 24.--31. 1. "WRR_VC7,WRR Weight for VC7" hexmask.long.byte 0x14 16.--23. 1. "WRR_VC6,WRR Weight for VC6" newline hexmask.long.byte 0x14 8.--15. 1. "WRR_VC5,WRR Weight for VC5" hexmask.long.byte 0x14 0.--7. 1. "WRR_VC4,WRR Weight for VC4" line.long 0x18 "PCIECTRL_PL_VC0_PR_Q_C,VC0 Posted Receive Queue Control (Sticky)" bitfld.long 0x18 31. "STRICT_VC_PRIORITY,VC Ordering for Receive Queues" "STRICT_VC_PRIORITY_0,STRICT_VC_PRIORITY_1" bitfld.long 0x18 30. "ORDERING_RULES,VC0 TLP Type Ordering Rules" "ORDERING_RULES_0,ORDERING_RULES_1" newline rbitfld.long 0x18 24.--29. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x18 21.--23. "P_QMODE,VC0 Poster TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS Others: Reserved,?..." newline rbitfld.long 0x18 20. "RESERVED," "0,1" hexmask.long.byte 0x18 12.--19. 1. "P_HCRD,VC0 Posted Header Credits" newline hexmask.long.word 0x18 0.--11. 1. "P_DCRD,VC0 Posted Data Credits" line.long 0x1C "PCIECTRL_PL_VC0_NPR_Q_C,VC0 Non-Posted Receive Queue Control (Sticky)" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," bitfld.long 0x1C 21.--23. "NP_QMODE,VC0 Non-Poster TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS Others: Reserved,?..." newline rbitfld.long 0x1C 20. "RESERVED," "0,1" hexmask.long.byte 0x1C 12.--19. 1. "NP_HCRD,VC0 Non-Posted Header Credits" newline hexmask.long.word 0x1C 0.--11. 1. "NP_DCRD,VC0 Non-Posted Data Credits" line.long 0x20 "PCIECTRL_PL_VC0_CR_Q_C,VC0 Completion Receive Queue Control (Sticky)" hexmask.long.byte 0x20 24.--31. 1. "RESERVED," bitfld.long 0x20 21.--23. "CPL_QMODE,VC0 Completion TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS,?..." newline rbitfld.long 0x20 20. "RESERVED," "0,1" hexmask.long.byte 0x20 12.--19. 1. "CPL_HCRD,VC0 Completion Header Credits" newline hexmask.long.word 0x20 0.--11. 1. "CPL_DCRD,VC0 Completion Data Credits" group.long 0x10C++0x0B line.long 0x00 "PCIECTRL_PL_WIDTH_SPEED_CTL,Link Width and Speed Change Control Register (Sticky)" hexmask.long.word 0x00 21.--31. 1. "RESERVED," bitfld.long 0x00 20. "CFG_UP_SEL_DEEMPH,Used to set the de-emphasis level for Upstream Ports" "0,1" newline bitfld.long 0x00 19. "CFG_TX_COMPLIANCE_RCV,Config Tx Compliance Receive Bit" "0,1" bitfld.long 0x00 18. "CFG_PHY_TXSWING,Config PHY Tx Swing" "0,1" newline bitfld.long 0x00 17. "CFG_DIRECTED_SPEED_CHANGE,Directed Speed Change" "0,1" hexmask.long.word 0x00 8.--16. 1. "CFG_LANE_EN,Predetermined Number of Lanes" newline hexmask.long.byte 0x00 0.--7. 1. "CFG_Gen2_N_FTS,Number of Fast Training Sequences" line.long 0x04 "PCIECTRL_PL_PHY_STS_R,PHY Status Register (Sticky)" line.long 0x08 "PCIECTRL_PL_PHY_CTRL_R,PHY Control Register (Sticky)" group.long 0x120++0x07 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_ADDRESS,MSI Controller Address Register (RC-mode MSI receiver)" line.long 0x04 "PCIECTRL_PL_MSI_CTRL_UPPER_ADDRESS,MSI Controller Upper Address Register (RC-mode MSI receiver)" group.long 0x188++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_GPIO,MSI Controller General Purpose IO Register (RC-mode MSI receiver)" group.long 0x1B8++0x07 line.long 0x00 "PCIECTRL_PL_PIPE_LOOPBACK,PIPE loopback control register (Sticky)" bitfld.long 0x00 31. "LOOPBACK_EN,PIPE Loopback Enable" "0,1" hexmask.long 0x00 0.--30. 1. "RESERVED," line.long 0x04 "PCIECTRL_PL_DBI_RO_WR_EN,DIF Read-Only register Write Enable (Sticky)" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "CX_DBI_RO_WR_EN,Control the writability over DIF of certain configuration fields that are RO over the PCIe wire" "CX_DBI_RO_WR_EN_0,CX_DBI_RO_WR_EN_1" group.long 0x1D0++0x07 line.long 0x00 "PCIECTRL_PL_AXIS_SLV_ERR_RESP,AXI Slave Error Response Register (Sticky)" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 3. "RESET_TIMEOUT_ERR_MAP,Graceful Reset and Link Timeout Slave Error Response Mapping" "0,1" newline bitfld.long 0x00 2. "NO_VID_ERR_MAP,Vendor ID Non-existent Slave Error Response Mapping" "0,1" bitfld.long 0x00 1. "DBI_ERR_MAP,DIF Slave Error Response Mapping" "0,1" newline bitfld.long 0x00 0. "SLAVE_ERR_MAP,Global Slave Error Response Mapping" "0,1" line.long 0x04 "PCIECTRL_PL_AXIS_SLV_TIMEOUT,Link Down AXI Slave Timeout Register (Sticky)" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "FLUSH_EN,Enable flush" "0,1" newline hexmask.long.byte 0x04 0.--7. 1. "TIMEOUT_VALUE,Timeout Value (ms)" group.long 0x200++0x23 line.long 0x00 "PCIECTRL_PL_IATU_INDEX,iATU Viewport Register: makes the registers of the corresponding iATU region accessible" bitfld.long 0x00 31. "REGION_DIRECTION," "REGION_DIRECTION_0,REGION_DIRECTION_1" hexmask.long 0x00 4.--30. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "REGION_INDEX,Outbound region from 0 to 15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_PL_IATU_REG_CTRL_1,iATU Region Control 1 Register" hexmask.long.byte 0x04 25.--31. 1. "RESERVED," bitfld.long 0x04 20.--24. "FUNCTION_NUMBER,Outbound: F.N; applied to outgoing TLP (RID) with matching addess Inbound: F.N.-match criteria for incoming TLP (if Function_Number_match_enable=1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 18.--19. "RESERVED," "0,1,2,3" bitfld.long 0x04 16.--17. "AT,Outbound: AT applied to outgoing TLP with matching addess Inbound: AT-match criteria for matching TLP (if AT_match_enable=1)" "0,1,2,3" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 9.--10. "ATTR,Outbound: ATTR applied to outgoing TLP with matching addess Inbound: ATTR-match criteria (if ATTR_match_enable=1)" "0,1,2,3" newline bitfld.long 0x04 8. "TD,Outbound: TD applied to outgoing TLP with matching addess Inbound: TD-match criteria (if TD_match_enable=1)" "0,1" bitfld.long 0x04 5.--7. "TC,Outbound: TC applied to outgoing TLP with matching addess Inbound: TC-match criteria (if TC_match_enable=1)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--4. "TYPE,Outbound: TYPE applied to outgoing TLP with matching addess Inbound: TYPE-match criteria" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "PCIECTRL_PL_IATU_REG_CTRL_2,iATU Region Control 2 Register" bitfld.long 0x08 31. "REGION_ENABLE,Enable AT for this region" "0,1" bitfld.long 0x08 30. "MATCH_MODE,Sets inbound TLP match mode depending on TYPE" "MATCH_MODE_0,MATCH_MODE_1" newline bitfld.long 0x08 29. "INVERT_MODE,Redefine match criteria as outside the defined range (instead of inside)" "0,1" bitfld.long 0x08 28. "CFG_SHIFT_MODE,Enable the shifting of CFG CID (BDF) incoming and outgoing TLP; CFG get mapped to a contiguous" "0,1" newline bitfld.long 0x08 27. "FUZZY_TYPE_MATCH_MODE,Outbound: DMA Bypass Mode Inbound: Relax matching on inbound TLP TYPE: CfgRd0 == CfgRd1 CfgWr0 == CfgWr1 MRd == MRdLk routing field of Msg/MsgD ignored" "0,1" rbitfld.long 0x08 26. "RESERVED," "0,1" newline bitfld.long 0x08 24.--25. "RESPONSE_CODE,Override HW-generated completion status when responding inbound TLP" "No override use HW-generated CS,Unsupported Request,Completer Abort,?..." rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 21. "MESSAGE_CODE_MATCH_ENABLE,Enable MessageCode match criteria on inbound TLP" "0,1" bitfld.long 0x08 20. "VIRTUAL_FUNCTION_NUMBER_MATCH_ENABLE,VIRTUAL FUNCTIONS not IMPLEMENTED: not USED" "0,1" newline bitfld.long 0x08 19. "FUNCTION_NUMBER_MATCH_ENABLE,Outbound: Function Number Translation Bypass Inbound: Enable Function Number match criteria" "0,1" bitfld.long 0x08 18. "AT_MATCH_ENABLE,Enable AT match criteria on inbound TLP ATS not SUPPORTED: DO not USE" "0,1" newline rbitfld.long 0x08 17. "RESERVED," "0,1" bitfld.long 0x08 16. "ATTR_MATCH_ENABLE,Enable ATTR match criteria on inbound TLP" "0,1" newline bitfld.long 0x08 15. "TD_MATCH_ENABLE,Enable TD match criteria on inbound TLP" "0,1" bitfld.long 0x08 14. "TC_MATCH_ENABLE,Enable TC match criteria on inbound TLP" "0,1" newline rbitfld.long 0x08 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 8.--10. "BAR_NUMBER,BAR number for mayching with incoming MEM I/O TLP (if Match_Mode = 1)" "BAR0,BAR1,BAR2,BAR3,BAR4,BAR5,ROM,?..." newline hexmask.long.byte 0x08 0.--7. 1. "MESSAGECODE,Outbound: MessageCode applied to outgoing message TLP with matching addess Inbound: MessageCode-match criteria for infoming message TLP (if Message_Code_match_enable=1)" line.long 0x0C "PCIECTRL_PL_IATU_REG_LOWER_BASE,iATU Region Lower Base Address Register (2**12 = 4kbyte - aligned)" hexmask.long.tbyte 0x0C 12.--31. 1. "IATU_REG_LOWER_BASE," hexmask.long.word 0x0C 0.--11. 1. "ZERO," line.long 0x10 "PCIECTRL_PL_IATU_REG_UPPER_BASE,iATU Region Upper Base Address Register" line.long 0x14 "PCIECTRL_PL_IATU_REG_LIMIT,iATU Region Limit Address Register" hexmask.long.tbyte 0x14 12.--31. 1. "IATU_REG_LIMIT," hexmask.long.word 0x14 0.--11. 1. "ONES," line.long 0x18 "PCIECTRL_PL_IATU_REG_LOWER_TARGET,iATU Region Lower Target Address Register (2**12 = 4kbyte - aligned)" hexmask.long.tbyte 0x18 12.--31. 1. "IATU_REG_LOWER_TARGET," hexmask.long.word 0x18 0.--11. 1. "ZERO," line.long 0x1C "PCIECTRL_PL_IATU_REG_UPPER_TARGET,iATU Region Upper Target Address Register" line.long 0x20 "PCIECTRL_PL_IATU_REG_CTRL_3,iATU Region Control 3 Register; VIRTUAL FUNCTIONS not IMPLEMENTED: not USED" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x130)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_STATUS_N_$1,MSI Controller Interrupt #N Status Register (RC-mode MSI receiver) with N = MSI data [7:5] and STATUS[i] = status of MSI vector #i. with i = MSI data [4:0]" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x12C)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_MASK_N_$1,MSI Controller Interrupt #N Mask Register (RC-mode MSI receiver) with N = MSI data [7:5] and MASK[i] = mask of MSI vector #i. with i = MSI data [4:0]" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x128)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_ENABLE_N_$1,MSI Controller Interrupt #N Enable Register (RC-mode MSI receiver) with N = MSI data [7:5] and ENABLE[i] = enable MSI vector #i. with i = MSI data [4:0]" repeat.end tree.end tree "PCIe_SS1_RC_CFG_DBICS" base ad:0x51000000 group.long 0x00++0x3F line.long 0x00 "PCIECTRL_RC_DBICS_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_RC_DBICS_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express; Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable (BME)" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable (MSE)" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable (ISE)" "0,1" line.long 0x08 "PCIECTRL_RC_DBICS_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_RC_DBICS_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline hexmask.long.byte 0x0C 16.--22. 1. "HEAD_TYP,Header Type" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_RC_DBICS_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LS Bit of I/O addressRead" "32 bit enum=_32BIT,?,64 bit enum=_64BIT,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "SPACE_INDICATOR_0,SPACE_INDICATOR_1" line.long 0x14 "PCIECTRL_RC_DBICS_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O addressRead" "32 bit enum=_32BIT,?,64 bit enum=_64BIT,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "SPACE_INDICATOR_0,SPACE_INDICATOR_1" line.long 0x18 "PCIECTRL_RC_DBICS_BUS_NUM_REG,Bus Number Registers" hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer Not Applicable for PCI Express hence hardwired to 0" hexmask.long.byte 0x18 16.--23. 1. "SUBORD_BUS_NUM,Subordinate Bus Number" newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS_NUM,Secondary Bus Number" hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS_NUM,Primary Bus Number" line.long 0x1C "PCIECTRL_RC_DBICS_IOBASE_LIMIT_SEC_STATUS,IO Base.Limit and Secondary Status Register" bitfld.long 0x1C 31. "DET_PAR_ERR,Detected Parity Error" "0,1" bitfld.long 0x1C 30. "RCVD_SYS_ERR,Received System Error" "0,1" newline bitfld.long 0x1C 29. "RCVD_MSTR_ABORT,Received Master Abort" "0,1" bitfld.long 0x1C 28. "RCVD_TRGT_ABORT,Received Target Error" "0,1" newline bitfld.long 0x1C 27. "SGNLD_TRGT_ABORT,Signaled Target Error" "0,1" rbitfld.long 0x1C 25.--26. "DEVSEL_TIMING,DEVSEL Timing Not Applicable for PCI Express hence hardwired to 0" "0,1,2,3" newline bitfld.long 0x1C 24. "MSTR_DATA_PRTY_ERR,Mastered Data Parity Error" "0,1" rbitfld.long 0x1C 23. "FAST_B2B_CAP,Fast Back to Back Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 22. "RESERVED," "0,1" rbitfld.long 0x1C 21. "C66MHZ_CAPA,66MHz Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 16.--20. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x1C 12.--15. "IO_SPACE_LIMIT,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 8. "IODECODE_32,32 or 16 Bit IO Space" "0,1" newline bitfld.long 0x1C 4.--7. "IO_SPACE_BASE,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x1C 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 0. "IODECODE_32_0,32 or 16 Bit IO Space (CS)" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS_MEM_BASE_LIMIT,Memory Base and Limit Register" hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT_ADDR,Memory Limit Address" rbitfld.long 0x20 16.--19. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE_ADDR,Memory Base Address" rbitfld.long 0x20 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x24 "PCIECTRL_RC_DBICS_PREF_MEM_BASE_LIMIT,Prefetchable Memory Base and Limit Register" hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory End Address" rbitfld.long 0x24 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "MEMDECODE_64,64-Bit Memory Addressing" "0,1" hexmask.long.word 0x24 4.--15. 1. "UPPPREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory start Address" newline rbitfld.long 0x24 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x24 0. "MEMDECODE_64_0,64-Bit Memory Addressing" "0,1" line.long 0x28 "PCIECTRL_RC_DBICS_UPPER_32BIT_PREF_BASEADDR,Upper 32 Bit Prefetachable Base Address Register" line.long 0x2C "PCIECTRL_RC_DBICS_UPPER_32BIT_PREF_LIMITADDR,Upper 32 Bit Prefetachable Limit Address Register" line.long 0x30 "PCIECTRL_RC_DBICS_IO_BASE_LIMIT,IO Base and Limit Register" hexmask.long.word 0x30 16.--31. 1. "UPP16_IOLIMIT,Upper 16 IO Limit Address" hexmask.long.word 0x30 0.--15. 1. "UPP16_IOBASE,Upper 16 IO Base Address" line.long 0x34 "PCIECTRL_RC_DBICS_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" line.long 0x38 "PCIECTRL_RC_DBICS_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x38 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x38 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 1.--10. 1. "RESERVED," bitfld.long 0x38 0. "EXP_ROM_EN,Expansion ROM Enable" "0,1" line.long 0x3C "PCIECTRL_RC_DBICS_BRIDGE_INT,Bridge Control and Int Pin and line" rbitfld.long 0x3C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x3C 27. "DT_SERR_EN,Discard Timer SERR Enable Status" "0,1" newline rbitfld.long 0x3C 26. "DT_STS,Discard Timer Status" "0,1" rbitfld.long 0x3C 25. "SEC_DT,Secondary Discard Timer" "0,1" newline rbitfld.long 0x3C 24. "PRI_DT,Primary Discard Timer" "0,1" rbitfld.long 0x3C 23. "FAST_B2B_EN,Fast Back-to-Back Transactions Enable" "0,1" newline bitfld.long 0x3C 22. "SEC_BUS_RST,Secondary Bus Reset (initiate hot reset)" "0,1" rbitfld.long 0x3C 21. "MST_ABT_MOD,Master Abort Mode" "0,1" newline bitfld.long 0x3C 20. "VGA_16B_DEC,VGA 16-Bit Decode" "0,1" bitfld.long 0x3C 19. "VGA_EN,VGA Enable" "0,1" newline bitfld.long 0x3C 18. "ISA_EN,ISA Enable" "0,1" bitfld.long 0x3C 17. "SERR_EN,SERR Enable" "0,1" newline bitfld.long 0x3C 16. "PERR_RESP_EN,Parity Error Response Enable" "0,1" hexmask.long.byte 0x3C 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x3C 0.--7. 1. "INT_LIN,Interrupt Line" group.long 0x70++0x33 line.long 0x00 "PCIECTRL_RC_DBICS_PCIE_CAP,PCI Express Capability structure header" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented (CS)" "0,1" rbitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type" "?,?,?,?,DEV_TYPE_4_r,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_RC_DBICS_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value for Upstream Port Only (CS)" "0,1,2,3" newline hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value for Upstream Port Only (CS)" rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Extended Tag Field Support (CS)" "0,1" bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" newline bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "?,MAX_PAYLOAD_SIZE_1_r,?,?,?,?,?,?" line.long 0x08 "PCIECTRL_RC_DBICS_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable (Sticky bit)" "AUXPM_EN_0,AUXPM_EN_1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_RC_DBICS_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management; Hardwired to 0 for DS port (RC); (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS) Compare CS2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS) Compare CS2" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_RC_DBICS_LNK_CAS,PCIE Link Control and Status" bitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" bitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "COM_CLK_CFG_0,COM_CLK_CFG_1" bitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline bitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "RCB_0,RCB_1" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" line.long 0x14 "PCIECTRL_RC_DBICS_SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x14 19.--31. 1. "PSN,Physical Slot Number (CS)" bitfld.long 0x14 18. "NCCS,No Command Complete Support (CS)" "0,1" newline bitfld.long 0x14 17. "EIP,Electromechanical Interlock Present (CS)" "0,1" bitfld.long 0x14 15.--16. "SPLS,Slot Power Limit Scale (CS)" "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "SPLV,Slot Power Limit Value (CS)" bitfld.long 0x14 6. "HPC,Hot-Plug Capable (CS)" "0,1" newline bitfld.long 0x14 5. "HPS,Hot-Plug Surprise (CS)" "0,1" bitfld.long 0x14 4. "PIP,Power Indicator Present (CS)" "0,1" newline bitfld.long 0x14 3. "AIP,Attention Indicator Present (CS)" "0,1" bitfld.long 0x14 2. "MRLSP,MRL Sensor Present (CS)" "0,1" newline bitfld.long 0x14 1. "PCP,Power Controller Present (CS)" "0,1" bitfld.long 0x14 0. "ABP,Attention Button Present (CS)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS_SLOT_CAS,Slot Control and Status Register" hexmask.long.byte 0x18 25.--31. 1. "RESERVED," bitfld.long 0x18 24. "DSC,Data Link Layer State Changed" "0,1" newline rbitfld.long 0x18 23. "EIS,Electromechanical Interlock Status" "0,1" rbitfld.long 0x18 22. "PDS,Presence Detect State NO PRESENCE DETECTION IMPLEMENTED: TIED TO 1" "0,1" newline rbitfld.long 0x18 21. "MRLSS,MRL Sensor State" "0,1" bitfld.long 0x18 20. "CC,Command Completed" "0,1" newline bitfld.long 0x18 19. "PDC,Presence Detect Changed" "0,1" bitfld.long 0x18 18. "MRCSC,MRL Sensor Changed" "0,1" newline bitfld.long 0x18 17. "PFD,Power Fault Detected" "0,1" bitfld.long 0x18 16. "ABP,Attention Button Pressed" "0,1" newline rbitfld.long 0x18 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 12. "DSC_EN,Data Link Layer State Changed Enable" "0,1" newline bitfld.long 0x18 11. "EIC,Electromechanical Interlock Control" "0,1" bitfld.long 0x18 10. "PCC,Power Controller Control" "0,1" newline bitfld.long 0x18 8.--9. "PIC,Power Indicator Control" "0,1,2,3" bitfld.long 0x18 6.--7. "AIC,Attention Indicator Control" "0,1,2,3" newline bitfld.long 0x18 5. "HPI_EN,Hot-Plug Interrupt Enable" "0,1" bitfld.long 0x18 4. "CCI_EN,Command Completed Interrupt Enable" "0,1" newline bitfld.long 0x18 3. "PDC_EN,Presence Detect Changed Enable" "0,1" bitfld.long 0x18 2. "MRLSC_EN,MRL Sensor Changed Enable" "0,1" newline bitfld.long 0x18 1. "PFD_EN,Power Fault Detected Enable" "0,1" bitfld.long 0x18 0. "ABP_EN,Attention Button Pressed Enable" "0,1" line.long 0x1C "PCIECTRL_RC_DBICS_ROOT_CAC,Root Control and Capability Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED," rbitfld.long 0x1C 16. "CRSSV,CRS Software Visibility" "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RESERVED," rbitfld.long 0x1C 4. "CRSSV_EN,CRS Software Visibility Enable" "0,1" newline bitfld.long 0x1C 3. "PMEI_EN,PME Interrupt Enable" "0,1" bitfld.long 0x1C 2. "SEFE_EN,System Error on Fatal Error Enable" "0,1" newline bitfld.long 0x1C 1. "SENE_EN,System Error on Non-fatal Error Enable" "0,1" bitfld.long 0x1C 0. "SECE_EN,System Error on Correctable Error Enable" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS_ROOT_STS,Root Status Register" hexmask.long.word 0x20 18.--31. 1. "RESERVED," rbitfld.long 0x20 17. "PME_PND,PME Pending" "0,1" newline bitfld.long 0x20 16. "PME_STS,PME Status (Sticky bit)" "0,1" hexmask.long.word 0x20 0.--15. 1. "PME_RID,PME Requester ID" line.long 0x24 "PCIECTRL_RC_DBICS_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x24 14.--31. 1. "RESERVED," bitfld.long 0x24 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x24 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x24 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x24 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x24 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x24 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x24 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x24 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x28 "PCIECTRL_RC_DBICS_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x28 15.--31. 1. "RESERVED," bitfld.long 0x28 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x28 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x28 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x28 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x28 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x28 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x28 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x28 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x28 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x28 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "PCIECTRL_RC_DBICS_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x2C 9.--31. 1. "RESERVED," bitfld.long 0x2C 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x2C 0. "RESERVED," "0,1" line.long 0x30 "PCIECTRL_RC_DBICS_LNK_CAS_2,Link Control and Status 2 Register (Sticky)" hexmask.long.word 0x30 22.--31. 1. "RESERVED," bitfld.long 0x30 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x30 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x30 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x30 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x30 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x30 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x30 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x30 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x30 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "SEL_DEEMP,Selectable De-emphasis (CS)" "0,1" newline bitfld.long 0x30 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x30 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x30 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." tree.end tree "PCIe_SS1_RC_CFG_DBICS2" base ad:0x51001000 group.long 0x00++0x3F line.long 0x00 "PCIECTRL_RC_DBICS2_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_RC_DBICS2_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express; Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable (BME)" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable (MSE)" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable (ISE)" "0,1" line.long 0x08 "PCIECTRL_RC_DBICS2_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_RC_DBICS2_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline hexmask.long.byte 0x0C 16.--22. 1. "HEAD_TYP,Header Type" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_RC_DBICS2_BAR0_MASK,Base Address Register 0 Mask (CS2 mode only) Write 1 to BAR[0] to enable the BAR Write ones to BAR[M-1:1] for a 2**M byte BAR Reads like in CS mode" hexmask.long 0x10 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x10 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x14 "PCIECTRL_RC_DBICS2_BAR1_MASK,Base Address Register 1 Mask (CS2 mode only) Write 1 to BAR[0] to enable the BAR Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR0 is in 64-bit mode. contains the upper bits of BAR0 mask Reads like in CS mode" hexmask.long 0x14 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x14 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS2_BUS_NUM_REG,Bus Number Registers" hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer Not Applicable for PCI Express hence hardwired to 0" hexmask.long.byte 0x18 16.--23. 1. "SUBORD_BUS_NUM,Subordinate Bus Number" newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS_NUM,Secondary Bus Number" hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS_NUM,Primary Bus Number" line.long 0x1C "PCIECTRL_RC_DBICS2_IOBASE_LIMIT_SEC_STATUS,IO Base.Limit and Secondary Status Register" bitfld.long 0x1C 31. "DET_PAR_ERR,Detected Parity Error" "0,1" bitfld.long 0x1C 30. "RCVD_SYS_ERR,Received System Error" "0,1" newline bitfld.long 0x1C 29. "RCVD_MSTR_ABORT,Received Master Abort" "0,1" bitfld.long 0x1C 28. "RCVD_TRGT_ABORT,Received Target Error" "0,1" newline bitfld.long 0x1C 27. "SGNLD_TRGT_ABORT,Signaled Target Error" "0,1" rbitfld.long 0x1C 25.--26. "DEVSEL_TIMING,DEVSEL Timing Not Applicable for PCI Express hence hardwired to 0" "0,1,2,3" newline bitfld.long 0x1C 24. "MSTR_DATA_PRTY_ERR,Mastered Data Parity Error" "0,1" rbitfld.long 0x1C 23. "FAST_B2B_CAP,Fast Back to Back Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 22. "RESERVED," "0,1" rbitfld.long 0x1C 21. "C66MHZ_CAPA,66MHz Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 16.--20. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x1C 12.--15. "IO_SPACE_LIMIT,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 8. "IODECODE_32,32 or 16 Bit IO Space" "0,1" newline bitfld.long 0x1C 4.--7. "IO_SPACE_BASE,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x1C 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 0. "IODECODE_32_0,32 or 16 Bit IO Space (CS)" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS2_MEM_BASE_LIMIT,Memory Base and Limit Register" hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT_ADDR,Memory Limit Address" rbitfld.long 0x20 16.--19. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE_ADDR,Memory Base Address" rbitfld.long 0x20 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x24 "PCIECTRL_RC_DBICS2_PREF_MEM_BASE_LIMIT,Prefetchable Memory Base and Limit Register" hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory End Address" rbitfld.long 0x24 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "MEMDECODE_64,64-Bit Memory Addressing" "0,1" hexmask.long.word 0x24 4.--15. 1. "UPPPREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory start Address" newline rbitfld.long 0x24 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x24 0. "MEMDECODE_64_0,64-Bit Memory Addressing" "0,1" line.long 0x28 "PCIECTRL_RC_DBICS2_UPPER_32BIT_PREF_BASEADDR,Upper 32 Bit Prefetachable Base Address Register" line.long 0x2C "PCIECTRL_RC_DBICS2_UPPER_32BIT_PREF_LIMITADDR,Upper 32 Bit Prefetachable Limit Address Register" line.long 0x30 "PCIECTRL_RC_DBICS2_IO_BASE_LIMIT,IO Base and Limit Register" hexmask.long.word 0x30 16.--31. 1. "UPP16_IOLIMIT,Upper 16 IO Limit Address" hexmask.long.word 0x30 0.--15. 1. "UPP16_IOBASE,Upper 16 IO Base Address" line.long 0x34 "PCIECTRL_RC_DBICS2_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" line.long 0x38 "PCIECTRL_RC_DBICS2_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x38 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x38 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 1.--10. 1. "RESERVED," bitfld.long 0x38 0. "EXP_ROM_EN,Expansion ROM Enable" "0,1" line.long 0x3C "PCIECTRL_RC_DBICS2_BRIDGE_INT,Bridge Control and Int Pin and line" rbitfld.long 0x3C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x3C 27. "DT_SERR_EN,Discard Timer SERR Enable Status" "0,1" newline rbitfld.long 0x3C 26. "DT_STS,Discard Timer Status" "0,1" rbitfld.long 0x3C 25. "SEC_DT,Secondary Discard Timer" "0,1" newline rbitfld.long 0x3C 24. "PRI_DT,Primary Discard Timer" "0,1" rbitfld.long 0x3C 23. "FAST_B2B_EN,Fast Back-to-Back Transactions Enable" "0,1" newline bitfld.long 0x3C 22. "SEC_BUS_RST,Secondary Bus Reset (initiate hot reset)" "0,1" rbitfld.long 0x3C 21. "MST_ABT_MOD,Master Abort Mode" "0,1" newline bitfld.long 0x3C 20. "VGA_16B_DEC,VGA 16-Bit Decode" "0,1" bitfld.long 0x3C 19. "VGA_EN,VGA Enable" "0,1" newline bitfld.long 0x3C 18. "ISA_EN,ISA Enable" "0,1" bitfld.long 0x3C 17. "SERR_EN,SERR Enable" "0,1" newline bitfld.long 0x3C 16. "PERR_RESP_EN,Parity Error Response Enable" "0,1" hexmask.long.byte 0x3C 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x3C 0.--7. 1. "INT_LIN,Interrupt Line" group.long 0x70++0x33 line.long 0x00 "PCIECTRL_RC_DBICS2_PCIE_CAP,PCI Express Capability structure header" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented (CS)" "0,1" rbitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type" "?,?,?,?,DEV_TYPE_4_r,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_RC_DBICS2_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value for Upstream Port Only (CS)" "0,1,2,3" newline hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value for Upstream Port Only (CS)" rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Extended Tag Field Support (CS)" "0,1" bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" newline bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "?,MAX_PAYLOAD_SIZE_1_r,?,?,?,?,?,?" line.long 0x08 "PCIECTRL_RC_DBICS2_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable (Sticky bit)" "AUXPM_EN_0,AUXPM_EN_1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_RC_DBICS2_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management; Hardwired to 0 for DS port (RC); (CS)" "0,1" bitfld.long 0x0C 15.--17. "COMM_L1_EXIT_LAT,Common-clock-mode L1 Exit Latency (CS2) Compare CS" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "COMM_L0S_EXIT_LAT,Common-clock-mode L0s Exit Latency (CS2) Compare CS" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_RC_DBICS2_LNK_CAS,PCIE Link Control and Status" bitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" bitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "COM_CLK_CFG_0,COM_CLK_CFG_1" bitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline bitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "RCB_0,RCB_1" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" line.long 0x14 "PCIECTRL_RC_DBICS2_SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x14 19.--31. 1. "PSN,Physical Slot Number (CS)" bitfld.long 0x14 18. "NCCS,No Command Complete Support (CS)" "0,1" newline bitfld.long 0x14 17. "EIP,Electromechanical Interlock Present (CS)" "0,1" bitfld.long 0x14 15.--16. "SPLS,Slot Power Limit Scale (CS)" "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "SPLV,Slot Power Limit Value (CS)" bitfld.long 0x14 6. "HPC,Hot-Plug Capable (CS)" "0,1" newline bitfld.long 0x14 5. "HPS,Hot-Plug Surprise (CS)" "0,1" bitfld.long 0x14 4. "PIP,Power Indicator Present (CS)" "0,1" newline bitfld.long 0x14 3. "AIP,Attention Indicator Present (CS)" "0,1" bitfld.long 0x14 2. "MRLSP,MRL Sensor Present (CS)" "0,1" newline bitfld.long 0x14 1. "PCP,Power Controller Present (CS)" "0,1" bitfld.long 0x14 0. "ABP,Attention Button Present (CS)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS2_SLOT_CAS,Slot Control and Status Register" hexmask.long.byte 0x18 25.--31. 1. "RESERVED," bitfld.long 0x18 24. "DSC,Data Link Layer State Changed" "0,1" newline rbitfld.long 0x18 23. "EIS,Electromechanical Interlock Status" "0,1" rbitfld.long 0x18 22. "PDS,Presence Detect State NO PRESENCE DETECTION IMPLEMENTED: TIED TO 1" "0,1" newline rbitfld.long 0x18 21. "MRLSS,MRL Sensor State" "0,1" bitfld.long 0x18 20. "CC,Command Completed" "0,1" newline bitfld.long 0x18 19. "PDC,Presence Detect Changed" "0,1" bitfld.long 0x18 18. "MRCSC,MRL Sensor Changed" "0,1" newline bitfld.long 0x18 17. "PFD,Power Fault Detected" "0,1" bitfld.long 0x18 16. "ABP,Attention Button Pressed" "0,1" newline rbitfld.long 0x18 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 12. "DSC_EN,Data Link Layer State Changed Enable" "0,1" newline bitfld.long 0x18 11. "EIC,Electromechanical Interlock Control" "0,1" bitfld.long 0x18 10. "PCC,Power Controller Control" "0,1" newline bitfld.long 0x18 8.--9. "PIC,Power Indicator Control" "0,1,2,3" bitfld.long 0x18 6.--7. "AIC,Attention Indicator Control" "0,1,2,3" newline bitfld.long 0x18 5. "HPI_EN,Hot-Plug Interrupt Enable" "0,1" bitfld.long 0x18 4. "CCI_EN,Command Completed Interrupt Enable" "0,1" newline bitfld.long 0x18 3. "PDC_EN,Presence Detect Changed Enable" "0,1" bitfld.long 0x18 2. "MRLSC_EN,MRL Sensor Changed Enable" "0,1" newline bitfld.long 0x18 1. "PFD_EN,Power Fault Detected Enable" "0,1" bitfld.long 0x18 0. "ABP_EN,Attention Button Pressed Enable" "0,1" line.long 0x1C "PCIECTRL_RC_DBICS2_ROOT_CAC,Root Control and Capability Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED," rbitfld.long 0x1C 16. "CRSSV,CRS Software Visibility" "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RESERVED," rbitfld.long 0x1C 4. "CRSSV_EN,CRS Software Visibility Enable" "0,1" newline bitfld.long 0x1C 3. "PMEI_EN,PME Interrupt Enable" "0,1" bitfld.long 0x1C 2. "SEFE_EN,System Error on Fatal Error Enable" "0,1" newline bitfld.long 0x1C 1. "SENE_EN,System Error on Non-fatal Error Enable" "0,1" bitfld.long 0x1C 0. "SECE_EN,System Error on Correctable Error Enable" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS2_ROOT_STS,Root Status Register" hexmask.long.word 0x20 18.--31. 1. "RESERVED," rbitfld.long 0x20 17. "PME_PND,PME Pending" "0,1" newline bitfld.long 0x20 16. "PME_STS,PME Status (Sticky bit)" "0,1" hexmask.long.word 0x20 0.--15. 1. "PME_RID,PME Requester ID" line.long 0x24 "PCIECTRL_RC_DBICS2_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x24 14.--31. 1. "RESERVED," bitfld.long 0x24 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x24 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x24 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x24 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x24 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x24 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x24 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x24 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x28 "PCIECTRL_RC_DBICS2_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x28 15.--31. 1. "RESERVED," bitfld.long 0x28 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x28 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x28 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x28 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x28 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x28 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x28 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x28 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x28 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x28 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "PCIECTRL_RC_DBICS2_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x2C 9.--31. 1. "RESERVED," bitfld.long 0x2C 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x2C 0. "RESERVED," "0,1" line.long 0x30 "PCIECTRL_RC_DBICS2_LNK_CAS_2,Link Control and Status 2 Register (Sticky)" hexmask.long.word 0x30 22.--31. 1. "RESERVED," bitfld.long 0x30 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x30 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x30 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x30 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x30 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x30 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x30 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x30 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x30 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "SEL_DEEMP,Selectable De-emphasis (CS)" "0,1" newline bitfld.long 0x30 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x30 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x30 0.--3. "TRGT_LINK_SPEED,Target Link Speed: Read" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." tree.end tree "PCIe_SS1_TI_CONF" base ad:0x51002000 rgroup.long 0x00++0x03 line.long 0x00 "PCIECTRL_TI_CONF_REVISION,IP Revision Identifier" group.long 0x10++0x03 line.long 0x00 "PCIECTRL_TI_CONF_SYSCONFIG,Controls various parameters of the master and slave interfaces" hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "MCOHERENT_EN,Allows the no-snoop (NS) attribute of inbound PCIe TLPs to be passed to SoC system bus (AXI) master as a 'coherent' inband flag" "MCOHERENT_EN_0,MCOHERENT_EN_1" newline hexmask.long.word 0x00 6.--15. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,PM mode of local initiator (master); Initiator may generate read/write transaction as long as it is out of STANDBY state" "Force-standby mode = Initiator is..,No-standby mode = initiator is unconditionally..,Smart-standby mode = initiator's standby state..,Smart-Standby wakeup-capable mode = initiator's.." newline bitfld.long 0x00 2.--3. "IDLEMODE,PM mode of local target (slave); Target shall be capable of handling read/write transaction as long as it is out of IDLE state" "Force-idle mode = local target's idle state..,No-idle mode = local target never enters idle..,Smart-idle mode = local target's idle state..,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x18++0x03 line.long 0x00 "PCIECTRL_TI_CONF_IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if an new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 4.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "LINE_NUMBER,Write the IRQ line number to apply software EOI to it" "Read always returns zeros,software EOI on message-signalled (MSI)..,?..." group.long 0x20++0x1F line.long 0x00 "PCIECTRL_TI_CONF_IRQSTATUS_RAW_MAIN,Raw status of 'main' interrupt requests; Set even if event is not enabled" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED," newline bitfld.long 0x00 14. "CFG_MSE_EVT,CFG 'Memory Space Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 13. "CFG_BME_EVT,CFG 'Bus Master Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 12. "LINK_UP_EVT,Link-up state change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 11. "LINK_REQ_RST,Link Request Reset IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 10. "PM_PME,PM Power Management Event message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 9. "PME_TO_ACK,Power Management Event Turn-Off Ack message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 8. "PME_TURN_OFF,Power Management Event Turn-Off message received IRQ status" "No event pending,IRQ event pending" newline rbitfld.long 0x00 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 5. "ERR_ECRC,ECRC Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 4. "ERR_AXI,AXI tag lookup fatal Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 3. "ERR_COR,Correctable Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 2. "ERR_NONFATAL,Non-Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 1. "ERR_FATAL,Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 0. "ERR_SYS,System Error IRQ status" "No event pending,IRQ event pending" line.long 0x04 "PCIECTRL_TI_CONF_IRQSTATUS_MAIN,Regular status of 'main' interrupt requests; Set only when enabled" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," newline bitfld.long 0x04 14. "CFG_MSE_EVT,CFG 'Memory Space Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 13. "CFG_BME_EVT,CFG 'Bus Master Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 12. "LINK_UP_EVT,Link-up state change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 11. "LINK_REQ_RST,Link Request Reset IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 10. "PM_PME,PM Power Management Event message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 9. "PME_TO_ACK,Power Management Event Turn-Off Ack message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 8. "PME_TURN_OFF,Power Management Event Turn-Off message received IRQ status" "No event pending,IRQ event pending" newline rbitfld.long 0x04 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 5. "ERR_ECRC,ECRC Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 4. "ERR_AXI,AXI tag lookup fatal Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 3. "ERR_COR,Correctable Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 2. "ERR_NONFATAL,Non-Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 1. "ERR_FATAL,Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 0. "ERR_SYS,System Error IRQ status" "No event pending,IRQ event pending" line.long 0x08 "PCIECTRL_TI_CONF_IRQENABLE_SET_MAIN,Enable of 'main' interrupt requests; Write 1 to set (ie to enable interrupt)" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED," newline bitfld.long 0x08 14. "CFG_MSE_EVT_EN,CFG 'Memory Space Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 13. "CFG_BME_EVT_EN,CFG 'Bus Master Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 12. "LINK_UP_EVT_EN,Link-up state change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 11. "LINK_REQ_RST_EN,Link Request Reset IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 10. "PM_PME_EN,PM Power Management Event message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 9. "PME_TO_ACK_EN,Power Management Event Turn-Off Ack message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 8. "PME_TURN_OFF_EN,Power Management Event Turn-Off message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 5. "ERR_ECRC_EN,ECRC Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 4. "ERR_AXI_EN,AXI tag lookup fatal Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 3. "ERR_COR_EN,Correctable Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 2. "ERR_NONFATAL_EN,Non-Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 1. "ERR_FATAL_EN,Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 0. "ERR_SYS_EN,System Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x0C "PCIECTRL_TI_CONF_IRQENABLE_CLR_MAIN,Enable of 'main' interrupt requests; Write 1 to clear (ie to disable interrupt)" hexmask.long.tbyte 0x0C 15.--31. 1. "RESERVED," newline bitfld.long 0x0C 14. "CFG_MSE_EVT_EN,CFG 'Memory Space Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 13. "CFG_BME_EVT_EN,CFG 'Bus Master Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 12. "LINK_UP_EVT_EN,Link-up state change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 11. "LINK_REQ_RST_EN,Link Request Reset IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 10. "PM_PME_EN,PM Power Management Event message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 9. "PME_TO_ACK_EN,Power Management Event Turn-Off Ack message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 8. "PME_TURN_OFF_EN,Power Management Event Turn-Off message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline rbitfld.long 0x0C 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 5. "ERR_ECRC_EN,ECRC Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 4. "ERR_AXI_EN,AXI tag lookup fatal Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 3. "ERR_COR_EN,Correctable Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 2. "ERR_NONFATAL_EN,Non-Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 1. "ERR_FATAL_EN,Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 0. "ERR_SYS_EN,System Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x10 "PCIECTRL_TI_CONF_IRQSTATUS_RAW_MSI,Raw status of legacy and MSI interrupt requests; Set even if event is not enabled" hexmask.long 0x10 5.--31. 1. "RESERVED," newline bitfld.long 0x10 4. "MSI,Message Signaled Interrupt IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x10 3. "INTD,INTD IRQ status (Legacy PCIe message interrupt D); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 2. "INTC,INTC IRQ status (Legacy PCIe message interrupt C); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 1. "INTB,INTB IRQ status (Legacy PCIe message interrupt B); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 0. "INTA,INTA IRQ status (Legacy PCIe message interrupt A); RC mode only" "No event pending,IRQ event pending" line.long 0x14 "PCIECTRL_TI_CONF_IRQSTATUS_MSI,Regular status of legacy and MSI interrupt requests; Set only when enabled" hexmask.long 0x14 5.--31. 1. "RESERVED," newline bitfld.long 0x14 4. "MSI,Message Signaled Interrupt IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x14 3. "INTD,INTD IRQ status (Legacy PCIe message interrupt D); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 2. "INTC,INTC IRQ status (Legacy PCIe message interrupt C); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 1. "INTB,INTB IRQ status (Legacy PCIe message interrupt B); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 0. "INTA,INTA IRQ status (Legacy PCIe message interrupt A); RC mode only" "No event pending,IRQ event pending" line.long 0x18 "PCIECTRL_TI_CONF_IRQENABLE_SET_MSI,Enable of legacy and MSI interrupt requests; Write 1 to set (ie to enable interrupt)" hexmask.long 0x18 5.--31. 1. "RESERVED," newline bitfld.long 0x18 4. "MSI_EN,Message Signaled Interrupt IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 3. "INTD_EN,INTD IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 2. "INTC_EN,INTC IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 1. "INTB_EN,INTB IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 0. "INTA_EN,INTA IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x1C "PCIECTRL_TI_CONF_IRQENABLE_CLR_MSI,Enable of legacy and MSI interrupt requests; Write 1 to clear (ie to disable interrupt)" hexmask.long 0x1C 5.--31. 1. "RESERVED," newline bitfld.long 0x1C 4. "MSI_EN,Message Signaled Interrupt IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 3. "INTD_EN,INTD IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 2. "INTC_EN,INTC IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 1. "INTB_EN,INTB IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 0. "INTA_EN,INTA IRQ enable" "IRQ event is disabled,IRQ event is enabled" group.long 0x100++0x0F line.long 0x00 "PCIECTRL_TI_CONF_DEVICE_TYPE,Sets the Dual-Mode device's type" hexmask.long 0x00 4.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "TYPE,PCIe device type including the contents of the PCI config space (Type-0 for EP Type-1 for RC); Apply fundamental reset after change; Do not change during core operation;" "PCIe endpoint (EP),Legacy PCIe endpoint (LEG_EP),?,?,Root Complex (RC) Other values: Reserved,?..." line.long 0x04 "PCIECTRL_TI_CONF_DEVICE_CMD,Device command (startup control and status); WARNING: cleared by all reset conditions. including fundamental reset" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 21.--28. 1. "BUS_NUM,PCIe bus number" newline rbitfld.long 0x04 16.--20. "DEV_NUM,PCIe device number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," newline bitfld.long 0x04 2.--7. "LTSSM_STATE,LTSSM state /substate implementation-specific for debug" "DETECT_QUIET,DETECT_ACT,POLL_ACTIVE,POLL_COMPLIANCE,POLL_CONFIG,PRE_DETECT_QUIET,DETECT_WAIT,CFG_LINKWD_START,CFG_LINKWD_ACEPT,CFG_LANENUM_WAIT,CFG_LANENUM_ACEPT,CFG_COMPLETE,CFG_IDLE,RCVRY_LOCK,RCVRY_SPEED,RCVRY_RCVRCFG,RCVRY_IDLE,L0,L0S,L123_SEND_EIDLE,L1_IDLE,L2_IDLE,L2_WAKE,DISABLED_ENTRY,DISABLED_IDLE,DISABLED,LPBK_ENTRY,LPBK_ACTIVE,LPBK_EXIT,LPBK_EXIT_TIMEOUT,HOT_RESET_ENTRY,HOT_RESET,RCVRY_EQ0,RCVRY_EQ1,RCVRY_EQ2,RCVRY_EQ3,?..." newline bitfld.long 0x04 1. "APP_REQ_RETRY_EN,Application Request Retry Enable (This bit is CLEARED BY FUNDAMENTAL RESET)" "APP_REQ_RETRY_EN_0,APP_REQ_RETRY_EN_1" newline bitfld.long 0x04 0. "LTSSM_EN,LTSSM enable: start the PCI link (This bit is CLEARED BY FUNDAMENTAL RESET)" "LTSSM_EN_0,LTSSM_EN_1" line.long 0x08 "PCIECTRL_TI_CONF_PM_CTRL,Power Management Control" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," newline bitfld.long 0x08 11. "AUX_PWR_DET,Auxilliary Power Detection; Status of Vaux detection for the PCIe controller; Determines transition to L2 vs L3 upon Vmain turn-off" "AUX_PWR_DET_0,AUX_PWR_DET_1" newline bitfld.long 0x08 10. "REQ_EXIT_L1,Request to exit L1 state (to L0)" "REQ_EXIT_L1_0,REQ_EXIT_L1_1" newline bitfld.long 0x08 9. "REQ_ENTR_L1,Request to transition to L1 state" "REQ_ENTR_L1_0,REQ_ENTR_L1_1" newline bitfld.long 0x08 8. "L23_READY,Indicates system readiness for the link to enter L2/L3 ready state (EP mode only); Allows the transmission of PM_Enter_L23 following PM_Turn_OFF/PME_TO_Ack handshake" "L23_READY_0,L23_READY_1" newline rbitfld.long 0x08 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 1. "PM_PME,Transmits PM_PME wakeup message (EP mode only)" "PM_PME_0,PM_PME_1" newline bitfld.long 0x08 0. "PME_TURN_OFF,Transmits PME_Turn_Off message downstream (RC mode only); Eventually sends all links of hierarchy domain to L2L/3_ready" "PME_TURN_OFF_0,PME_TURN_OFF_1" line.long 0x0C "PCIECTRL_TI_CONF_PHY_CS,Physical Layer Control and Status" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline rbitfld.long 0x0C 16. "LINK_UP,Link status from LTSSM" "LINK_UP_0,LINK_UP_1" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "REVERSE_LANES,Manual lane reversal control allowing lane 0 and lane 1 to be swapped by default; Both Tx and Rx are reversed; Polarity of the individual lane is unchanged" "REVERSE_LANES_0,REVERSE_LANES_1" group.long 0x124++0x0B line.long 0x00 "PCIECTRL_TI_CONF_INTX_ASSERT,Legacy INTx ASSERT message control. with 'x' in (A.B.C.D) set by the 'Interrupt Pin' field" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "ASSERT_F0,INTx ASSERT for function 0" "INTx is inactive (has been deasserted),INTx is active (has been asserted)" line.long 0x04 "PCIECTRL_TI_CONF_INTX_DEASSERT,Legacy INTx DEASSERT message control. with 'x' in (A.B.C.D) set by the 'Interrupt Pin' field" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "DEASSERT_F0,INTx DEASSERT for function 0" "INTx is inactive (has been deasserted),INTx is active (has been asserted)" line.long 0x08 "PCIECTRL_TI_CONF_MSI_XMT,MSI transmitter (EP mode); Specifies parameters of MSI. together with MSI capability descriptor already configured by remote RC" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," newline bitfld.long 0x08 7.--11. "MSI_VECTOR,Vector number for transmitted MSI (as allowed by RC at enumeration)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x08 4.--6. "MSI_TC,Traffic class (TC) for transmitted MSI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 1.--3. "MSI_FUNC_NUM,Function number for transmitted MSI; Always 0 for single-function EP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 0. "MSI_REQ_GRANT,MSI transmit request (and grant status)" "MSI transmission request pending,Request MSI transmission" group.long 0x140++0x0B line.long 0x00 "PCIECTRL_TI_CONF_DEBUG_CFG,Configuration of debug_data output and register (observability)" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--5. "SEL,Debug_data mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PCIECTRL_TI_CONF_DEBUG_DATA,Debug data vector. depending on DEBUG_CFG.sel value" line.long 0x08 "PCIECTRL_TI_CONF_DIAG_CTRL,Diagnostic control" hexmask.long 0x08 3.--31. 1. "RESERVED," newline bitfld.long 0x08 2. "RESERVED,software must always keep this bit at its default value - 0" "0,1" newline bitfld.long 0x08 1. "INV_ECRC,Corrupt LSB of ECRC in the next packet then self-clears" "No CRC corruption pending,Request CRC corruption" newline bitfld.long 0x08 0. "INV_LCRC,Corrupts LSB of LCRC in the next packet then self-clears" "No CRC corruption pending,Request CRC corruption" tree.end tree "PCIe_SS2_EP_CFG_DBICS" base ad:0x51800000 group.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_DBICS_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_DBICS_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_DBICS_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_DBICS_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_DBICS_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x14 "PCIECTRL_EP_DBICS_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x18 "PCIECTRL_EP_DBICS_BAR2,Base Address Register 2 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x18 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x18 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x18 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x18 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x18 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x1C "PCIECTRL_EP_DBICS_BAR3,Base Address Register 3 If BAR2.AS =" hexmask.long.word 0x1C 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x1C 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x1C 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x1C 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x1C 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x20 "PCIECTRL_EP_DBICS_BAR4,Base Address Register 4 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.tbyte 0x20 12.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.byte 0x20 4.--11. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x20 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x20 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x20 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x24 "PCIECTRL_EP_DBICS_BAR5,Base Address Register 5 If BAR4.AS =" hexmask.long.word 0x24 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x24 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x24 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x24 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x24 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "0,1" line.long 0x28 "PCIECTRL_EP_DBICS_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_DBICS_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_DBICS_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_DBICS_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_DBICS_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_DBICS_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" rbitfld.long 0x04 20. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_DBICS_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0.--1. "PM_STATE,Power Management Control and Status Register" "PM_STATE_0,PM_STATE_1,PM_STATE_2,PM_STATE_3" group.long 0x50++0x0F line.long 0x00 "PCIECTRL_EP_DBICS_MSI_CAP,Message Signaled Interrupt Capability structure header" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "PVM_EN,MSI Per Vector Masking (PVM) supported" "0,1" newline rbitfld.long 0x00 23. "MSI_64_EN,64-bit Address Capable (CS)" "0,1" bitfld.long 0x00 20.--22. "MME,Multiple Message Enable" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 17.--19. "MMC,Multiple Message Capable (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16. "MSI_EN,MSI Enable" "0,1" newline hexmask.long.byte 0x00 8.--15. 1. "MSI_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,MSI Capability ID Read 0x05 MSI" line.long 0x04 "PCIECTRL_EP_DBICS_MSI_ADD_L32,PCIe memory space address of MSI write TLP request. lower 32 bits" hexmask.long 0x04 2.--31. 1. "ADDR,Lower 32-bit address (DWORD aligned)" rbitfld.long 0x04 0.--1. "RESERVED,Reserved" "0,1,2,3" line.long 0x08 "PCIECTRL_EP_DBICS_MSI_ADD_U32,PCIe memory space address of MSI write TLP request. upper 32 bits (used if MSI_64_EN = 1)" line.long 0x0C "PCIECTRL_EP_DBICS_MSI_DATA,Data of MSI write TLP request (modified for multiple vectors)" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x0C 0.--15. 1. "DATA,MSI data" group.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_DBICS_PCIE_CAP,PCIE cap structure" rbitfld.long 0x00 30.--31. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_DBICS_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline rbitfld.long 0x04 16.--17. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_DBICS_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED,Reserved" rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_DBICS_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" rbitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "PCIECTRL_EP_DBICS_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_DBICS_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_DBICS_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_DBICS_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_DBICS_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS2_EP_CFG_DBICS2" base ad:0x51801000 group.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_DBICS2_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_DBICS2_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_DBICS2_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_DBICS2_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_DBICS2_BAR0_MASK,Base Address Register 0 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x10 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x10 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x14 "PCIECTRL_EP_DBICS2_BAR1_MASK,Base Address Register 1 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR0 is in 64-bit mode. contains the upper bits of BAR0 mask" hexmask.long 0x14 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x14 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x18 "PCIECTRL_EP_DBICS2_BAR2_MASK,Base Address Register 2 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x18 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x18 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x1C "PCIECTRL_EP_DBICS2_BAR3_MASK,Base Address Register 3 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR2 is in 64-bit mode. contains the upper bits of BAR2 mask" hexmask.long 0x1C 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x1C 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x20 "PCIECTRL_EP_DBICS2_BAR4_MASK,Base Address Register 4 Mask (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR" hexmask.long 0x20 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x20 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x24 "PCIECTRL_EP_DBICS2_BAR5_MASK,Base Address Register 5 (CS2 mode only) Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR4 is in 64-bit mode. contains the upper bits of BAR4 mask" hexmask.long 0x24 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x24 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x28 "PCIECTRL_EP_DBICS2_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_DBICS2_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_DBICS2_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_DBICS2_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_DBICS2_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_DBICS2_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" rbitfld.long 0x04 20. "RESERVED," "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_DBICS2_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED," "0,1" bitfld.long 0x08 0.--1. "PWR_STATE,Device Power State" "PWR_STATE_0,PWR_STATE_1,PWR_STATE_2,PWR_STATE_3" group.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_DBICS2_PCIE_CAP,PCIE cap structure" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_DBICS2_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_DBICS2_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_DBICS2_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "PCIECTRL_EP_DBICS2_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_DBICS2_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_DBICS2_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_DBICS2_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_DBICS2_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS2_EP_CFG_PCIe" base ad:0x30000000 rgroup.long 0x00++0x37 line.long 0x00 "PCIECTRL_EP_PCIEWIRE_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable" "0,1" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline abitfld.long 0x0C 16.--22. "HEAD_TYP,Header Type" "0x00=EP header,0x01=RC header" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_EP_PCIEWIRE_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS)" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x14 "PCIECTRL_EP_PCIEWIRE_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x18 "PCIECTRL_EP_PCIEWIRE_BAR2,Base Address Register 2 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x18 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x18 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x18 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x18 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x18 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x1C "PCIECTRL_EP_PCIEWIRE_BAR3,Base Address Register 3 If BAR2.AS =" hexmask.long.word 0x1C 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x1C 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x1C 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x1C 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x1C 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x20 "PCIECTRL_EP_PCIEWIRE_BAR4,Base Address Register 4 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.tbyte 0x20 12.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.byte 0x20 4.--11. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline rbitfld.long 0x20 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x20 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x20 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x24 "PCIECTRL_EP_PCIEWIRE_BAR5,Base Address Register 5 If BAR4.AS =" hexmask.long.word 0x24 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x24 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline rbitfld.long 0x24 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x24 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O address" "32 Bit,?,64 Bit,?..." newline bitfld.long 0x24 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "BAR type is Memory,BAR type is I/O" line.long 0x28 "PCIECTRL_EP_PCIEWIRE_CARDBUS_CIS_POINTER," line.long 0x2C "PCIECTRL_EP_PCIEWIRE_SUBID_SUBVENDORID," hexmask.long.word 0x2C 16.--31. 1. "SUBSYS_DEV_ID_N,Subsystem ID (CS)" hexmask.long.word 0x2C 0.--15. 1. "SUBSYS_VENDOR_ID_N,Subsystem Vendor ID (CS)" line.long 0x30 "PCIECTRL_EP_PCIEWIRE_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x30 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (that is programmable)" rbitfld.long 0x30 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 1.--10. 1. "RESERVED," bitfld.long 0x30 0. "EXROM_EN,Expansion ROM Enable" "0,1" line.long 0x34 "PCIECTRL_EP_PCIEWIRE_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" group.long 0x3C++0x0B line.long 0x00 "PCIECTRL_EP_PCIEWIRE_INTERRUPT,Int Pin and line" hexmask.long.word 0x00 16.--31. 1. "RESERVED," hexmask.long.byte 0x00 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "INT_LIN,Interrupt Line" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_PM_CAP,Power Management Capability structure header" bitfld.long 0x04 27.--31. "PME_SP,PME Support (CS); Power states from which PME messages can be sent (active hi one bit per state) Bit" "from D0 Bit,from D1 Bit,from D2 Bit,from D3hot Bit,from D3cold (if Vaux present),?..." bitfld.long 0x04 26. "D2_SP,D2 Support (CS)" "0,1" newline bitfld.long 0x04 25. "D1_SP,D1 Support (CS)" "0,1" bitfld.long 0x04 22.--24. "AUX_CUR,AUX Current (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 21. "DSI,Device Specific Initialization (CS)" "0,1" bitfld.long 0x04 20. "RESERVED," "0,1" newline bitfld.long 0x04 19. "PME_CLK,PME Clock hardwired to 0 (CS)" "0,1" bitfld.long 0x04 16.--18. "PMC_VER,Power Management specification version (CS)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 8.--15. 1. "PM_NX_PTR,Next Capability Pointer (CS)" hexmask.long.byte 0x04 0.--7. 1. "CAP_ID,Capability ID" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_PM_CSR,Power Management Control and Status Register" hexmask.long.byte 0x08 24.--31. 1. "DATA1,Data register for additional information (not supported)" rbitfld.long 0x08 23. "BP_CCE,Bus Power/Clock Control Enable hardwired to 0" "0,1" newline rbitfld.long 0x08 22. "B2B3_SP,B2/B3 Support hardwired to 0" "0,1" rbitfld.long 0x08 16.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 15. "PME_STATUS,PME Status (Sticky bit)" "0,1" rbitfld.long 0x08 13.--14. "DATA_SCALE,Data Scale (not supported)" "0,1,2,3" newline rbitfld.long 0x08 9.--12. "DATA_SEL,Data Select (not supported)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 8. "PME_EN,PME Enable (Sticky bit)" "PME_EN_0,PME_EN_1" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x08 3. "NSR,No Soft Reset (CS)" "0,1" newline rbitfld.long 0x08 2. "RESERVED," "0,1" bitfld.long 0x08 0.--1. "PWR_STATE,Device Power State" "PWR_STATE_0,PWR_STATE_1,PWR_STATE_2,PWR_STATE_3" rgroup.long 0x70++0x13 line.long 0x00 "PCIECTRL_EP_PCIEWIRE_PCIE_CAP,PCIE cap structure" bitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented Must be 0 for an endpoint" "0,1" bitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type Value depends on assigned type" "PCIe endpoint,Legacy PCIe endpoint,?..." newline bitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_DEV_CAP,PCIE Device Capabilities" bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 28. "FLR_EN,Function Level Reset Capability (CS)" "0,1" newline bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value (CS)" "0,1,2,3" hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value (CS)" newline bitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" newline bitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency (CS)" "0,1,2,3,4,5,6,7" bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Value derived from DEFAULT_EXT_TAG_FIELD_SUPPORTED" "0,1" newline bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "0,1,2,3,4,5,6,7" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable" "0,1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" bitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline bitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" bitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS2)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS2)" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),?,8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_EP_PCIEWIRE_LNK_CAS,PCIE Link Control and Status" rbitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" rbitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" rbitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "0,1" rbitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline rbitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "64 Byte,128 Byte" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" rgroup.long 0x94++0x0F line.long 0x00 "PCIECTRL_EP_PCIEWIRE_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," bitfld.long 0x00 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x00 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x00 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x00 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x00 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x00 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x00 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x00 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_EP_PCIEWIRE_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," bitfld.long 0x04 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x04 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x04 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x04 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x04 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x04 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x04 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x04 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x04 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x04 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PCIECTRL_EP_PCIEWIRE_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED," bitfld.long 0x08 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x08 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x08 0. "RESERVED," "0,1" line.long 0x0C "PCIECTRL_EP_PCIEWIRE_LNK_CAS_2,Link Control and Status 2 Register" hexmask.long.word 0x0C 22.--31. 1. "RESERVED," bitfld.long 0x0C 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x0C 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x0C 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x0C 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x0C 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x0C 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x0C 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x0C 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x0C 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" rbitfld.long 0x0C 6. "SEL_DEEMP,Selectable De-emphasize" "0,1" newline rbitfld.long 0x0C 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x0C 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x0C 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" tree.end tree "PCIe_SS2_PL_CONF" base ad:0x51800700 group.long 0x00++0x27 line.long 0x00 "PCIECTRL_PL_LAT_REL_TIM,Ack Latency and Replay Timer Register" hexmask.long.word 0x00 16.--31. 1. "REPLAY_TIME_LIMIT,The replay timer expires when it reaches this limit; The core initiates a replay upon reception of a Nak or when the replay timer expires; The default value depends on number of bytes (NB) per cycle which is defined by the maximum core.." hexmask.long.word 0x00 0.--15. 1. "ACK_LATENCY_TIME_LIMIT,The Ack/Nak latency timer expires when it reaches this limit; The default value depends on number of bytes (NB) per cycle which is defined by the maximum core base frequency of the device PCIe core corresponding to 250 MHz for.." line.long 0x04 "PCIECTRL_PL_VENDOR_SPECIFIC_DLLP,Vendor Specific DLLP Register" line.long 0x08 "PCIECTRL_PL_PT_LNK_R,Port Force Link Register" hexmask.long.byte 0x08 24.--31. 1. "LOW_POWER_ENTR_CNT,The Power Management state will wait for this many clock cycles for the associated completion of a CfgWr to D-state register to go low-power; This register is intended for applications that do not let the core handle a completion for.." rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 16.--21. "FORCED_LINK_COMMAND,Link command transmitted by setting Force_Link (bit 15);" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x08 15. "FORCE_LINK,Forces the LTSSM state and the Link command specified in this register; Self-clearing" "?,FORCE_LINK_1" newline rbitfld.long 0x08 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 8.--11. "FORCED_LTSSM_STATE,LTSSM state forced by setting Force_Link (bit 15)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x08 0.--7. 1. "LINK_NUM,Link Number; Not used for Endpoint" line.long 0x0C "PCIECTRL_PL_ACK_FREQ_ASPM,Ack Frequency and L0-L1 ASPM Control Register (Sticky)" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" bitfld.long 0x0C 30. "L1_ENTR_WO_L0S,Enter ASPM L1 without receive in L0s; Allow core to enter ASPM L1 even when link partner did not go to L0s (receive is not in L0s); When not set core goes to ASPM L1 only after idle period during which both receive and transmit are in L0s" "0,1" newline bitfld.long 0x0C 27.--29. "L1_ENTR_LAT,L1 Entrance Latency" "1 uS,2 uS,4 uS,8 uS,16 uS,32 uS,64 uS,64 uS.." bitfld.long 0x0C 24.--26. "L0S_ENTR_LAT,L0s Entrance Latency; Values correspond to" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0C 16.--23. 1. "COMMOM_CLK_N_FTS,Alternative N_FTS value for common clock mode" hexmask.long.byte 0x0C 8.--15. 1. "N_FTS,Number of Fast Training Sequence (FTS) ordered sets to be transmitted when exiting L0s to L0; The maximum that can be requested is 255; Value 0 is not supported and may cause LTSSM to go into Recovery upon L0s exit" newline hexmask.long.byte 0x0C 0.--7. 1. "ACK_FREQ,Ack Frequency; Number of pending ACKs accumulated before sending an ACK DLLP" line.long 0x10 "PCIECTRL_PL_PT_LNK_CTRL_R,Port Link Control Register (Sticky)" hexmask.long.byte 0x10 24.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 23. "CROSSLINK_ACT,Crosslink Active" "0,1" newline bitfld.long 0x10 22. "CROSSLINK_EN,Crosslink Enable" "0,1" bitfld.long 0x10 16.--21. "LINK_MODE,Link Mode Enable; Write 1 to bit N to enable (2**N)-lane mode" "?,_1x,?,_2x,?,?,?,_4x,?..." newline hexmask.long.byte 0x10 8.--15. 1. "RESERVED," bitfld.long 0x10 7. "FAST_LINK,Fast Link Mode" "0,1" newline rbitfld.long 0x10 6. "RESERVED," "0,1" bitfld.long 0x10 5. "DL_EN,DLL Link Enable" "0,1" newline rbitfld.long 0x10 4. "RESERVED," "0,1" bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert" "0,1" newline bitfld.long 0x10 2. "LB_EN,Loopback Enable" "0,1" bitfld.long 0x10 1. "SCRAMBLE_DIS,Scramble Disable" "0,1" newline bitfld.long 0x10 0. "VEN_DLLP_REQ,Vendor Specific DLLP transmit Request" "0,1" line.long 0x14 "PCIECTRL_PL_LN_SKW_R,Lane Skew Register (Sticky)" bitfld.long 0x14 31. "DIS_L2L_SKEW,Disable Lane-to-Lane Deskew" "0,1" rbitfld.long 0x14 26.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 25. "ACKNAK_DIS,Ack/Nak Disable" "0,1" bitfld.long 0x14 24. "FC_DIS,Flow Control Disable" "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "LANE_SKEW,Insert Lane Skew for Transmit" line.long 0x18 "PCIECTRL_PL_SYMB_N_R,Timer Control and Symbol Number Register (Sticky)" hexmask.long.byte 0x18 24.--31. 1. "RESERVED," bitfld.long 0x18 19.--23. "ACK_LATENCY_INC,Timer Modifier for Ack/Nak Latency Timer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x18 14.--18. "REPLAY_ADJ,Timer Modifier for Replay Timer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x18 8.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC,Configuration Requests targeted at function numbers above this value will be returned with UR (unsupported request)" line.long 0x1C "PCIECTRL_PL_SYMB_T_R,Symbol Timer Register and Filter Mask Register 1 (Sticky)" hexmask.long.word 0x1C 16.--31. 1. "FLT_MSK_1,Mask RADM Filtering and Error Handling Rules: Mask 1" bitfld.long 0x1C 15. "DIS_FC_TIM,Disable FC Watchdog Timer" "0,1" newline rbitfld.long 0x1C 11.--14. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x1C 0.--10. 1. "SKP_INT,SKP Interval Value minus one PIPE clock cycles" line.long 0x20 "PCIECTRL_PL_FL_MSK_R2,Filter Mask Register 2 (Sticky)" line.long 0x24 "PCIECTRL_PL_OBNP_SUBREQ_CTRL,AXI Multiple Outbound Decomposed NP SubRequests Control Register (Sticky)" hexmask.long 0x24 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x24 0. "EN_OBNP_SUBREQ,Enable AXI Multiple Outbound Decomposed NP Sub-Requests" "0,1" rgroup.long 0x30++0x23 line.long 0x00 "PCIECTRL_PL_TR_P_STS_R,Transmit Posted FC Credit Status Register (Sticky)" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 12.--19. 1. "PH_CRDT,Transmit Posted Header FC Credits" newline hexmask.long.word 0x00 0.--11. 1. "PD_CRDT,Transmit Posted Data FC Credits" line.long 0x04 "PCIECTRL_PL_TR_NP_STS_R,Transmit Non-Posted FC Credit Status Register (Sticky)" hexmask.long.word 0x04 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 12.--19. 1. "NPH_CRDT,Transmit Non-Posted Header FC Credits" newline hexmask.long.word 0x04 0.--11. 1. "NPD_CRDT,Transmit Non-Posted Data FC Credits" line.long 0x08 "PCIECTRL_PL_TR_C_STS_R,Transmit Completion FC Credit Status Register (Sticky)" hexmask.long.word 0x08 20.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x08 12.--19. 1. "CPLH_CRDT,Transmit Completion Header FC Credits" newline hexmask.long.word 0x08 0.--11. 1. "CPLD_CRDT,Transmit Completion Data FC Credits" line.long 0x0C "PCIECTRL_PL_Q_STS_R,Queue Status Register (Sticky)" bitfld.long 0x0C 31. "FC_LATENCY_OVR_EN,FC Latency Timer Override Enable" "0,1" rbitfld.long 0x0C 29.--30. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x0C 16.--28. 1. "FC_LATENCY_OVR,FC Latency Timer Override Value" hexmask.long.word 0x0C 3.--15. 1. "RESERVED," newline rbitfld.long 0x0C 2. "RCVQ_not_EMPTY,Received Queue Not Empty" "0,1" rbitfld.long 0x0C 1. "RTYB_not_EMPTY,Transmit Retry Buffer Not Empty" "0,1" newline rbitfld.long 0x0C 0. "CRDT_not_RTRN,Received TLP FC Credits Not Returned" "0,1" line.long 0x10 "PCIECTRL_PL_VC_TR_A_R1,VC Transmit Arbitration Register 1 (Sticky)" hexmask.long.byte 0x10 24.--31. 1. "WRR_VC3,WRR Weight for VC3" hexmask.long.byte 0x10 16.--23. 1. "WRR_VC2,WRR Weight for VC2" newline hexmask.long.byte 0x10 8.--15. 1. "WRR_VC1,WRR Weight for VC1" hexmask.long.byte 0x10 0.--7. 1. "WRR_VC0,WRR Weight for VC0" line.long 0x14 "PCIECTRL_PL_VC_TR_A_R2,VC Transmit Arbitration Register 2 (Sticky)" hexmask.long.byte 0x14 24.--31. 1. "WRR_VC7,WRR Weight for VC7" hexmask.long.byte 0x14 16.--23. 1. "WRR_VC6,WRR Weight for VC6" newline hexmask.long.byte 0x14 8.--15. 1. "WRR_VC5,WRR Weight for VC5" hexmask.long.byte 0x14 0.--7. 1. "WRR_VC4,WRR Weight for VC4" line.long 0x18 "PCIECTRL_PL_VC0_PR_Q_C,VC0 Posted Receive Queue Control (Sticky)" bitfld.long 0x18 31. "STRICT_VC_PRIORITY,VC Ordering for Receive Queues" "STRICT_VC_PRIORITY_0,STRICT_VC_PRIORITY_1" bitfld.long 0x18 30. "ORDERING_RULES,VC0 TLP Type Ordering Rules" "ORDERING_RULES_0,ORDERING_RULES_1" newline rbitfld.long 0x18 24.--29. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x18 21.--23. "P_QMODE,VC0 Poster TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS Others: Reserved,?..." newline rbitfld.long 0x18 20. "RESERVED," "0,1" hexmask.long.byte 0x18 12.--19. 1. "P_HCRD,VC0 Posted Header Credits" newline hexmask.long.word 0x18 0.--11. 1. "P_DCRD,VC0 Posted Data Credits" line.long 0x1C "PCIECTRL_PL_VC0_NPR_Q_C,VC0 Non-Posted Receive Queue Control (Sticky)" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," bitfld.long 0x1C 21.--23. "NP_QMODE,VC0 Non-Poster TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS Others: Reserved,?..." newline rbitfld.long 0x1C 20. "RESERVED," "0,1" hexmask.long.byte 0x1C 12.--19. 1. "NP_HCRD,VC0 Non-Posted Header Credits" newline hexmask.long.word 0x1C 0.--11. 1. "NP_DCRD,VC0 Non-Posted Data Credits" line.long 0x20 "PCIECTRL_PL_VC0_CR_Q_C,VC0 Completion Receive Queue Control (Sticky)" hexmask.long.byte 0x20 24.--31. 1. "RESERVED," bitfld.long 0x20 21.--23. "CPL_QMODE,VC0 Completion TLP Queue Mode" "?,STORE_AND_FORWARD,CUT_THROUGH,?,BYPASS,?..." newline rbitfld.long 0x20 20. "RESERVED," "0,1" hexmask.long.byte 0x20 12.--19. 1. "CPL_HCRD,VC0 Completion Header Credits" newline hexmask.long.word 0x20 0.--11. 1. "CPL_DCRD,VC0 Completion Data Credits" group.long 0x10C++0x0B line.long 0x00 "PCIECTRL_PL_WIDTH_SPEED_CTL,Link Width and Speed Change Control Register (Sticky)" hexmask.long.word 0x00 21.--31. 1. "RESERVED," bitfld.long 0x00 20. "CFG_UP_SEL_DEEMPH,Used to set the de-emphasis level for Upstream Ports" "0,1" newline bitfld.long 0x00 19. "CFG_TX_COMPLIANCE_RCV,Config Tx Compliance Receive Bit" "0,1" bitfld.long 0x00 18. "CFG_PHY_TXSWING,Config PHY Tx Swing" "0,1" newline bitfld.long 0x00 17. "CFG_DIRECTED_SPEED_CHANGE,Directed Speed Change" "0,1" hexmask.long.word 0x00 8.--16. 1. "CFG_LANE_EN,Predetermined Number of Lanes" newline hexmask.long.byte 0x00 0.--7. 1. "CFG_Gen2_N_FTS,Number of Fast Training Sequences" line.long 0x04 "PCIECTRL_PL_PHY_STS_R,PHY Status Register (Sticky)" line.long 0x08 "PCIECTRL_PL_PHY_CTRL_R,PHY Control Register (Sticky)" group.long 0x120++0x07 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_ADDRESS,MSI Controller Address Register (RC-mode MSI receiver)" line.long 0x04 "PCIECTRL_PL_MSI_CTRL_UPPER_ADDRESS,MSI Controller Upper Address Register (RC-mode MSI receiver)" group.long 0x188++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_GPIO,MSI Controller General Purpose IO Register (RC-mode MSI receiver)" group.long 0x1B8++0x07 line.long 0x00 "PCIECTRL_PL_PIPE_LOOPBACK,PIPE loopback control register (Sticky)" bitfld.long 0x00 31. "LOOPBACK_EN,PIPE Loopback Enable" "0,1" hexmask.long 0x00 0.--30. 1. "RESERVED," line.long 0x04 "PCIECTRL_PL_DBI_RO_WR_EN,DIF Read-Only register Write Enable (Sticky)" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "CX_DBI_RO_WR_EN,Control the writability over DIF of certain configuration fields that are RO over the PCIe wire" "CX_DBI_RO_WR_EN_0,CX_DBI_RO_WR_EN_1" group.long 0x1D0++0x07 line.long 0x00 "PCIECTRL_PL_AXIS_SLV_ERR_RESP,AXI Slave Error Response Register (Sticky)" hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 3. "RESET_TIMEOUT_ERR_MAP,Graceful Reset and Link Timeout Slave Error Response Mapping" "0,1" newline bitfld.long 0x00 2. "NO_VID_ERR_MAP,Vendor ID Non-existent Slave Error Response Mapping" "0,1" bitfld.long 0x00 1. "DBI_ERR_MAP,DIF Slave Error Response Mapping" "0,1" newline bitfld.long 0x00 0. "SLAVE_ERR_MAP,Global Slave Error Response Mapping" "0,1" line.long 0x04 "PCIECTRL_PL_AXIS_SLV_TIMEOUT,Link Down AXI Slave Timeout Register (Sticky)" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "FLUSH_EN,Enable flush" "0,1" newline hexmask.long.byte 0x04 0.--7. 1. "TIMEOUT_VALUE,Timeout Value (ms)" group.long 0x200++0x23 line.long 0x00 "PCIECTRL_PL_IATU_INDEX,iATU Viewport Register: makes the registers of the corresponding iATU region accessible" bitfld.long 0x00 31. "REGION_DIRECTION," "REGION_DIRECTION_0,REGION_DIRECTION_1" hexmask.long 0x00 4.--30. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "REGION_INDEX,Outbound region from 0 to 15" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PCIECTRL_PL_IATU_REG_CTRL_1,iATU Region Control 1 Register" hexmask.long.byte 0x04 25.--31. 1. "RESERVED," bitfld.long 0x04 20.--24. "FUNCTION_NUMBER,Outbound: F.N; applied to outgoing TLP (RID) with matching addess Inbound: F.N.-match criteria for incoming TLP (if Function_Number_match_enable=1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 18.--19. "RESERVED," "0,1,2,3" bitfld.long 0x04 16.--17. "AT,Outbound: AT applied to outgoing TLP with matching addess Inbound: AT-match criteria for matching TLP (if AT_match_enable=1)" "0,1,2,3" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x04 9.--10. "ATTR,Outbound: ATTR applied to outgoing TLP with matching addess Inbound: ATTR-match criteria (if ATTR_match_enable=1)" "0,1,2,3" newline bitfld.long 0x04 8. "TD,Outbound: TD applied to outgoing TLP with matching addess Inbound: TD-match criteria (if TD_match_enable=1)" "0,1" bitfld.long 0x04 5.--7. "TC,Outbound: TC applied to outgoing TLP with matching addess Inbound: TC-match criteria (if TC_match_enable=1)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--4. "TYPE,Outbound: TYPE applied to outgoing TLP with matching addess Inbound: TYPE-match criteria" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x08 "PCIECTRL_PL_IATU_REG_CTRL_2,iATU Region Control 2 Register" bitfld.long 0x08 31. "REGION_ENABLE,Enable AT for this region" "0,1" bitfld.long 0x08 30. "MATCH_MODE,Sets inbound TLP match mode depending on TYPE" "MATCH_MODE_0,MATCH_MODE_1" newline bitfld.long 0x08 29. "INVERT_MODE,Redefine match criteria as outside the defined range (instead of inside)" "0,1" bitfld.long 0x08 28. "CFG_SHIFT_MODE,Enable the shifting of CFG CID (BDF) incoming and outgoing TLP; CFG get mapped to a contiguous" "0,1" newline bitfld.long 0x08 27. "FUZZY_TYPE_MATCH_MODE,Outbound: DMA Bypass Mode Inbound: Relax matching on inbound TLP TYPE: CfgRd0 == CfgRd1 CfgWr0 == CfgWr1 MRd == MRdLk routing field of Msg/MsgD ignored" "0,1" rbitfld.long 0x08 26. "RESERVED," "0,1" newline bitfld.long 0x08 24.--25. "RESPONSE_CODE,Override HW-generated completion status when responding inbound TLP" "No override use HW-generated CS,Unsupported Request,Completer Abort,?..." rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 21. "MESSAGE_CODE_MATCH_ENABLE,Enable MessageCode match criteria on inbound TLP" "0,1" bitfld.long 0x08 20. "VIRTUAL_FUNCTION_NUMBER_MATCH_ENABLE,VIRTUAL FUNCTIONS not IMPLEMENTED: not USED" "0,1" newline bitfld.long 0x08 19. "FUNCTION_NUMBER_MATCH_ENABLE,Outbound: Function Number Translation Bypass Inbound: Enable Function Number match criteria" "0,1" bitfld.long 0x08 18. "AT_MATCH_ENABLE,Enable AT match criteria on inbound TLP ATS not SUPPORTED: DO not USE" "0,1" newline rbitfld.long 0x08 17. "RESERVED," "0,1" bitfld.long 0x08 16. "ATTR_MATCH_ENABLE,Enable ATTR match criteria on inbound TLP" "0,1" newline bitfld.long 0x08 15. "TD_MATCH_ENABLE,Enable TD match criteria on inbound TLP" "0,1" bitfld.long 0x08 14. "TC_MATCH_ENABLE,Enable TC match criteria on inbound TLP" "0,1" newline rbitfld.long 0x08 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 8.--10. "BAR_NUMBER,BAR number for mayching with incoming MEM I/O TLP (if Match_Mode = 1)" "BAR0,BAR1,BAR2,BAR3,BAR4,BAR5,ROM,?..." newline hexmask.long.byte 0x08 0.--7. 1. "MESSAGECODE,Outbound: MessageCode applied to outgoing message TLP with matching addess Inbound: MessageCode-match criteria for infoming message TLP (if Message_Code_match_enable=1)" line.long 0x0C "PCIECTRL_PL_IATU_REG_LOWER_BASE,iATU Region Lower Base Address Register (2**12 = 4kbyte - aligned)" hexmask.long.tbyte 0x0C 12.--31. 1. "IATU_REG_LOWER_BASE," hexmask.long.word 0x0C 0.--11. 1. "ZERO," line.long 0x10 "PCIECTRL_PL_IATU_REG_UPPER_BASE,iATU Region Upper Base Address Register" line.long 0x14 "PCIECTRL_PL_IATU_REG_LIMIT,iATU Region Limit Address Register" hexmask.long.tbyte 0x14 12.--31. 1. "IATU_REG_LIMIT," hexmask.long.word 0x14 0.--11. 1. "ONES," line.long 0x18 "PCIECTRL_PL_IATU_REG_LOWER_TARGET,iATU Region Lower Target Address Register (2**12 = 4kbyte - aligned)" hexmask.long.tbyte 0x18 12.--31. 1. "IATU_REG_LOWER_TARGET," hexmask.long.word 0x18 0.--11. 1. "ZERO," line.long 0x1C "PCIECTRL_PL_IATU_REG_UPPER_TARGET,iATU Region Upper Target Address Register" line.long 0x20 "PCIECTRL_PL_IATU_REG_CTRL_3,iATU Region Control 3 Register; VIRTUAL FUNCTIONS not IMPLEMENTED: not USED" repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x130)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_STATUS_N_$1,MSI Controller Interrupt #N Status Register (RC-mode MSI receiver) with N = MSI data [7:5] and STATUS[i] = status of MSI vector #i. with i = MSI data [4:0]" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x12C)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_MASK_N_$1,MSI Controller Interrupt #N Mask Register (RC-mode MSI receiver) with N = MSI data [7:5] and MASK[i] = mask of MSI vector #i. with i = MSI data [4:0]" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x0C 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.long ($2+0x128)++0x03 line.long 0x00 "PCIECTRL_PL_MSI_CTRL_INT_ENABLE_N_$1,MSI Controller Interrupt #N Enable Register (RC-mode MSI receiver) with N = MSI data [7:5] and ENABLE[i] = enable MSI vector #i. with i = MSI data [4:0]" repeat.end tree.end tree "PCIe_SS2_RC_CFG_DBICS" base ad:0x51800000 group.long 0x00++0x3F line.long 0x00 "PCIECTRL_RC_DBICS_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_RC_DBICS_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express; Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable (BME)" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable (MSE)" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable (ISE)" "0,1" line.long 0x08 "PCIECTRL_RC_DBICS_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_RC_DBICS_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline hexmask.long.byte 0x0C 16.--22. 1. "HEAD_TYP,Header Type" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_RC_DBICS_BAR0,Base Address Register 0 Bit #0 is also a WO BAR enable (CS2) BAR Mask is writable (CS2)" hexmask.long.word 0x10 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" hexmask.long.word 0x10 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR upper base address bits are in BAR above)" newline bitfld.long 0x10 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x10 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LS Bit of I/O addressRead" "32 bit enum=_32BIT,?,64 bit enum=_64BIT,?..." newline bitfld.long 0x10 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "SPACE_INDICATOR_0,SPACE_INDICATOR_1" line.long 0x14 "PCIECTRL_RC_DBICS_BAR1,Base Address Register 1 If BAR0.AS =" hexmask.long.word 0x14 20.--31. 1. "BASE_ADDR_RW,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" hexmask.long.word 0x14 4.--19. 1. "BASE_ADDR_RO,Base address bits (for a 64-bit BAR lower base address bits are in BAR below)" newline bitfld.long 0x14 3. "PREFETCHABLE,MEM BAR: Prefetchable (CS) I/O BAR: bit 1 is part of I/O address" "0,1" bitfld.long 0x14 1.--2. "AS,MEM BAR: Address Size (CS) I/O BAR: bit 0 is always 0 bit 1 is LSBit of I/O addressRead" "32 bit enum=_32BIT,?,64 bit enum=_64BIT,?..." newline bitfld.long 0x14 0. "SPACE_INDICATOR,BAR I/O vs memory space indicator (CS)" "SPACE_INDICATOR_0,SPACE_INDICATOR_1" line.long 0x18 "PCIECTRL_RC_DBICS_BUS_NUM_REG,Bus Number Registers" hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer Not Applicable for PCI Express hence hardwired to 0" hexmask.long.byte 0x18 16.--23. 1. "SUBORD_BUS_NUM,Subordinate Bus Number" newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS_NUM,Secondary Bus Number" hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS_NUM,Primary Bus Number" line.long 0x1C "PCIECTRL_RC_DBICS_IOBASE_LIMIT_SEC_STATUS,IO Base.Limit and Secondary Status Register" bitfld.long 0x1C 31. "DET_PAR_ERR,Detected Parity Error" "0,1" bitfld.long 0x1C 30. "RCVD_SYS_ERR,Received System Error" "0,1" newline bitfld.long 0x1C 29. "RCVD_MSTR_ABORT,Received Master Abort" "0,1" bitfld.long 0x1C 28. "RCVD_TRGT_ABORT,Received Target Error" "0,1" newline bitfld.long 0x1C 27. "SGNLD_TRGT_ABORT,Signaled Target Error" "0,1" rbitfld.long 0x1C 25.--26. "DEVSEL_TIMING,DEVSEL Timing Not Applicable for PCI Express hence hardwired to 0" "0,1,2,3" newline bitfld.long 0x1C 24. "MSTR_DATA_PRTY_ERR,Mastered Data Parity Error" "0,1" rbitfld.long 0x1C 23. "FAST_B2B_CAP,Fast Back to Back Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 22. "RESERVED," "0,1" rbitfld.long 0x1C 21. "C66MHZ_CAPA,66MHz Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 16.--20. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x1C 12.--15. "IO_SPACE_LIMIT,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 8. "IODECODE_32,32 or 16 Bit IO Space" "0,1" newline bitfld.long 0x1C 4.--7. "IO_SPACE_BASE,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x1C 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 0. "IODECODE_32_0,32 or 16 Bit IO Space (CS)" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS_MEM_BASE_LIMIT,Memory Base and Limit Register" hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT_ADDR,Memory Limit Address" rbitfld.long 0x20 16.--19. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE_ADDR,Memory Base Address" rbitfld.long 0x20 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x24 "PCIECTRL_RC_DBICS_PREF_MEM_BASE_LIMIT,Prefetchable Memory Base and Limit Register" hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory End Address" rbitfld.long 0x24 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "MEMDECODE_64,64-Bit Memory Addressing" "0,1" hexmask.long.word 0x24 4.--15. 1. "UPPPREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory start Address" newline rbitfld.long 0x24 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x24 0. "MEMDECODE_64_0,64-Bit Memory Addressing" "0,1" line.long 0x28 "PCIECTRL_RC_DBICS_UPPER_32BIT_PREF_BASEADDR,Upper 32 Bit Prefetachable Base Address Register" line.long 0x2C "PCIECTRL_RC_DBICS_UPPER_32BIT_PREF_LIMITADDR,Upper 32 Bit Prefetachable Limit Address Register" line.long 0x30 "PCIECTRL_RC_DBICS_IO_BASE_LIMIT,IO Base and Limit Register" hexmask.long.word 0x30 16.--31. 1. "UPP16_IOLIMIT,Upper 16 IO Limit Address" hexmask.long.word 0x30 0.--15. 1. "UPP16_IOBASE,Upper 16 IO Base Address" line.long 0x34 "PCIECTRL_RC_DBICS_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" line.long 0x38 "PCIECTRL_RC_DBICS_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x38 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x38 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 1.--10. 1. "RESERVED," bitfld.long 0x38 0. "EXP_ROM_EN,Expansion ROM Enable" "0,1" line.long 0x3C "PCIECTRL_RC_DBICS_BRIDGE_INT,Bridge Control and Int Pin and line" rbitfld.long 0x3C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x3C 27. "DT_SERR_EN,Discard Timer SERR Enable Status" "0,1" newline rbitfld.long 0x3C 26. "DT_STS,Discard Timer Status" "0,1" rbitfld.long 0x3C 25. "SEC_DT,Secondary Discard Timer" "0,1" newline rbitfld.long 0x3C 24. "PRI_DT,Primary Discard Timer" "0,1" rbitfld.long 0x3C 23. "FAST_B2B_EN,Fast Back-to-Back Transactions Enable" "0,1" newline bitfld.long 0x3C 22. "SEC_BUS_RST,Secondary Bus Reset (initiate hot reset)" "0,1" rbitfld.long 0x3C 21. "MST_ABT_MOD,Master Abort Mode" "0,1" newline bitfld.long 0x3C 20. "VGA_16B_DEC,VGA 16-Bit Decode" "0,1" bitfld.long 0x3C 19. "VGA_EN,VGA Enable" "0,1" newline bitfld.long 0x3C 18. "ISA_EN,ISA Enable" "0,1" bitfld.long 0x3C 17. "SERR_EN,SERR Enable" "0,1" newline bitfld.long 0x3C 16. "PERR_RESP_EN,Parity Error Response Enable" "0,1" hexmask.long.byte 0x3C 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x3C 0.--7. 1. "INT_LIN,Interrupt Line" group.long 0x70++0x33 line.long 0x00 "PCIECTRL_RC_DBICS_PCIE_CAP,PCI Express Capability structure header" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented (CS)" "0,1" rbitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type" "?,?,?,?,DEV_TYPE_4_r,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_RC_DBICS_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value for Upstream Port Only (CS)" "0,1,2,3" newline hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value for Upstream Port Only (CS)" rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Extended Tag Field Support (CS)" "0,1" bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" newline bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "?,MAX_PAYLOAD_SIZE_1_r,?,?,?,?,?,?" line.long 0x08 "PCIECTRL_RC_DBICS_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable (Sticky bit)" "AUXPM_EN_0,AUXPM_EN_1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_RC_DBICS_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management; Hardwired to 0 for DS port (RC); (CS)" "0,1" bitfld.long 0x0C 15.--17. "L1_EXIT_LAT,L1 Exit Latency (CS) Compare CS2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "L0S_EXIT_LAT,L0s Exit Latency (CS) Compare CS2" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_RC_DBICS_LNK_CAS,PCIE Link Control and Status" bitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" bitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "COM_CLK_CFG_0,COM_CLK_CFG_1" bitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline bitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "RCB_0,RCB_1" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" line.long 0x14 "PCIECTRL_RC_DBICS_SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x14 19.--31. 1. "PSN,Physical Slot Number (CS)" bitfld.long 0x14 18. "NCCS,No Command Complete Support (CS)" "0,1" newline bitfld.long 0x14 17. "EIP,Electromechanical Interlock Present (CS)" "0,1" bitfld.long 0x14 15.--16. "SPLS,Slot Power Limit Scale (CS)" "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "SPLV,Slot Power Limit Value (CS)" bitfld.long 0x14 6. "HPC,Hot-Plug Capable (CS)" "0,1" newline bitfld.long 0x14 5. "HPS,Hot-Plug Surprise (CS)" "0,1" bitfld.long 0x14 4. "PIP,Power Indicator Present (CS)" "0,1" newline bitfld.long 0x14 3. "AIP,Attention Indicator Present (CS)" "0,1" bitfld.long 0x14 2. "MRLSP,MRL Sensor Present (CS)" "0,1" newline bitfld.long 0x14 1. "PCP,Power Controller Present (CS)" "0,1" bitfld.long 0x14 0. "ABP,Attention Button Present (CS)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS_SLOT_CAS,Slot Control and Status Register" hexmask.long.byte 0x18 25.--31. 1. "RESERVED," bitfld.long 0x18 24. "DSC,Data Link Layer State Changed" "0,1" newline rbitfld.long 0x18 23. "EIS,Electromechanical Interlock Status" "0,1" rbitfld.long 0x18 22. "PDS,Presence Detect State NO PRESENCE DETECTION IMPLEMENTED: TIED TO 1" "0,1" newline rbitfld.long 0x18 21. "MRLSS,MRL Sensor State" "0,1" bitfld.long 0x18 20. "CC,Command Completed" "0,1" newline bitfld.long 0x18 19. "PDC,Presence Detect Changed" "0,1" bitfld.long 0x18 18. "MRCSC,MRL Sensor Changed" "0,1" newline bitfld.long 0x18 17. "PFD,Power Fault Detected" "0,1" bitfld.long 0x18 16. "ABP,Attention Button Pressed" "0,1" newline rbitfld.long 0x18 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 12. "DSC_EN,Data Link Layer State Changed Enable" "0,1" newline bitfld.long 0x18 11. "EIC,Electromechanical Interlock Control" "0,1" bitfld.long 0x18 10. "PCC,Power Controller Control" "0,1" newline bitfld.long 0x18 8.--9. "PIC,Power Indicator Control" "0,1,2,3" bitfld.long 0x18 6.--7. "AIC,Attention Indicator Control" "0,1,2,3" newline bitfld.long 0x18 5. "HPI_EN,Hot-Plug Interrupt Enable" "0,1" bitfld.long 0x18 4. "CCI_EN,Command Completed Interrupt Enable" "0,1" newline bitfld.long 0x18 3. "PDC_EN,Presence Detect Changed Enable" "0,1" bitfld.long 0x18 2. "MRLSC_EN,MRL Sensor Changed Enable" "0,1" newline bitfld.long 0x18 1. "PFD_EN,Power Fault Detected Enable" "0,1" bitfld.long 0x18 0. "ABP_EN,Attention Button Pressed Enable" "0,1" line.long 0x1C "PCIECTRL_RC_DBICS_ROOT_CAC,Root Control and Capability Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED," rbitfld.long 0x1C 16. "CRSSV,CRS Software Visibility" "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RESERVED," rbitfld.long 0x1C 4. "CRSSV_EN,CRS Software Visibility Enable" "0,1" newline bitfld.long 0x1C 3. "PMEI_EN,PME Interrupt Enable" "0,1" bitfld.long 0x1C 2. "SEFE_EN,System Error on Fatal Error Enable" "0,1" newline bitfld.long 0x1C 1. "SENE_EN,System Error on Non-fatal Error Enable" "0,1" bitfld.long 0x1C 0. "SECE_EN,System Error on Correctable Error Enable" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS_ROOT_STS,Root Status Register" hexmask.long.word 0x20 18.--31. 1. "RESERVED," rbitfld.long 0x20 17. "PME_PND,PME Pending" "0,1" newline bitfld.long 0x20 16. "PME_STS,PME Status (Sticky bit)" "0,1" hexmask.long.word 0x20 0.--15. 1. "PME_RID,PME Requester ID" line.long 0x24 "PCIECTRL_RC_DBICS_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x24 14.--31. 1. "RESERVED," bitfld.long 0x24 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x24 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x24 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x24 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x24 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x24 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x24 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x24 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x28 "PCIECTRL_RC_DBICS_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x28 15.--31. 1. "RESERVED," bitfld.long 0x28 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x28 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x28 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x28 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x28 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x28 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x28 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x28 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x28 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x28 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "PCIECTRL_RC_DBICS_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x2C 9.--31. 1. "RESERVED," bitfld.long 0x2C 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x2C 0. "RESERVED," "0,1" line.long 0x30 "PCIECTRL_RC_DBICS_LNK_CAS_2,Link Control and Status 2 Register (Sticky)" hexmask.long.word 0x30 22.--31. 1. "RESERVED," bitfld.long 0x30 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x30 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x30 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x30 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x30 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x30 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x30 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x30 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x30 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "SEL_DEEMP,Selectable De-emphasis (CS)" "0,1" newline bitfld.long 0x30 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x30 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x30 0.--3. "TRGT_LINK_SPEED,Target Link Speed" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." tree.end tree "PCIe_SS2_RC_CFG_DBICS2" base ad:0x51801000 group.long 0x00++0x3F line.long 0x00 "PCIECTRL_RC_DBICS2_DEVICE_VENDORID,Device and Vendor ID" hexmask.long.word 0x00 16.--31. 1. "DEVICEID,Device ID (CS)" hexmask.long.word 0x00 0.--15. 1. "VENDORID,Vendor ID (CS)" line.long 0x04 "PCIECTRL_RC_DBICS2_STATUS_COMMAND_REGISTER,Status and Command registers" bitfld.long 0x04 31. "DETECT_PARERR,Detected Parity Error" "0,1" bitfld.long 0x04 30. "SIGNAL_SYSERR,Signaled System Error" "0,1" newline bitfld.long 0x04 29. "RCVD_MASTERABORT,Received Master Abort" "0,1" bitfld.long 0x04 28. "RCVD_TRGTABORT,Received Target Abort" "0,1" newline bitfld.long 0x04 27. "SIGNAL_TRGTABORT,Signaled Target Abort" "0,1" rbitfld.long 0x04 25.--26. "DEVSEL_TIME,DevSel Timing Harsdwired to 0 for PCIExpress" "0,1,2,3" newline bitfld.long 0x04 24. "MASTERDATA_PARERR,Master Data Parity Error" "0,1" rbitfld.long 0x04 23. "FAST_B2B,Back to Back Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 22. "RESERVED,Reserved" "0,1" rbitfld.long 0x04 21. "C66MHZ_CAP,66MHz Capable Harsdwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 20. "CAP_LIST,Capabilities List Hardwired to 1" "0,1" rbitfld.long 0x04 19. "INTX_STATUS,INTx Status" "0,1" newline hexmask.long.byte 0x04 11.--18. 1. "RESERVED," bitfld.long 0x04 10. "INTX_ASSER_DIS,INTx Assertion Disable" "0,1" newline rbitfld.long 0x04 9. "FAST_BBEN,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 8. "SERR_EN,SERR Enable" "0,1" newline rbitfld.long 0x04 7. "IDSEL_CTRL,Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 6. "PARITYERRRESP,Parity Error Response" "0,1" newline rbitfld.long 0x04 5. "VGA_SNOOP,Not Applicable forPCI Express; Bit hardwired to 0 for PCIExpress" "0,1" rbitfld.long 0x04 4. "MEMWR_INVA,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" newline rbitfld.long 0x04 3. "SPEC_CYCLE_EN,Not Applicable for PCI Express; Bit hardwired to 0 for PCIExpress" "0,1" bitfld.long 0x04 2. "BUSMASTER_EN,Bus Master Enable (BME)" "0,1" newline bitfld.long 0x04 1. "MEM_SPACE_EN,Memory Space Enable (MSE)" "0,1" bitfld.long 0x04 0. "IO_SPACE_EN,IO Space Enable (ISE)" "0,1" line.long 0x08 "PCIECTRL_RC_DBICS2_CLASSCODE_REVISIONID,Class code and Revision ID" hexmask.long.byte 0x08 24.--31. 1. "BASE_CLS_CD,Base Class Code (CS)" hexmask.long.byte 0x08 16.--23. 1. "SUBCLS_CD,Sub Class Code (CS)" newline hexmask.long.byte 0x08 8.--15. 1. "PROG_IF_CODE,Programming Interface Code (CS)" hexmask.long.byte 0x08 0.--7. 1. "REVID,Revision ID (CS)" line.long 0x0C "PCIECTRL_RC_DBICS2_BIST_HEAD_LAT_CACH,BIST. Header Type. Latency Timer. Cache Line Size" hexmask.long.byte 0x0C 24.--31. 1. "BIST,BIST" rbitfld.long 0x0C 23. "MFD,MultiFunction Device" "0,1" newline hexmask.long.byte 0x0C 16.--22. 1. "HEAD_TYP,Header Type" hexmask.long.byte 0x0C 8.--15. 1. "MSTR_LAT_TIM,Master Latency Timer Not Applicable for PCIe hence hardwired to 0" newline hexmask.long.byte 0x0C 0.--7. 1. "CACH_LN_SZE,Cache Line Size No impact on write write is allowed only for legacy purpose" line.long 0x10 "PCIECTRL_RC_DBICS2_BAR0_MASK,Base Address Register 0 Mask (CS2 mode only) Write 1 to BAR[0] to enable the BAR Write ones to BAR[M-1:1] for a 2**M byte BAR Reads like in CS mode" hexmask.long 0x10 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x10 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x14 "PCIECTRL_RC_DBICS2_BAR1_MASK,Base Address Register 1 Mask (CS2 mode only) Write 1 to BAR[0] to enable the BAR Write ones to BAR[M-1:1] for a 2**M byte BAR If BAR0 is in 64-bit mode. contains the upper bits of BAR0 mask Reads like in CS mode" hexmask.long 0x14 1.--31. 1. "BAR_MASK,Write 1 to unmask/0 to mask the BAR address bit (CS2 only)" bitfld.long 0x14 0. "BAR_ENABLED,BAR enabled (CS2 only)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS2_BUS_NUM_REG,Bus Number Registers" hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer Not Applicable for PCI Express hence hardwired to 0" hexmask.long.byte 0x18 16.--23. 1. "SUBORD_BUS_NUM,Subordinate Bus Number" newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS_NUM,Secondary Bus Number" hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS_NUM,Primary Bus Number" line.long 0x1C "PCIECTRL_RC_DBICS2_IOBASE_LIMIT_SEC_STATUS,IO Base.Limit and Secondary Status Register" bitfld.long 0x1C 31. "DET_PAR_ERR,Detected Parity Error" "0,1" bitfld.long 0x1C 30. "RCVD_SYS_ERR,Received System Error" "0,1" newline bitfld.long 0x1C 29. "RCVD_MSTR_ABORT,Received Master Abort" "0,1" bitfld.long 0x1C 28. "RCVD_TRGT_ABORT,Received Target Error" "0,1" newline bitfld.long 0x1C 27. "SGNLD_TRGT_ABORT,Signaled Target Error" "0,1" rbitfld.long 0x1C 25.--26. "DEVSEL_TIMING,DEVSEL Timing Not Applicable for PCI Express hence hardwired to 0" "0,1,2,3" newline bitfld.long 0x1C 24. "MSTR_DATA_PRTY_ERR,Mastered Data Parity Error" "0,1" rbitfld.long 0x1C 23. "FAST_B2B_CAP,Fast Back to Back Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 22. "RESERVED," "0,1" rbitfld.long 0x1C 21. "C66MHZ_CAPA,66MHz Capable Not Applicable for PCI Express hence hardwired to 0" "0,1" newline rbitfld.long 0x1C 16.--20. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x1C 12.--15. "IO_SPACE_LIMIT,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x1C 9.--11. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x1C 8. "IODECODE_32,32 or 16 Bit IO Space" "0,1" newline bitfld.long 0x1C 4.--7. "IO_SPACE_BASE,IO_Space_Limit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x1C 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 0. "IODECODE_32_0,32 or 16 Bit IO Space (CS)" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS2_MEM_BASE_LIMIT,Memory Base and Limit Register" hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT_ADDR,Memory Limit Address" rbitfld.long 0x20 16.--19. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE_ADDR,Memory Base Address" rbitfld.long 0x20 0.--3. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x24 "PCIECTRL_RC_DBICS2_PREF_MEM_BASE_LIMIT,Prefetchable Memory Base and Limit Register" hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory End Address" rbitfld.long 0x24 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "MEMDECODE_64,64-Bit Memory Addressing" "0,1" hexmask.long.word 0x24 4.--15. 1. "UPPPREF_MEM_ADDR,Upper 12 bits of 32-bit Prefetchable Memory start Address" newline rbitfld.long 0x24 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" rbitfld.long 0x24 0. "MEMDECODE_64_0,64-Bit Memory Addressing" "0,1" line.long 0x28 "PCIECTRL_RC_DBICS2_UPPER_32BIT_PREF_BASEADDR,Upper 32 Bit Prefetachable Base Address Register" line.long 0x2C "PCIECTRL_RC_DBICS2_UPPER_32BIT_PREF_LIMITADDR,Upper 32 Bit Prefetachable Limit Address Register" line.long 0x30 "PCIECTRL_RC_DBICS2_IO_BASE_LIMIT,IO Base and Limit Register" hexmask.long.word 0x30 16.--31. 1. "UPP16_IOLIMIT,Upper 16 IO Limit Address" hexmask.long.word 0x30 0.--15. 1. "UPP16_IOBASE,Upper 16 IO Base Address" line.long 0x34 "PCIECTRL_RC_DBICS2_CAPPTR,CapPtr" hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED," hexmask.long.byte 0x34 0.--7. 1. "CAPTR,First Capability Pointer (CS)" line.long 0x38 "PCIECTRL_RC_DBICS2_EXPANSION_ROM_BAR,Expansion ROM Base Address Register" hexmask.long.word 0x38 16.--31. 1. "EXROM_ADDRESS,Expansion ROM address unmasked (ie programmable)" rbitfld.long 0x38 11.--15. "EXROM_ADDRESS_RO,Expansion ROM address masked" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 1.--10. 1. "RESERVED," bitfld.long 0x38 0. "EXP_ROM_EN,Expansion ROM Enable" "0,1" line.long 0x3C "PCIECTRL_RC_DBICS2_BRIDGE_INT,Bridge Control and Int Pin and line" rbitfld.long 0x3C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x3C 27. "DT_SERR_EN,Discard Timer SERR Enable Status" "0,1" newline rbitfld.long 0x3C 26. "DT_STS,Discard Timer Status" "0,1" rbitfld.long 0x3C 25. "SEC_DT,Secondary Discard Timer" "0,1" newline rbitfld.long 0x3C 24. "PRI_DT,Primary Discard Timer" "0,1" rbitfld.long 0x3C 23. "FAST_B2B_EN,Fast Back-to-Back Transactions Enable" "0,1" newline bitfld.long 0x3C 22. "SEC_BUS_RST,Secondary Bus Reset (initiate hot reset)" "0,1" rbitfld.long 0x3C 21. "MST_ABT_MOD,Master Abort Mode" "0,1" newline bitfld.long 0x3C 20. "VGA_16B_DEC,VGA 16-Bit Decode" "0,1" bitfld.long 0x3C 19. "VGA_EN,VGA Enable" "0,1" newline bitfld.long 0x3C 18. "ISA_EN,ISA Enable" "0,1" bitfld.long 0x3C 17. "SERR_EN,SERR Enable" "0,1" newline bitfld.long 0x3C 16. "PERR_RESP_EN,Parity Error Response Enable" "0,1" hexmask.long.byte 0x3C 8.--15. 1. "INT_PIN,Interrupt Pin (CS)" newline hexmask.long.byte 0x3C 0.--7. 1. "INT_LIN,Interrupt Line" group.long 0x70++0x33 line.long 0x00 "PCIECTRL_RC_DBICS2_PCIE_CAP,PCI Express Capability structure header" rbitfld.long 0x00 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x00 25.--29. "IM_NUM,Interrupt Message Number (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 24. "SLOT,Slot Implemented (CS)" "0,1" rbitfld.long 0x00 20.--23. "DEV_TYPE,Device/Port Type" "?,?,?,?,DEV_TYPE_4_r,?,?,?,?,?,?,?,?,?,?,?" newline rbitfld.long 0x00 16.--19. "PCIE_VER,PCI Express Capability Version" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x00 8.--15. 1. "PCIE_NX_PTR,Next Capability Pointer (CS)" newline hexmask.long.byte 0x00 0.--7. 1. "CAP_ID,Capability ID" line.long 0x04 "PCIECTRL_RC_DBICS2_DEV_CAP,PCIE Device Capabilities" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 26.--27. "CAPT_SLOW_PWRLIMIT_SCALE,Captured Slow Power Scale Value for Upstream Port Only (CS)" "0,1,2,3" newline hexmask.long.byte 0x04 18.--25. 1. "CAPT_SLOW_PWRLIMIT_VALUE,Captured Slow Power Limit Value for Upstream Port Only (CS)" rbitfld.long 0x04 16.--17. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 15. "ROLEBASED_ERRRPT,Role Based Error Reporting (CS)" "0,1" rbitfld.long 0x04 12.--14. "UNDEFINED,Undefined from PCIe 1.1 onwards" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 9.--11. "DEFAULT_EP_L1_ACCPT_LATENCY,Endpoint L1 Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 6.--8. "DEFAULT_EP_L0S_ACCPT_LATENCY,Endpoint L0s Acceptable Latency; Must be 0 for RC" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 5. "EXTTAGFIELD_SUPPORT,Extended Tag Field Support (CS)" "0,1" bitfld.long 0x04 3.--4. "PHANTOMFUNC,Phantom Function Support not SUPPORTED (CS)" "0,1,2,3" newline bitfld.long 0x04 0.--2. "MAX_PAYLOAD_SIZE,Maximum Payload Size (CS)" "?,MAX_PAYLOAD_SIZE_1_r,?,?,?,?,?,?" line.long 0x08 "PCIECTRL_RC_DBICS2_DEV_CAS,PCIE Device Control and Status" hexmask.long.word 0x08 22.--31. 1. "RESERVED," rbitfld.long 0x08 21. "TRANS_PEND,Transaction Pending" "0,1" newline rbitfld.long 0x08 20. "AUXP_DET,Aux Power Detected" "0,1" bitfld.long 0x08 19. "UR_DET,Unsupported Request Detected" "0,1" newline bitfld.long 0x08 18. "FT_DET,Fatal Error Detected" "0,1" bitfld.long 0x08 17. "NFT_DET,Non-Fatal Error Detected" "0,1" newline bitfld.long 0x08 16. "COR_DET,Correctable Error Detected" "0,1" rbitfld.long 0x08 15. "INIT_FLR,Reserved" "0,1" newline bitfld.long 0x08 12.--14. "MRRS,Max_Read_Request_Size" "0,1,2,3,4,5,6,7" bitfld.long 0x08 11. "NOSNP_EN,Enable No Snoop" "0,1" newline bitfld.long 0x08 10. "AUXPM_EN,AUX Power PM Enable (Sticky bit)" "AUXPM_EN_0,AUXPM_EN_1" bitfld.long 0x08 9. "PHFUN_EN,Phantom Function Enable" "0,1" newline bitfld.long 0x08 8. "EXTAG_EN,Extended Tag Field Enable" "0,1" bitfld.long 0x08 5.--7. "MPS,Max_Payload_Size" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 4. "EN_RO,Enable Relaxed Ordering" "0,1" bitfld.long 0x08 3. "UR_RE,Unsupported Request Reporting Enable" "0,1" newline bitfld.long 0x08 2. "FT_RE,Fatal Error Reporting Enable" "0,1" bitfld.long 0x08 1. "NFT_RE,Non-Fatal Error Reporting Enable" "0,1" newline bitfld.long 0x08 0. "COR_RE,Correctable Error Reporting Enable" "0,1" line.long 0x0C "PCIECTRL_RC_DBICS2_LNK_CAP,PCIE Link Capabilities" hexmask.long.byte 0x0C 24.--31. 1. "PORT_NUM,Port Number (CS)" rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 22. "ASPM_OPT_COMP,ASPM Optionality Compliance (CS)" "0,1" bitfld.long 0x0C 21. "LNK_BW_not_CAP,Link Bandwidth Notification Capability (CS)" "0,1" newline rbitfld.long 0x0C 20. "DLL_ACTRPT_CAP,Data Link Layer Active Reporting Capable" "0,1" rbitfld.long 0x0C 19. "UNSUP,Unsupported Surprise Down Error Reporting Capable Hardwired to 0" "0,1" newline bitfld.long 0x0C 18. "CLK_PWR_MGMT,Clock Power Management; Hardwired to 0 for DS port (RC); (CS)" "0,1" bitfld.long 0x0C 15.--17. "COMM_L1_EXIT_LAT,Common-clock-mode L1 Exit Latency (CS2) Compare CS" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12.--14. "COMM_L0S_EXIT_LAT,Common-clock-mode L0s Exit Latency (CS2) Compare CS" "0,1,2,3,4,5,6,7" bitfld.long 0x0C 10.--11. "AS_LINK_PM_SUPPORT,Active State Link PM (ASPM) Support (CS)" "0,1,2,3" newline bitfld.long 0x0C 4.--9. "MAX_LINK_WIDTH,Max Link Width (lanes) (CS)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x0C 0.--3. "MAX_LINK_SPEEDS,Supported Max Link Speed (CS)" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." line.long 0x10 "PCIECTRL_RC_DBICS2_LNK_CAS,PCIE Link Control and Status" bitfld.long 0x10 31. "LAB_STATUS,Link Autonomous Bandwidth Status" "0,1" bitfld.long 0x10 30. "LBW_STATUS,Link Bandwidth Management Status" "0,1" newline rbitfld.long 0x10 29. "DLL_ACT,Data Link Layer Active" "0,1" bitfld.long 0x10 28. "SLOT_CLK_CONFIG,Slot Clock Configuration (CS)" "0,1" newline rbitfld.long 0x10 27. "LINK_TRAIN,LINK training" "0,1" rbitfld.long 0x10 26. "UNDEF,Undefined" "0,1" newline rbitfld.long 0x10 20.--25. "NEG_LW,Negotiated Link Width; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x10 16.--19. "LINK_SPEED,Link Speed; UNDEFINED UNTIL LINK IS UP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x10 11. "LABIE,Link Autonomous Bandwidth Interrupt Enable" "0,1" newline bitfld.long 0x10 10. "LBMIE,Link Bandwidth Management Interrupt Enable" "0,1" rbitfld.long 0x10 9. "HAWD,Hardware Autonomous Width Disable" "0,1" newline bitfld.long 0x10 8. "EN_CPM,Enable Clock Power Management" "0,1" bitfld.long 0x10 7. "EXT_SYN,Extended Synch" "0,1" newline bitfld.long 0x10 6. "COM_CLK_CFG,Common Clock Configuration" "COM_CLK_CFG_0,COM_CLK_CFG_1" bitfld.long 0x10 5. "RETRAIN_LINK,Retrain Link" "0,1" newline bitfld.long 0x10 4. "LINK_DIS,Link Disable" "0,1" bitfld.long 0x10 3. "RCB,Read Completion Boundary (CS)" "RCB_0,RCB_1" newline rbitfld.long 0x10 2. "RESERVED," "0,1" bitfld.long 0x10 0.--1. "ASPM_CTRL,Active State Link PM Control" "DISABLED,L0S_ENABLED,L1_ENABLED,L0S_AND_L1_ENABLED" line.long 0x14 "PCIECTRL_RC_DBICS2_SLOT_CAP,Slot Capabilities Register" hexmask.long.word 0x14 19.--31. 1. "PSN,Physical Slot Number (CS)" bitfld.long 0x14 18. "NCCS,No Command Complete Support (CS)" "0,1" newline bitfld.long 0x14 17. "EIP,Electromechanical Interlock Present (CS)" "0,1" bitfld.long 0x14 15.--16. "SPLS,Slot Power Limit Scale (CS)" "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "SPLV,Slot Power Limit Value (CS)" bitfld.long 0x14 6. "HPC,Hot-Plug Capable (CS)" "0,1" newline bitfld.long 0x14 5. "HPS,Hot-Plug Surprise (CS)" "0,1" bitfld.long 0x14 4. "PIP,Power Indicator Present (CS)" "0,1" newline bitfld.long 0x14 3. "AIP,Attention Indicator Present (CS)" "0,1" bitfld.long 0x14 2. "MRLSP,MRL Sensor Present (CS)" "0,1" newline bitfld.long 0x14 1. "PCP,Power Controller Present (CS)" "0,1" bitfld.long 0x14 0. "ABP,Attention Button Present (CS)" "0,1" line.long 0x18 "PCIECTRL_RC_DBICS2_SLOT_CAS,Slot Control and Status Register" hexmask.long.byte 0x18 25.--31. 1. "RESERVED," bitfld.long 0x18 24. "DSC,Data Link Layer State Changed" "0,1" newline rbitfld.long 0x18 23. "EIS,Electromechanical Interlock Status" "0,1" rbitfld.long 0x18 22. "PDS,Presence Detect State NO PRESENCE DETECTION IMPLEMENTED: TIED TO 1" "0,1" newline rbitfld.long 0x18 21. "MRLSS,MRL Sensor State" "0,1" bitfld.long 0x18 20. "CC,Command Completed" "0,1" newline bitfld.long 0x18 19. "PDC,Presence Detect Changed" "0,1" bitfld.long 0x18 18. "MRCSC,MRL Sensor Changed" "0,1" newline bitfld.long 0x18 17. "PFD,Power Fault Detected" "0,1" bitfld.long 0x18 16. "ABP,Attention Button Pressed" "0,1" newline rbitfld.long 0x18 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 12. "DSC_EN,Data Link Layer State Changed Enable" "0,1" newline bitfld.long 0x18 11. "EIC,Electromechanical Interlock Control" "0,1" bitfld.long 0x18 10. "PCC,Power Controller Control" "0,1" newline bitfld.long 0x18 8.--9. "PIC,Power Indicator Control" "0,1,2,3" bitfld.long 0x18 6.--7. "AIC,Attention Indicator Control" "0,1,2,3" newline bitfld.long 0x18 5. "HPI_EN,Hot-Plug Interrupt Enable" "0,1" bitfld.long 0x18 4. "CCI_EN,Command Completed Interrupt Enable" "0,1" newline bitfld.long 0x18 3. "PDC_EN,Presence Detect Changed Enable" "0,1" bitfld.long 0x18 2. "MRLSC_EN,MRL Sensor Changed Enable" "0,1" newline bitfld.long 0x18 1. "PFD_EN,Power Fault Detected Enable" "0,1" bitfld.long 0x18 0. "ABP_EN,Attention Button Pressed Enable" "0,1" line.long 0x1C "PCIECTRL_RC_DBICS2_ROOT_CAC,Root Control and Capability Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED," rbitfld.long 0x1C 16. "CRSSV,CRS Software Visibility" "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RESERVED," rbitfld.long 0x1C 4. "CRSSV_EN,CRS Software Visibility Enable" "0,1" newline bitfld.long 0x1C 3. "PMEI_EN,PME Interrupt Enable" "0,1" bitfld.long 0x1C 2. "SEFE_EN,System Error on Fatal Error Enable" "0,1" newline bitfld.long 0x1C 1. "SENE_EN,System Error on Non-fatal Error Enable" "0,1" bitfld.long 0x1C 0. "SECE_EN,System Error on Correctable Error Enable" "0,1" line.long 0x20 "PCIECTRL_RC_DBICS2_ROOT_STS,Root Status Register" hexmask.long.word 0x20 18.--31. 1. "RESERVED," rbitfld.long 0x20 17. "PME_PND,PME Pending" "0,1" newline bitfld.long 0x20 16. "PME_STS,PME Status (Sticky bit)" "0,1" hexmask.long.word 0x20 0.--15. 1. "PME_RID,PME Requester ID" line.long 0x24 "PCIECTRL_RC_DBICS2_DEV_CAP_2,Device Capabilities 2 Register" hexmask.long.tbyte 0x24 14.--31. 1. "RESERVED," bitfld.long 0x24 12.--13. "TPHC_SP,TPH Completer Supported" "0,1,2,3" newline bitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 10. "NOROPR,No RO-enabled PR-PR Passing" "0,1" newline bitfld.long 0x24 9. "CASC128_SP,128-bit CAS Completer Supported" "0,1" bitfld.long 0x24 8. "AOC64_SP,64-bit AtomicOp Completer Supported" "0,1" newline bitfld.long 0x24 7. "AOC32_SP,32-bit AtomicOp Completer Supported" "0,1" bitfld.long 0x24 6. "AOR_SP,AtomicOp Routing Supported" "0,1" newline bitfld.long 0x24 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x24 4. "CPL_TIMEOUT_DIS_SUPPORTED,Completion Timeout Disable Supported" "0,1" newline bitfld.long 0x24 0.--3. "CPL_TIMEOUT_RNG_SUPPORTED,Completion Timeout Ranges Supported" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x28 "PCIECTRL_RC_DBICS2_DEV_CAS_2,Device Control 2 Register" hexmask.long.tbyte 0x28 15.--31. 1. "RESERVED," bitfld.long 0x28 13.--14. "OBFF_EN,OBFF Enable" "0,1,2,3" newline rbitfld.long 0x28 11.--12. "RESERVED," "0,1,2,3" bitfld.long 0x28 10. "LTR_EN,LTR Mechanism Enable" "0,1" newline bitfld.long 0x28 9. "IDO_CPL_EN,IDO Completion Enable" "0,1" bitfld.long 0x28 8. "IDO_REQ_EN,IDO Request Enable" "0,1" newline bitfld.long 0x28 7. "AOP_EG_BLK,AtomicOp Egress Blocking" "0,1" bitfld.long 0x28 6. "AOP_REQ_EN,AtomicOp Requester Enable" "0,1" newline bitfld.long 0x28 5. "ARI_FWD_SP,ARI Forwarding Supported" "0,1" bitfld.long 0x28 4. "CPL_TIMEOUT_DIS,Completion Timeout Disable" "0,1" newline bitfld.long 0x28 0.--3. "CPL_TIMEOUT_VALUE,Completion Timeout Values" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "PCIECTRL_RC_DBICS2_LNK_CAP_2,PCIE Link Capabilities 2 Register" hexmask.long.tbyte 0x2C 9.--31. 1. "RESERVED," bitfld.long 0x2C 8. "CROSSLINK_SP,Crosslink Supported" "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "SP_LS_VEC,Supported Link Speeds Vector" bitfld.long 0x2C 0. "RESERVED," "0,1" line.long 0x30 "PCIECTRL_RC_DBICS2_LNK_CAS_2,Link Control and Status 2 Register (Sticky)" hexmask.long.word 0x30 22.--31. 1. "RESERVED," bitfld.long 0x30 21. "LINK_EQ_REQ,Link Equilization Request" "0,1" newline rbitfld.long 0x30 20. "EQ_PH3,Equalization Ph3 Success Gen3 Only" "0,1" rbitfld.long 0x30 19. "EQ_PH2,Equalization Ph2 Success Gen3 Only" "0,1" newline rbitfld.long 0x30 18. "EQ_PH1,Equalization Ph1 Success Gen3 Only" "0,1" rbitfld.long 0x30 17. "EQ_COMPLETE,Equalization Complete Gen3 Only" "0,1" newline rbitfld.long 0x30 16. "DEEMPH_LEVEL,Current De-emphasis Level" "0,1" bitfld.long 0x30 12.--15. "COMPL_PRST_DEEPH,Compliance Pre-set/ De-emphasis" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x30 11. "COMPL_SOS,Compliance SOS" "0,1" bitfld.long 0x30 10. "ENT_MOD_COMPL,Enter Modified Compliance" "0,1" newline bitfld.long 0x30 7.--9. "TX_MARGIN,Transmit Margin" "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "SEL_DEEMP,Selectable De-emphasis (CS)" "0,1" newline bitfld.long 0x30 5. "HW_AUTO_SP_DIS,Hardware Autonomous Speed Disable" "0,1" bitfld.long 0x30 4. "ENTR_COMPL,Enter Compliance" "0,1" newline bitfld.long 0x30 0.--3. "TRGT_LINK_SPEED,Target Link Speed: Read" "?,2.5 GT/s (Gen1),5 GT/s (Gen2),8 GT/s (Gen3),?..." tree.end tree "PCIe_SS2_TI_CONF" base ad:0x51802000 rgroup.long 0x00++0x03 line.long 0x00 "PCIECTRL_TI_CONF_REVISION,IP Revision Identifier" group.long 0x10++0x03 line.long 0x00 "PCIECTRL_TI_CONF_SYSCONFIG,Controls various parameters of the master and slave interfaces" hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "MCOHERENT_EN,Allows the no-snoop (NS) attribute of inbound PCIe TLPs to be passed to SoC system bus (AXI) master as a 'coherent' inband flag" "MCOHERENT_EN_0,MCOHERENT_EN_1" newline hexmask.long.word 0x00 6.--15. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,PM mode of local initiator (master); Initiator may generate read/write transaction as long as it is out of STANDBY state" "Force-standby mode = Initiator is..,No-standby mode = initiator is unconditionally..,Smart-standby mode = initiator's standby state..,Smart-Standby wakeup-capable mode = initiator's.." newline bitfld.long 0x00 2.--3. "IDLEMODE,PM mode of local target (slave); Target shall be capable of handling read/write transaction as long as it is out of IDLE state" "Force-idle mode = local target's idle state..,No-idle mode = local target never enters idle..,Smart-idle mode = local target's idle state..,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x18++0x03 line.long 0x00 "PCIECTRL_TI_CONF_IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if an new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 4.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "LINE_NUMBER,Write the IRQ line number to apply software EOI to it" "Read always returns zeros,software EOI on message-signalled (MSI)..,?..." group.long 0x20++0x1F line.long 0x00 "PCIECTRL_TI_CONF_IRQSTATUS_RAW_MAIN,Raw status of 'main' interrupt requests; Set even if event is not enabled" hexmask.long.tbyte 0x00 15.--31. 1. "RESERVED," newline bitfld.long 0x00 14. "CFG_MSE_EVT,CFG 'Memory Space Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 13. "CFG_BME_EVT,CFG 'Bus Master Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 12. "LINK_UP_EVT,Link-up state change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 11. "LINK_REQ_RST,Link Request Reset IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 10. "PM_PME,PM Power Management Event message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 9. "PME_TO_ACK,Power Management Event Turn-Off Ack message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 8. "PME_TURN_OFF,Power Management Event Turn-Off message received IRQ status" "No event pending,IRQ event pending" newline rbitfld.long 0x00 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 5. "ERR_ECRC,ECRC Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 4. "ERR_AXI,AXI tag lookup fatal Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 3. "ERR_COR,Correctable Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 2. "ERR_NONFATAL,Non-Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 1. "ERR_FATAL,Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x00 0. "ERR_SYS,System Error IRQ status" "No event pending,IRQ event pending" line.long 0x04 "PCIECTRL_TI_CONF_IRQSTATUS_MAIN,Regular status of 'main' interrupt requests; Set only when enabled" hexmask.long.tbyte 0x04 15.--31. 1. "RESERVED," newline bitfld.long 0x04 14. "CFG_MSE_EVT,CFG 'Memory Space Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 13. "CFG_BME_EVT,CFG 'Bus Master Enable' change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 12. "LINK_UP_EVT,Link-up state change IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 11. "LINK_REQ_RST,Link Request Reset IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 10. "PM_PME,PM Power Management Event message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 9. "PME_TO_ACK,Power Management Event Turn-Off Ack message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 8. "PME_TURN_OFF,Power Management Event Turn-Off message received IRQ status" "No event pending,IRQ event pending" newline rbitfld.long 0x04 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 5. "ERR_ECRC,ECRC Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 4. "ERR_AXI,AXI tag lookup fatal Error IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 3. "ERR_COR,Correctable Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 2. "ERR_NONFATAL,Non-Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 1. "ERR_FATAL,Fatal Error message received IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x04 0. "ERR_SYS,System Error IRQ status" "No event pending,IRQ event pending" line.long 0x08 "PCIECTRL_TI_CONF_IRQENABLE_SET_MAIN,Enable of 'main' interrupt requests; Write 1 to set (ie to enable interrupt)" hexmask.long.tbyte 0x08 15.--31. 1. "RESERVED," newline bitfld.long 0x08 14. "CFG_MSE_EVT_EN,CFG 'Memory Space Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 13. "CFG_BME_EVT_EN,CFG 'Bus Master Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 12. "LINK_UP_EVT_EN,Link-up state change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 11. "LINK_REQ_RST_EN,Link Request Reset IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 10. "PM_PME_EN,PM Power Management Event message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 9. "PME_TO_ACK_EN,Power Management Event Turn-Off Ack message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 8. "PME_TURN_OFF_EN,Power Management Event Turn-Off message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 5. "ERR_ECRC_EN,ECRC Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 4. "ERR_AXI_EN,AXI tag lookup fatal Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 3. "ERR_COR_EN,Correctable Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 2. "ERR_NONFATAL_EN,Non-Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 1. "ERR_FATAL_EN,Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x08 0. "ERR_SYS_EN,System Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x0C "PCIECTRL_TI_CONF_IRQENABLE_CLR_MAIN,Enable of 'main' interrupt requests; Write 1 to clear (ie to disable interrupt)" hexmask.long.tbyte 0x0C 15.--31. 1. "RESERVED," newline bitfld.long 0x0C 14. "CFG_MSE_EVT_EN,CFG 'Memory Space Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 13. "CFG_BME_EVT_EN,CFG 'Bus Master Enable' change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 12. "LINK_UP_EVT_EN,Link-up state change IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 11. "LINK_REQ_RST_EN,Link Request Reset IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 10. "PM_PME_EN,PM Power Management Event message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 9. "PME_TO_ACK_EN,Power Management Event Turn-Off Ack message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 8. "PME_TURN_OFF_EN,Power Management Event Turn-Off message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline rbitfld.long 0x0C 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 5. "ERR_ECRC_EN,ECRC Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 4. "ERR_AXI_EN,AXI tag lookup fatal Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 3. "ERR_COR_EN,Correctable Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 2. "ERR_NONFATAL_EN,Non-Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 1. "ERR_FATAL_EN,Fatal Error message received IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x0C 0. "ERR_SYS_EN,System Error IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x10 "PCIECTRL_TI_CONF_IRQSTATUS_RAW_MSI,Raw status of legacy and MSI interrupt requests; Set even if event is not enabled" hexmask.long 0x10 5.--31. 1. "RESERVED," newline bitfld.long 0x10 4. "MSI,Message Signaled Interrupt IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x10 3. "INTD,INTD IRQ status (Legacy PCIe message interrupt D); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 2. "INTC,INTC IRQ status (Legacy PCIe message interrupt C); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 1. "INTB,INTB IRQ status (Legacy PCIe message interrupt B); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x10 0. "INTA,INTA IRQ status (Legacy PCIe message interrupt A); RC mode only" "No event pending,IRQ event pending" line.long 0x14 "PCIECTRL_TI_CONF_IRQSTATUS_MSI,Regular status of legacy and MSI interrupt requests; Set only when enabled" hexmask.long 0x14 5.--31. 1. "RESERVED," newline bitfld.long 0x14 4. "MSI,Message Signaled Interrupt IRQ status" "No event pending,IRQ event pending" newline bitfld.long 0x14 3. "INTD,INTD IRQ status (Legacy PCIe message interrupt D); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 2. "INTC,INTC IRQ status (Legacy PCIe message interrupt C); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 1. "INTB,INTB IRQ status (Legacy PCIe message interrupt B); RC mode only" "No event pending,IRQ event pending" newline bitfld.long 0x14 0. "INTA,INTA IRQ status (Legacy PCIe message interrupt A); RC mode only" "No event pending,IRQ event pending" line.long 0x18 "PCIECTRL_TI_CONF_IRQENABLE_SET_MSI,Enable of legacy and MSI interrupt requests; Write 1 to set (ie to enable interrupt)" hexmask.long 0x18 5.--31. 1. "RESERVED," newline bitfld.long 0x18 4. "MSI_EN,Message Signaled Interrupt IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 3. "INTD_EN,INTD IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 2. "INTC_EN,INTC IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 1. "INTB_EN,INTB IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x18 0. "INTA_EN,INTA IRQ enable" "IRQ event is disabled,IRQ event is enabled" line.long 0x1C "PCIECTRL_TI_CONF_IRQENABLE_CLR_MSI,Enable of legacy and MSI interrupt requests; Write 1 to clear (ie to disable interrupt)" hexmask.long 0x1C 5.--31. 1. "RESERVED," newline bitfld.long 0x1C 4. "MSI_EN,Message Signaled Interrupt IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 3. "INTD_EN,INTD IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 2. "INTC_EN,INTC IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 1. "INTB_EN,INTB IRQ enable" "IRQ event is disabled,IRQ event is enabled" newline bitfld.long 0x1C 0. "INTA_EN,INTA IRQ enable" "IRQ event is disabled,IRQ event is enabled" group.long 0x100++0x0F line.long 0x00 "PCIECTRL_TI_CONF_DEVICE_TYPE,Sets the Dual-Mode device's type" hexmask.long 0x00 4.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--3. "TYPE,PCIe device type including the contents of the PCI config space (Type-0 for EP Type-1 for RC); Apply fundamental reset after change; Do not change during core operation;" "PCIe endpoint (EP),Legacy PCIe endpoint (LEG_EP),?,?,Root Complex (RC) Other values: Reserved,?..." line.long 0x04 "PCIECTRL_TI_CONF_DEVICE_CMD,Device command (startup control and status); WARNING: cleared by all reset conditions. including fundamental reset" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x04 21.--28. 1. "BUS_NUM,PCIe bus number" newline rbitfld.long 0x04 16.--20. "DEV_NUM,PCIe device number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," newline bitfld.long 0x04 2.--7. "LTSSM_STATE,LTSSM state /substate implementation-specific for debug" "DETECT_QUIET,DETECT_ACT,POLL_ACTIVE,POLL_COMPLIANCE,POLL_CONFIG,PRE_DETECT_QUIET,DETECT_WAIT,CFG_LINKWD_START,CFG_LINKWD_ACEPT,CFG_LANENUM_WAIT,CFG_LANENUM_ACEPT,CFG_COMPLETE,CFG_IDLE,RCVRY_LOCK,RCVRY_SPEED,RCVRY_RCVRCFG,RCVRY_IDLE,L0,L0S,L123_SEND_EIDLE,L1_IDLE,L2_IDLE,L2_WAKE,DISABLED_ENTRY,DISABLED_IDLE,DISABLED,LPBK_ENTRY,LPBK_ACTIVE,LPBK_EXIT,LPBK_EXIT_TIMEOUT,HOT_RESET_ENTRY,HOT_RESET,RCVRY_EQ0,RCVRY_EQ1,RCVRY_EQ2,RCVRY_EQ3,?..." newline bitfld.long 0x04 1. "APP_REQ_RETRY_EN,Application Request Retry Enable (This bit is CLEARED BY FUNDAMENTAL RESET)" "APP_REQ_RETRY_EN_0,APP_REQ_RETRY_EN_1" newline bitfld.long 0x04 0. "LTSSM_EN,LTSSM enable: start the PCI link (This bit is CLEARED BY FUNDAMENTAL RESET)" "LTSSM_EN_0,LTSSM_EN_1" line.long 0x08 "PCIECTRL_TI_CONF_PM_CTRL,Power Management Control" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," newline bitfld.long 0x08 11. "AUX_PWR_DET,Auxilliary Power Detection; Status of Vaux detection for the PCIe controller; Determines transition to L2 vs L3 upon Vmain turn-off" "AUX_PWR_DET_0,AUX_PWR_DET_1" newline bitfld.long 0x08 10. "REQ_EXIT_L1,Request to exit L1 state (to L0)" "REQ_EXIT_L1_0,REQ_EXIT_L1_1" newline bitfld.long 0x08 9. "REQ_ENTR_L1,Request to transition to L1 state" "REQ_ENTR_L1_0,REQ_ENTR_L1_1" newline bitfld.long 0x08 8. "L23_READY,Indicates system readiness for the link to enter L2/L3 ready state (EP mode only); Allows the transmission of PM_Enter_L23 following PM_Turn_OFF/PME_TO_Ack handshake" "L23_READY_0,L23_READY_1" newline rbitfld.long 0x08 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x08 1. "PM_PME,Transmits PM_PME wakeup message (EP mode only)" "PM_PME_0,PM_PME_1" newline bitfld.long 0x08 0. "PME_TURN_OFF,Transmits PME_Turn_Off message downstream (RC mode only); Eventually sends all links of hierarchy domain to L2L/3_ready" "PME_TURN_OFF_0,PME_TURN_OFF_1" line.long 0x0C "PCIECTRL_TI_CONF_PHY_CS,Physical Layer Control and Status" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline rbitfld.long 0x0C 16. "LINK_UP,Link status from LTSSM" "LINK_UP_0,LINK_UP_1" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "REVERSE_LANES,Manual lane reversal control allowing lane 0 and lane 1 to be swapped by default; Both Tx and Rx are reversed; Polarity of the individual lane is unchanged" "REVERSE_LANES_0,REVERSE_LANES_1" group.long 0x124++0x0B line.long 0x00 "PCIECTRL_TI_CONF_INTX_ASSERT,Legacy INTx ASSERT message control. with 'x' in (A.B.C.D) set by the 'Interrupt Pin' field" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "ASSERT_F0,INTx ASSERT for function 0" "INTx is inactive (has been deasserted),INTx is active (has been asserted)" line.long 0x04 "PCIECTRL_TI_CONF_INTX_DEASSERT,Legacy INTx DEASSERT message control. with 'x' in (A.B.C.D) set by the 'Interrupt Pin' field" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "DEASSERT_F0,INTx DEASSERT for function 0" "INTx is inactive (has been deasserted),INTx is active (has been asserted)" line.long 0x08 "PCIECTRL_TI_CONF_MSI_XMT,MSI transmitter (EP mode); Specifies parameters of MSI. together with MSI capability descriptor already configured by remote RC" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," newline bitfld.long 0x08 7.--11. "MSI_VECTOR,Vector number for transmitted MSI (as allowed by RC at enumeration)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x08 4.--6. "MSI_TC,Traffic class (TC) for transmitted MSI" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 1.--3. "MSI_FUNC_NUM,Function number for transmitted MSI; Always 0 for single-function EP" "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 0. "MSI_REQ_GRANT,MSI transmit request (and grant status)" "MSI transmission request pending,Request MSI transmission" group.long 0x140++0x0B line.long 0x00 "PCIECTRL_TI_CONF_DEBUG_CFG,Configuration of debug_data output and register (observability)" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 0.--5. "SEL,Debug_data mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "PCIECTRL_TI_CONF_DEBUG_DATA,Debug data vector. depending on DEBUG_CFG.sel value" line.long 0x08 "PCIECTRL_TI_CONF_DIAG_CTRL,Diagnostic control" hexmask.long 0x08 3.--31. 1. "RESERVED," newline bitfld.long 0x08 2. "RESERVED,software must always keep this bit at its default value - 0" "0,1" newline bitfld.long 0x08 1. "INV_ECRC,Corrupt LSB of ECRC in the next packet then self-clears" "No CRC corruption pending,Request CRC corruption" newline bitfld.long 0x08 0. "INV_LCRC,Corrupts LSB of LCRC in the next packet then self-clears" "No CRC corruption pending,Request CRC corruption" tree.end tree "PCIESS1_FW_CFG_TARG" base ad:0x4A166000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PCIESS2_FW" base ad:0x4A159000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x9C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x98)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x94)++0x03 line.long 0x00 "END_REGION_i_$1,End physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x00 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x00 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x00 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat.end repeat 7. (list 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x10 0x20 0x30 0x40 0x50 0x60 ) group.long ($2+0x90)++0x03 line.long 0x00 "START_REGION_i_$1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" repeat.end tree.end tree "PCIESS2_FW_CFG_TARG" base ad:0x4A15A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PER1_AP" base ad:0x48000000 rgroup.long 0x00++0x07 line.long 0x00 "L4_AP_COMPONENT_L,Contains a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_AP_COMPONENT_H,Contains a component code and revision. which are used to identify the hardware of the component" repeat 5. (list 80. 81. 82. 83. 84. )(list 0x00 0x08 0x10 0x18 0x20 ) group.long ($2+0x584)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x504)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x484)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x384)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x304)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 5. (list 80. 81. 82. 83. 84. )(list 0x00 0x08 0x10 0x18 0x20 ) rgroup.long ($2+0x580)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x500)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x480)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x380)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x284)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_L_$1,Define MReqInfo bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x204)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x200)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_L_$1,Define ConnID bit vectors for a protection group" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CONNID_BIT_VECTOR,Specifies protection group members N is 2**W where W is the connID width" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_H_$1,Define the size of each segments" hexmask.long 0x00 5.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--4. "SIZE,Segment size is a power of 2 where 2 is the byte size of a segment (all segment registers use the same size)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) rgroup.long ($2+0x100)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_L_$1,Define the base address of each segments" repeat.end tree.end repeat 3. (list 0. 1. 2. )(list ad:0x48001000 ad:0x48001400 ad:0x48001800 ) tree "PER1_IA_IP$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "L4_IA_COMPONENT_L,COMPONENT register identifies the component to which this register block belongs" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_IA_COMPONENT_H,COMPONENT register identifies the component to which this register block belongs" rgroup.long 0x18++0x17 line.long 0x00 "L4_IA_CORE_L,Provide information about the core initiator" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_IA_CORE_H,Provide information about the core initiator" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_IA_AGENT_CONTROL_L,Core control for an initiator OCP interface" bitfld.long 0x08 31. "PROT_ERROR_SECONDARY_REP,Out-of-band reporting of protection mechanism secondary errors" "0,1" bitfld.long 0x08 30. "PROT_ERROR_PRIMARY_REP,Out-of-band reporting of protection mechanism primary errors" "0,1" rbitfld.long 0x08 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x08 27. "INBAND_ERROR_REP,Setting this field to 1 reports on in-band errors using the INBAND_ERROR log bit of IA.AGENT_STATUS register" "0,1" rbitfld.long 0x08 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x08 24. "MERROR_REP,OCP MError reporting control" "0,1" newline hexmask.long.tbyte 0x08 0.--23. 1. "RESERVED," line.long 0x0C "L4_IA_AGENT_CONTROL_H,Enable error reporting on an initiator interface" line.long 0x10 "L4_IA_AGENT_STATUS_L,Stores status information for an initiator" bitfld.long 0x10 31. "PROT_ERROR_SECONDARY," "0,1" bitfld.long 0x10 30. "PROT_ERROR_PRIMARY," "0,1" rbitfld.long 0x10 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x10 27. "INBAND_ERROR,0x0 No In-Band error present.0x1 In-Band error present" "0,1" rbitfld.long 0x10 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x10 24. "MERROR,Value of the OCP MError signal" "0,1" newline hexmask.long.tbyte 0x10 0.--23. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_IA_AGENT_STATUS_H,Stores status information for an initiator" group.long 0x58++0x0F line.long 0x00 "L4_IA_ERROR_LOG_L,Log information about error conditions" bitfld.long 0x00 31. "MULTI,Multiple errors detected" "0,1" bitfld.long 0x00 30. "SECONDARY,Indicates whether protection violation was a primary or secondary error" "0,1" rbitfld.long 0x00 26.--29. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--25. "CODE,The error code of an initiator request" "No errors,Reserved,?..." hexmask.long.word 0x00 14.--23. 1. "RESERVED,Read returns 0" rbitfld.long 0x00 8.--13. "CONNID,ConnID of request causing the error refer to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--2. "CMD,Command that caused error" "0,1,2,3,4,5,6,7" line.long 0x04 "L4_IA_ERROR_LOG_H,Log information about error conditions" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.word 0x04 0.--15. 1. "REQ_INFO,MReqInfo bits of request that caused the error REQ_INFO[0] = supervisor REQ_INFO[1] = Debug" line.long 0x08 "L4_IA_ERROR_LOG_ADDR_L,Extended error log (address information)" line.long 0x0C "L4_IA_ERROR_LOG_ADDR_H,Extended error log (address information)" tree.end repeat.end tree "PER1_LA" base ad:0x48000800 rgroup.long 0x00++0x07 line.long 0x00 "L4_LA_COMPONENT_L,Contain a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_LA_COMPONENT_H,Contain a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x10++0x17 line.long 0x00 "L4_LA_NETWORK_L,Identify the interconnect" line.long 0x04 "L4_LA_NETWORK_H,Identify the interconnect" line.long 0x08 "L4_LA_INITIATOR_INFO_L,Contain initiator subsystem information" bitfld.long 0x08 28.--31. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "PROT_GROUPS,Number of protection group of in the current L4" "No protection group,1 protection group,2 protection groups,?,?,?,?,?,8 protection groups 0x9 to,?,?,?,?,?,?,Reserved" newline abitfld.long 0x08 16.--23. "NUMBER_REGIONS,Number of regions in the current L4" "0x00=Reserved,0x01=1 region,0x02=2 regions,0xFF=Reserved maximum regions is listed in" hexmask.long.word 0x08 4.--15. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0.--3. "SEGMENTS,Number of segments in the current L4" "Reserved,1 segment,2 segments,?,?,?,?,?,8 segments,?..." line.long 0x0C "L4_LA_INITIATOR_INFO_H,Contain initiator subsystem information" hexmask.long.word 0x0C 19.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 16.--18. "THREADS,The THREADS field specifies the number of initiator threads connected to the interconnect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 15. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 12.--14. "CONNID_WIDTH,The initiator subsystem ConnID width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 11. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 8.--10. "BYTE_DATA_WIDTH_EXP,This field specifies the initiator subsystem data width.The BYTE_DATA_WIDTH_EXP field contains read-only configuration information for the initiator subsystem" "?,16-bit data width is specified,32-bit data width is specified,?..." newline bitfld.long 0x0C 6.--7. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x0C 0.--5. "ADDR_WIDTH,This field specifies the initiator subsystem address width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "L4_LA_NETWORK_CONTROL_L,Control interconnect minimum timeout values" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x10 8.--10. "TIMEOUT_BASE,The TIMEOUT_BASE field indicates the time-out period (that is base cycles) for the highest frequency time-base signal sent from the L4 initiator subsystem to all target agents that have time-out enabled" "Time-out disabled,L4 interconnect clock cycles divided by 64,L4 interconnect clock cycles divided by 256,L4 interconnect clock cycles divided by 1024,L4 interconnect clock cycles divided by 4096,?..." newline hexmask.long.byte 0x10 0.--7. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_LA_NETWORK_CONTROL_H,Control interconnect global power control" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x14 24. "CLOCK_GATE_DISABLE,When set to 1 this field disables all clock gating" "0,1" newline rbitfld.long 0x14 21.--23. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 20. "THREAD0_PRI,Sets thread priority" "0,1" newline hexmask.long.word 0x14 9.--19. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 8. "EXT_CLOCK,Global external clock control" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED,Read returns 0" repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x114)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_H_$1,Status of composite sideband flag(1)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x110)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_L_$1,Mask of composite sideband flag(1)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "STATUS,Status of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_H_$1,Status of composite sideband flag(0)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x100)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_L_$1,Mask of composite sideband flag(0)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "MASK,Number of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PER2_AP" base ad:0x48400000 rgroup.long 0x00++0x07 line.long 0x00 "L4_AP_COMPONENT_L,Contains a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_AP_COMPONENT_H,Contains a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x100++0x07 line.long 0x00 "L4_AP_SEGMENT_i_L,Define the base address of each segments" line.long 0x04 "L4_AP_SEGMENT_i_H,Define the size of each segments" hexmask.long 0x04 5.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x04 0.--4. "SIZE,Segment size is a power of 2 where 2 is the byte size of a segment (all segment registers use the same size)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat 15. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 ) group.long ($2+0x484)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x384)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x304)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 15. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 ) rgroup.long ($2+0x480)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x380)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x284)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_L_$1,Define MReqInfo bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x204)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x200)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_L_$1,Define ConnID bit vectors for a protection group" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CONNID_BIT_VECTOR,Specifies protection group members N is 2**W where W is the connID width" repeat.end tree.end repeat 3. (list 0. 1. 2. )(list ad:0x48401000 ad:0x48401400 ad:0x48401800 ) tree "PER2_IA_IP$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "L4_IA_COMPONENT_L,COMPONENT register identifies the component to which this register block belongs" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_IA_COMPONENT_H,COMPONENT register identifies the component to which this register block belongs" rgroup.long 0x18++0x17 line.long 0x00 "L4_IA_CORE_L,Provide information about the core initiator" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_IA_CORE_H,Provide information about the core initiator" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_IA_AGENT_CONTROL_L,Core control for an initiator OCP interface" bitfld.long 0x08 31. "PROT_ERROR_SECONDARY_REP,Out-of-band reporting of protection mechanism secondary errors" "0,1" bitfld.long 0x08 30. "PROT_ERROR_PRIMARY_REP,Out-of-band reporting of protection mechanism primary errors" "0,1" rbitfld.long 0x08 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x08 27. "INBAND_ERROR_REP,Setting this field to 1 reports on in-band errors using the INBAND_ERROR log bit of IA.AGENT_STATUS register" "0,1" rbitfld.long 0x08 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x08 24. "MERROR_REP,OCP MError reporting control" "0,1" newline hexmask.long.tbyte 0x08 0.--23. 1. "RESERVED," line.long 0x0C "L4_IA_AGENT_CONTROL_H,Enable error reporting on an initiator interface" line.long 0x10 "L4_IA_AGENT_STATUS_L,Stores status information for an initiator" bitfld.long 0x10 31. "PROT_ERROR_SECONDARY," "0,1" bitfld.long 0x10 30. "PROT_ERROR_PRIMARY," "0,1" rbitfld.long 0x10 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x10 27. "INBAND_ERROR,0x0 No In-Band error present.0x1 In-Band error present" "0,1" rbitfld.long 0x10 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x10 24. "MERROR,Value of the OCP MError signal" "0,1" newline hexmask.long.tbyte 0x10 0.--23. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_IA_AGENT_STATUS_H,Stores status information for an initiator" group.long 0x58++0x0F line.long 0x00 "L4_IA_ERROR_LOG_L,Log information about error conditions" bitfld.long 0x00 31. "MULTI,Multiple errors detected" "0,1" bitfld.long 0x00 30. "SECONDARY,Indicates whether protection violation was a primary or secondary error" "0,1" rbitfld.long 0x00 26.--29. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--25. "CODE,The error code of an initiator request" "No errors,Reserved,?..." hexmask.long.word 0x00 14.--23. 1. "RESERVED,Read returns 0" rbitfld.long 0x00 8.--13. "CONNID,ConnID of request causing the error refer to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--2. "CMD,Command that caused error" "0,1,2,3,4,5,6,7" line.long 0x04 "L4_IA_ERROR_LOG_H,Log information about error conditions" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.word 0x04 0.--15. 1. "REQ_INFO,MReqInfo bits of request that caused the error REQ_INFO[0] = supervisor REQ_INFO[1] = Debug" line.long 0x08 "L4_IA_ERROR_LOG_ADDR_L,Extended error log (address information)" line.long 0x0C "L4_IA_ERROR_LOG_ADDR_H,Extended error log (address information)" tree.end repeat.end tree "PER2_LA" base ad:0x48400800 rgroup.long 0x00++0x07 line.long 0x00 "L4_LA_COMPONENT_L,Contain a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_LA_COMPONENT_H,Contain a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x10++0x17 line.long 0x00 "L4_LA_NETWORK_L,Identify the interconnect" line.long 0x04 "L4_LA_NETWORK_H,Identify the interconnect" line.long 0x08 "L4_LA_INITIATOR_INFO_L,Contain initiator subsystem information" bitfld.long 0x08 28.--31. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "PROT_GROUPS,Number of protection group of in the current L4" "No protection group,1 protection group,2 protection groups,?,?,?,?,?,8 protection groups 0x9 to,?,?,?,?,?,?,Reserved" newline abitfld.long 0x08 16.--23. "NUMBER_REGIONS,Number of regions in the current L4" "0x00=Reserved,0x01=1 region,0x02=2 regions,0xFF=Reserved maximum regions is listed in" hexmask.long.word 0x08 4.--15. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0.--3. "SEGMENTS,Number of segments in the current L4" "Reserved,1 segment,2 segments,?,?,?,?,?,8 segments,?..." line.long 0x0C "L4_LA_INITIATOR_INFO_H,Contain initiator subsystem information" hexmask.long.word 0x0C 19.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 16.--18. "THREADS,The THREADS field specifies the number of initiator threads connected to the interconnect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 15. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 12.--14. "CONNID_WIDTH,The initiator subsystem ConnID width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 11. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 8.--10. "BYTE_DATA_WIDTH_EXP,This field specifies the initiator subsystem data width.The BYTE_DATA_WIDTH_EXP field contains read-only configuration information for the initiator subsystem" "?,16-bit data width is specified,32-bit data width is specified,?..." newline bitfld.long 0x0C 6.--7. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x0C 0.--5. "ADDR_WIDTH,This field specifies the initiator subsystem address width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "L4_LA_NETWORK_CONTROL_L,Control interconnect minimum timeout values" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x10 8.--10. "TIMEOUT_BASE,The TIMEOUT_BASE field indicates the time-out period (that is base cycles) for the highest frequency time-base signal sent from the L4 initiator subsystem to all target agents that have time-out enabled" "Time-out disabled,L4 interconnect clock cycles divided by 64,L4 interconnect clock cycles divided by 256,L4 interconnect clock cycles divided by 1024,L4 interconnect clock cycles divided by 4096,?..." newline hexmask.long.byte 0x10 0.--7. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_LA_NETWORK_CONTROL_H,Control interconnect global power control" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x14 24. "CLOCK_GATE_DISABLE,When set to 1 this field disables all clock gating" "0,1" newline rbitfld.long 0x14 21.--23. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 20. "THREAD0_PRI,Sets thread priority" "0,1" newline hexmask.long.word 0x14 9.--19. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 8. "EXT_CLOCK,Global external clock control" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED,Read returns 0" repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x114)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_H_$1,Status of composite sideband flag(1)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x110)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_L_$1,Mask of composite sideband flag(1)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "STATUS,Status of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_H_$1,Status of composite sideband flag(0)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x100)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_L_$1,Mask of composite sideband flag(0)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "MASK,Number of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PER3_AP" base ad:0x48800000 rgroup.long 0x00++0x07 line.long 0x00 "L4_AP_COMPONENT_L,Contains a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_AP_COMPONENT_H,Contains a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x100++0x07 line.long 0x00 "L4_AP_SEGMENT_i_L,Define the base address of each segments" line.long 0x04 "L4_AP_SEGMENT_i_H,Define the size of each segments" hexmask.long 0x04 5.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x04 0.--4. "SIZE,Segment size is a power of 2 where 2 is the byte size of a segment (all segment registers use the same size)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x600++0x07 line.long 0x00 "L4_AP_REGION_l_L_96,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" line.long 0x04 "L4_AP_REGION_l_H_96,Define the size. protection group and segment ID of the region" rbitfld.long 0x04 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x04 27. "RESERVED,Read returns 0" "0,1" rbitfld.long 0x04 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 23. "RESERVED,Read returns 0" "0,1" bitfld.long 0x04 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 19. "RESERVED,Read returns 0" "0,1" rbitfld.long 0x04 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x04 15.--16. "RESERVED,Read returns 0" "0,1,2,3" hexmask.long.byte 0x04 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x04 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x04 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x04 0. "ENABLE," "0,1" repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x584)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x504)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x484)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x384)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x304)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x580)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x500)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x480)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x380)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x284)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_L_$1,Define MReqInfo bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x204)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x200)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_L_$1,Define ConnID bit vectors for a protection group" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CONNID_BIT_VECTOR,Specifies protection group members N is 2**W where W is the connID width" repeat.end tree.end repeat 3. (list 0. 1. 2. )(list ad:0x48801000 ad:0x48801400 ad:0x48801800 ) tree "PER3_IA_IP$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "L4_IA_COMPONENT_L,COMPONENT register identifies the component to which this register block belongs" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_IA_COMPONENT_H,COMPONENT register identifies the component to which this register block belongs" rgroup.long 0x18++0x17 line.long 0x00 "L4_IA_CORE_L,Provide information about the core initiator" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_IA_CORE_H,Provide information about the core initiator" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_IA_AGENT_CONTROL_L,Core control for an initiator OCP interface" bitfld.long 0x08 31. "PROT_ERROR_SECONDARY_REP,Out-of-band reporting of protection mechanism secondary errors" "0,1" bitfld.long 0x08 30. "PROT_ERROR_PRIMARY_REP,Out-of-band reporting of protection mechanism primary errors" "0,1" rbitfld.long 0x08 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x08 27. "INBAND_ERROR_REP,Setting this field to 1 reports on in-band errors using the INBAND_ERROR log bit of IA.AGENT_STATUS register" "0,1" rbitfld.long 0x08 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x08 24. "MERROR_REP,OCP MError reporting control" "0,1" newline hexmask.long.tbyte 0x08 0.--23. 1. "RESERVED," line.long 0x0C "L4_IA_AGENT_CONTROL_H,Enable error reporting on an initiator interface" line.long 0x10 "L4_IA_AGENT_STATUS_L,Stores status information for an initiator" bitfld.long 0x10 31. "PROT_ERROR_SECONDARY," "0,1" bitfld.long 0x10 30. "PROT_ERROR_PRIMARY," "0,1" rbitfld.long 0x10 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x10 27. "INBAND_ERROR,0x0 No In-Band error present.0x1 In-Band error present" "0,1" rbitfld.long 0x10 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x10 24. "MERROR,Value of the OCP MError signal" "0,1" newline hexmask.long.tbyte 0x10 0.--23. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_IA_AGENT_STATUS_H,Stores status information for an initiator" group.long 0x58++0x0F line.long 0x00 "L4_IA_ERROR_LOG_L,Log information about error conditions" bitfld.long 0x00 31. "MULTI,Multiple errors detected" "0,1" bitfld.long 0x00 30. "SECONDARY,Indicates whether protection violation was a primary or secondary error" "0,1" rbitfld.long 0x00 26.--29. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--25. "CODE,The error code of an initiator request" "No errors,Reserved,?..." hexmask.long.word 0x00 14.--23. 1. "RESERVED,Read returns 0" rbitfld.long 0x00 8.--13. "CONNID,ConnID of request causing the error refer to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--2. "CMD,Command that caused error" "0,1,2,3,4,5,6,7" line.long 0x04 "L4_IA_ERROR_LOG_H,Log information about error conditions" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.word 0x04 0.--15. 1. "REQ_INFO,MReqInfo bits of request that caused the error REQ_INFO[0] = supervisor REQ_INFO[1] = Debug" line.long 0x08 "L4_IA_ERROR_LOG_ADDR_L,Extended error log (address information)" line.long 0x0C "L4_IA_ERROR_LOG_ADDR_H,Extended error log (address information)" tree.end repeat.end tree "PER3_LA" base ad:0x48800800 rgroup.long 0x00++0x07 line.long 0x00 "L4_LA_COMPONENT_L,Contain a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_LA_COMPONENT_H,Contain a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x10++0x17 line.long 0x00 "L4_LA_NETWORK_L,Identify the interconnect" line.long 0x04 "L4_LA_NETWORK_H,Identify the interconnect" line.long 0x08 "L4_LA_INITIATOR_INFO_L,Contain initiator subsystem information" bitfld.long 0x08 28.--31. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "PROT_GROUPS,Number of protection group of in the current L4" "No protection group,1 protection group,2 protection groups,?,?,?,?,?,8 protection groups 0x9 to,?,?,?,?,?,?,Reserved" newline abitfld.long 0x08 16.--23. "NUMBER_REGIONS,Number of regions in the current L4" "0x00=Reserved,0x01=1 region,0x02=2 regions,0xFF=Reserved maximum regions is listed in" hexmask.long.word 0x08 4.--15. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0.--3. "SEGMENTS,Number of segments in the current L4" "Reserved,1 segment,2 segments,?,?,?,?,?,8 segments,?..." line.long 0x0C "L4_LA_INITIATOR_INFO_H,Contain initiator subsystem information" hexmask.long.word 0x0C 19.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 16.--18. "THREADS,The THREADS field specifies the number of initiator threads connected to the interconnect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 15. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 12.--14. "CONNID_WIDTH,The initiator subsystem ConnID width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 11. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 8.--10. "BYTE_DATA_WIDTH_EXP,This field specifies the initiator subsystem data width.The BYTE_DATA_WIDTH_EXP field contains read-only configuration information for the initiator subsystem" "?,16-bit data width is specified,32-bit data width is specified,?..." newline bitfld.long 0x0C 6.--7. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x0C 0.--5. "ADDR_WIDTH,This field specifies the initiator subsystem address width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "L4_LA_NETWORK_CONTROL_L,Control interconnect minimum timeout values" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x10 8.--10. "TIMEOUT_BASE,The TIMEOUT_BASE field indicates the time-out period (that is base cycles) for the highest frequency time-base signal sent from the L4 initiator subsystem to all target agents that have time-out enabled" "Time-out disabled,L4 interconnect clock cycles divided by 64,L4 interconnect clock cycles divided by 256,L4 interconnect clock cycles divided by 1024,L4 interconnect clock cycles divided by 4096,?..." newline hexmask.long.byte 0x10 0.--7. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_LA_NETWORK_CONTROL_H,Control interconnect global power control" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x14 24. "CLOCK_GATE_DISABLE,When set to 1 this field disables all clock gating" "0,1" newline rbitfld.long 0x14 21.--23. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 20. "THREAD0_PRI,Sets thread priority" "0,1" newline hexmask.long.word 0x14 9.--19. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 8. "EXT_CLOCK,Global external clock control" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED,Read returns 0" repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x114)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_H_$1,Status of composite sideband flag(1)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x110)++0x03 line.long 0x00 "L4_LA_FLAG_STATUS_j_L_$1,Mask of composite sideband flag(1)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "STATUS,Status of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_H_$1,Status of composite sideband flag(0)" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x20 ) group.long ($2+0x100)++0x03 line.long 0x00 "L4_LA_FLAG_MASK_j_L_$1,Mask of composite sideband flag(0)" hexmask.long 0x00 4.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--3. "MASK,Number of input sideband signals" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PORT" base ad:0x48484100 group.long 0x00++0x03 line.long 0x00 "P0_CONTROL,CPSW PORT 0 control register" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "P0_DLR_CPDMA_CH,Port 0 DLR CPDMA Channel This field indicates the CPDMA channel that DLR packets will be received on" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 25.--27. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 24. "P0_PASS_PRI_TAGGED,Port 0 Pass Priority Tagged" "Priority tagged packets have the zero VID..,Priority tagged packets are processed unchanged" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 21. "P0_VLAN_LTYPE2_EN,Port 0 VLAN LTYPE 2 enable" "disabled,enabled" newline bitfld.long 0x00 20. "P0_VLAN_LTYPE1_EN,Port 0 VLAN LTYPE 1 enable" "disabled,enabled" newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "P0_DSCP_PRI_EN,Port 0 DSCP Priority Enable" "DSCP priority disabled,DSCP priority enabled" newline hexmask.long.word 0x00 0.--15. 1. "RESERVED," group.long 0x08++0x1B line.long 0x00 "P0_MAX_BLKS,CPSW PORT 0 maximum FIFO blocks register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--8. "P0_TX_MAX_BLKS,Transmit FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical transmit priority queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--3. "P0_RX_MAX_BLKS,Receive FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical receive queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "P0_BLK_CNT,CPSW PORT 0 FIFO block usage count (read only)" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," newline bitfld.long 0x04 4.--8. "P0_TX_BLK_CNT,Port 0 Transmit Block Count Usage - This value is the number of blocks allocated to the FIFO logical transmit queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--3. "P0_RX_BLK_CNT,Port 0 Receive Block Count Usage - This value is the number of blocks allocated to the FIFO logical receive queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "P0_TX_IN_CTL,CPSW PORT 0 transmit FIFO control" hexmask.long.byte 0x08 24.--31. 1. "RESERVED," newline bitfld.long 0x08 20.--23. "TX_RATE_EN,Transmit FIFO Input Rate Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 16.--17. "TX_IN_SEL,Transmit FIFO Input Queue Type Select" "Normal priority mode,Dual MAC mode,Rate Limit mode,reserved Note that Dual MAC mode is not.." newline bitfld.long 0x08 12.--15. "TX_BLKS_REM,Transmit FIFO Input Blocks to subtract in dual MAC mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 10.--11. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x08 0.--9. 1. "TX_PRI_WDS,Transmit FIFO Words in queue" line.long 0x0C "P0_PORT_VLAN,CPSW PORT 0 VLAN register" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," newline bitfld.long 0x0C 13.--15. "PORT_PRI,Port VLAN Priority (7 is highest priority)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12. "PORT_CFI,Port CFI bit" "0,1" newline hexmask.long.word 0x0C 0.--11. 1. "PORT_VID,Port VLAN ID" line.long 0x10 "P0_TX_PRI_MAP,CPSW PORT 0 TX header priority to switch priority mapping register" rbitfld.long 0x10 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 28.--29. "PRI7,Priority" "0,1,2,3" newline rbitfld.long 0x10 26.--27. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 24.--25. "PRI6,Priority" "0,1,2,3" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 20.--21. "PRI5,Priority" "0,1,2,3" newline rbitfld.long 0x10 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 16.--17. "PRI4,Priority" "0,1,2,3" newline rbitfld.long 0x10 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 12.--13. "PRI3,Priority" "0,1,2,3" newline rbitfld.long 0x10 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 8.--9. "PRI2,Priority" "0,1,2,3" newline rbitfld.long 0x10 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 4.--5. "PRI1,Priority" "0,1,2,3" newline rbitfld.long 0x10 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRI0,Priority" "0,1,2,3" line.long 0x14 "P0_CPDMA_TX_PRI_MAP,CPSW CPDMA TX (PORT 0 RX) packet priority to header priority" rbitfld.long 0x14 31. "RESERVED," "0,1" newline bitfld.long 0x14 28.--30. "PRI7,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 24.--26. "PRI6,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline bitfld.long 0x14 20.--22. "PRI5,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "PRI4,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 15. "RESERVED," "0,1" newline bitfld.long 0x14 12.--14. "PRI3,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "PRI2,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 7. "RESERVED," "0,1" newline bitfld.long 0x14 4.--6. "PRI1,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 3. "RESERVED," "0,1" newline bitfld.long 0x14 0.--2. "PRI0,Priority" "0,1,2,3,4,5,6,7" line.long 0x18 "P0_CPDMA_RX_CH_MAP,CPSW CPDMA RX (PORT 0 TX) switch priority to DMA channel" rbitfld.long 0x18 31. "RESERVED," "0,1" newline bitfld.long 0x18 28.--30. "P2_PRI3,Port 2 Priority 3 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 27. "RESERVED," "0,1" newline bitfld.long 0x18 24.--26. "P2_PRI2,Port 2 Priority 2 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 23. "RESERVED," "0,1" newline bitfld.long 0x18 20.--22. "P2_PRI1,Port 2 Priority 1 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 19. "RESERVED," "0,1" newline bitfld.long 0x18 16.--18. "P2_PRI0,Port 2 Priority 0 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 15. "RESERVED," "0,1" newline bitfld.long 0x18 12.--14. "P1_PRI3,Port 1 Priority 3 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 11. "RESERVED," "0,1" newline bitfld.long 0x18 8.--10. "P1_PRI2,Port 1 Priority 2 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 7. "RESERVED," "0,1" newline bitfld.long 0x18 4.--6. "P1_PRI1,Port 1 Priority 1 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 0.--2. "P1_PRI0,Port 1 Priority 0 packets go to this CPDMA Rx Channel" "0,1,2,3,4,5,6,7" group.long 0x30++0x27 line.long 0x00 "P0_RX_DSCP_PRI_MAP0,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "PRI7,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "PRI6,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED," "0,1" newline bitfld.long 0x00 20.--22. "PRI5,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" newline bitfld.long 0x00 16.--18. "PRI4,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "PRI3,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline bitfld.long 0x00 8.--10. "PRI2,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" newline bitfld.long 0x00 4.--6. "PRI1,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "PRI0,Priority" "0,1,2,3,4,5,6,7" line.long 0x04 "P0_RX_DSCP_PRI_MAP1,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "PRI15,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 27. "RESERVED," "0,1" newline bitfld.long 0x04 24.--26. "PRI14,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 23. "RESERVED," "0,1" newline bitfld.long 0x04 20.--22. "PRI13,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 19. "RESERVED," "0,1" newline bitfld.long 0x04 16.--18. "PRI12,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 15. "RESERVED," "0,1" newline bitfld.long 0x04 12.--14. "PRI11,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 11. "RESERVED," "0,1" newline bitfld.long 0x04 8.--10. "PRI10,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" newline bitfld.long 0x04 4.--6. "PRI9,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 0.--2. "PRI8,Priority" "0,1,2,3,4,5,6,7" line.long 0x08 "P0_RX_DSCP_PRI_MAP2,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 2" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "PRI23,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 27. "RESERVED," "0,1" newline bitfld.long 0x08 24.--26. "PRI22,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 23. "RESERVED," "0,1" newline bitfld.long 0x08 20.--22. "PRI21,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "PRI20,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 12.--14. "PRI19,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 11. "RESERVED," "0,1" newline bitfld.long 0x08 8.--10. "PRI18,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 7. "RESERVED," "0,1" newline bitfld.long 0x08 4.--6. "PRI17,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PRI16,Priority" "0,1,2,3,4,5,6,7" line.long 0x0C "P0_RX_DSCP_PRI_MAP3,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 3" rbitfld.long 0x0C 31. "RESERVED," "0,1" newline bitfld.long 0x0C 28.--30. "PRI31,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" newline bitfld.long 0x0C 24.--26. "PRI30,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 20.--22. "PRI29,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" newline bitfld.long 0x0C 16.--18. "PRI28,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 15. "RESERVED," "0,1" newline bitfld.long 0x0C 12.--14. "PRI27,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 11. "RESERVED," "0,1" newline bitfld.long 0x0C 8.--10. "PRI26,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 7. "RESERVED," "0,1" newline bitfld.long 0x0C 4.--6. "PRI25,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 0.--2. "PRI24,Priority" "0,1,2,3,4,5,6,7" line.long 0x10 "P0_RX_DSCP_PRI_MAP4,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 4" rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "PRI39,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "PRI38,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline bitfld.long 0x10 20.--22. "PRI37,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "RESERVED," "0,1" newline bitfld.long 0x10 16.--18. "PRI36,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 12.--14. "PRI35,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline bitfld.long 0x10 8.--10. "PRI34,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 4.--6. "PRI33,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 0.--2. "PRI32,Priority" "0,1,2,3,4,5,6,7" line.long 0x14 "P0_RX_DSCP_PRI_MAP5,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 5" rbitfld.long 0x14 31. "RESERVED," "0,1" newline bitfld.long 0x14 28.--30. "PRI47,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 24.--26. "PRI46,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline bitfld.long 0x14 20.--22. "PRI45,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "PRI44,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 15. "RESERVED," "0,1" newline bitfld.long 0x14 12.--14. "PRI43,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "PRI42,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 7. "RESERVED," "0,1" newline bitfld.long 0x14 4.--6. "PRI41,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 3. "RESERVED," "0,1" newline bitfld.long 0x14 0.--2. "PRI40,Priority" "0,1,2,3,4,5,6,7" line.long 0x18 "P0_RX_DSCP_PRI_MAP6,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 6" rbitfld.long 0x18 31. "RESERVED," "0,1" newline bitfld.long 0x18 28.--30. "PRI55,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 27. "RESERVED," "0,1" newline bitfld.long 0x18 24.--26. "PRI54,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 23. "RESERVED," "0,1" newline bitfld.long 0x18 20.--22. "PRI53,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 19. "RESERVED," "0,1" newline bitfld.long 0x18 16.--18. "PRI52,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 15. "RESERVED," "0,1" newline bitfld.long 0x18 12.--14. "PRI51,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 11. "RESERVED," "0,1" newline bitfld.long 0x18 8.--10. "PRI50,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 7. "RESERVED," "0,1" newline bitfld.long 0x18 4.--6. "PRI49,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 0.--2. "PRI48,Priority" "0,1,2,3,4,5,6,7" line.long 0x1C "P0_RX_DSCP_PRI_MAP7,CPSW PORT 0 RX DSCP priority to RX packet mapping reg 7" rbitfld.long 0x1C 31. "RESERVED," "0,1" newline bitfld.long 0x1C 28.--30. "PRI63,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" newline bitfld.long 0x1C 24.--26. "PRI62,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 23. "RESERVED," "0,1" newline bitfld.long 0x1C 20.--22. "PRI61,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" newline bitfld.long 0x1C 16.--18. "PRI60,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 15. "RESERVED," "0,1" newline bitfld.long 0x1C 12.--14. "PRI59,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 11. "RESERVED," "0,1" newline bitfld.long 0x1C 8.--10. "PRI58,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 7. "RESERVED," "0,1" newline bitfld.long 0x1C 4.--6. "PRI57,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 3. "RESERVED," "0,1" newline bitfld.long 0x1C 0.--2. "PRI56,Priority" "0,1,2,3,4,5,6,7" line.long 0x20 "P0_IDLE2LPI,Port 0 EEE Idle to LPI Counter Load Value Register" hexmask.long.word 0x20 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x20 0.--19. 1. "P0_IDLE2LPI,Port 0 EEE Idle to LPI counter load value - After CLKSTOP_REQ is asserted this value is loaded into the port 0 idle to LPI counter on each clock that the port 0 transmit is not idle" line.long 0x24 "P0_LPI2WAKE,Port 0 EEE LPI to Wake Counter Load Value Register" hexmask.long.word 0x24 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x24 0.--19. 1. "P0_LPI2WAKE,Port 0 EEE LPI to wake counter load value - When the port is in the transmit LPI state and the CLKSTOP_REQ signal is deasserted this value is loaded into the port 0 LPI to wake counter" group.long 0x100++0x03 line.long 0x00 "P1_CONTROL,CPSW PORT 1 control register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "P1_TX_CLKSTOP_EN,Port 1 Transmit clockstop enable 0 - RGMII transmit clockstop not enabled 1 - RGMII transmit clockstop enabled" "0,1" newline bitfld.long 0x00 24. "P1_PASS_PRI_TAGGED,Port 1 Pass Priority Tagged" "Priority tagged packets have the zero VID..,Priority tagged packets are processed unchanged" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 21. "P1_VLAN_LTYPE2_EN,Port 1 VLAN LTYPE 2 enable" "disabled,VLAN LTYPE2 enabled on.." newline bitfld.long 0x00 20. "P1_VLAN_LTYPE1_EN,Port 1 VLAN LTYPE 1 enable" "disabled,VLAN LTYPE1 enabled on.." newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "P1_DSCP_PRI_EN,Port 1 DSCP Priority Enable" "DSCP priority disabled,DSCP priority enabled" newline bitfld.long 0x00 15. "P1_TS_107,Port 1 Time Sync Destination IP Address 107 enable 0 - disabled 1 - destination IP address (dec) 224.0.0.107 is enabled" "0,1" newline bitfld.long 0x00 14. "P1_TS_320,Port 1 Time Sync Destination Port Number 320 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 13. "P1_TS_319,Port 1 Time Sync Destination Port Number 319 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 12. "P1_TS_132,Port 1 Time Sync Destination IP Address 132 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 11. "P1_TS_131,Port 1 Time Sync Destination IP Address 131 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 10. "P1_TS_130,Port 1 Time Sync Destination IP Address 130 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 9. "P1_TS_129,Port 1 Time Sync Destination IP Address 129 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 8. "P1_TS_TTL_NONZERO,Port 1 Time Sync Time To Live Non-zero enable" "TTL must be zero,TTL may be any value" newline bitfld.long 0x00 7. "P1_TS_UNI_EN,Port 1 Time Sync Unicast Enable 0 - Unicast disabled 1 - Unicast enabled" "0,1" newline bitfld.long 0x00 6. "P1_TS_ANNEX_F_EN,Port 1 Time Sync Annex F enable 0 - Annex F disabled 1 - Annex F enabled" "0,1" newline bitfld.long 0x00 5. "P1_TS_ANNEX_E_EN,Port 1 Time Sync Annex E enable 0 - Annex E disabled 1 - Annex E enabled" "0,1" newline bitfld.long 0x00 4. "P1_TS_ANNEX_D_EN,Port 1 Time Sync Annex D enable" "Annex D disabled,Annex D enabled" newline bitfld.long 0x00 3. "P1_TS_LTYPE2_EN,Port 1 Time Sync LTYPE 2 enable" "disabled,enabled" newline bitfld.long 0x00 2. "P1_TS_LTYPE1_EN,Port 1 Time Sync LTYPE 1 enable" "disabled,enabled" newline bitfld.long 0x00 1. "P1_TS_TX_EN,Port 1 Time Sync Transmit Enable" "disabled,enabled" newline bitfld.long 0x00 0. "P1_TS_RX_EN,Port 1 Time Sync Receive Enable" "Port 1 Receive Time Sync disabled,Port 1 Receive Time Sync enabled" group.long 0x108++0x23 line.long 0x00 "P1_MAX_BLKS,CPSW PORT 1 maximum FIFO blocks register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--8. "P1_TX_MAX_BLKS,Transmit FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical transmit priority queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--3. "P1_RX_MAX_BLKS,Receive FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical receive queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "P1_BLK_CNT,CPSW PORT 1 FIFO block usage count (read only)" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," newline bitfld.long 0x04 4.--8. "P1_TX_BLK_CNT,Port 1 Transmit Block Count Usage - This value is the number of blocks allocated to the FIFO logical transmit queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--3. "P1_RX_BLK_CNT,Port 1 Receive Block Count Usage - This value is the number of blocks allocated to the FIFO logical receive queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "P1_TX_IN_CTL,CPSW PORT 1 transmit FIFO control" rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 24.--27. "HOST_BLKS_REM,Transmit FIFO Blocks that must be free before a non rate-limited CPDMA channel can begin sending a packet to the FIFO" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 20.--23. "TX_RATE_EN,Transmit FIFO Input Rate Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 16.--17. "TX_IN_SEL,Transmit FIFO Input Queue Type Select" "Normal priority mode,reserved,Rate Limit mode,reserved" newline bitfld.long 0x08 12.--15. "TX_BLKS_REM,Transmit FIFO Input blocks to subtract on non rate-limited traffic in rate limit mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 10.--11. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x08 0.--9. 1. "TX_PRI_WDS,Transmit FIFO Words in queue" line.long 0x0C "P1_PORT_VLAN,CPSW PORT 1 VLAN register" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," newline bitfld.long 0x0C 13.--15. "PORT_PRI,Port VLAN Priority (7 is highest priority)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12. "PORT_CFI,Port CFI bit" "0,1" newline hexmask.long.word 0x0C 0.--11. 1. "PORT_VID,Port VLAN ID" line.long 0x10 "P1_TX_PRI_MAP,CPSW PORT 1 TX header priority to switch priority mapping register" rbitfld.long 0x10 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 28.--29. "PRI7,Priority" "0,1,2,3" newline rbitfld.long 0x10 26.--27. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 24.--25. "PRI6,Priority" "0,1,2,3" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 20.--21. "PRI5,Priority" "0,1,2,3" newline rbitfld.long 0x10 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 16.--17. "PRI4,Priority" "0,1,2,3" newline rbitfld.long 0x10 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 12.--13. "PRI3,Priority" "0,1,2,3" newline rbitfld.long 0x10 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 8.--9. "PRI2,Priority" "0,1,2,3" newline rbitfld.long 0x10 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 4.--5. "PRI1,Priority" "0,1,2,3" newline rbitfld.long 0x10 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRI0,Priority" "0,1,2,3" line.long 0x14 "P1_TS_SEQ_MTYPE,CPSW PORT 1 time sync sequence ID offset and message type" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 16.--21. "P1_TS_SEQ_ID_OFFSET,Port 1 Time Sync Sequence ID Offset This is the number of octets that the sequence ID is offset in the tx and rx time sync message header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--15. 1. "P1_TS_MSG_TYPE_EN,Port 1 Time Sync Message Type Enable - Each bit in this field enables the corresponding message type in receive and transmit time sync messages (Bit 0 enables message type 0 etc.)" line.long 0x18 "P1_SA_LO,CPSW CPGMAC_SL1 source address low register" hexmask.long.word 0x18 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 8.--15. 1. "MACSRCADDR_7_0,Source Address Lower 8 bits (byte 0)" newline hexmask.long.byte 0x18 0.--7. 1. "MACSRCADDR_15_8,Source Address bits 15:8 (byte 1)" line.long 0x1C "P1_SA_HI,CPSW CPGMAC_SL1 source address high register" hexmask.long.byte 0x1C 24.--31. 1. "MACSRCADDR_23_16,Source Address bits 23:16 (byte 2)" newline hexmask.long.byte 0x1C 16.--23. 1. "MACSRCADDR_31_24,Source Address bits 31:24 (byte 3)" newline hexmask.long.byte 0x1C 8.--15. 1. "MACSRCADDR_39_32,Source Address bits 39:32 (byte 4)" newline hexmask.long.byte 0x1C 0.--7. 1. "MACSRCADDR_47_40,Source Address bits 47:40 (byte 5)" line.long 0x20 "P1_SEND_PERCENT,CPSW PORT 1 transmit queue send percentages" hexmask.long.word 0x20 23.--31. 1. "RESERVED," newline hexmask.long.byte 0x20 16.--22. 1. "PRI3_SEND_PERCENT,Priority 3 Transmit Percentage - This percentage value is sent from FIFO priority 3 (maximum) whenCPSW_PTYPE[18] P1_PRI3_SHAPE_EN is set (queue shaping enabled)" newline rbitfld.long 0x20 15. "RESERVED," "0,1" newline hexmask.long.byte 0x20 8.--14. 1. "PRI2_SEND_PERCENT,Priority 2 Transmit Percentage - This percentage value is sent from FIFO priority 2 (maximum) whenCPSW_PTYPE[17] P1_PRI2_SHAPE_EN is set (queue shaping enabled)" newline rbitfld.long 0x20 7. "RESERVED," "0,1" newline hexmask.long.byte 0x20 0.--6. 1. "PRI1_SEND_PERCENT,Priority 1 Transmit Percentage - This percentage value is sent from FIFO priority 1 (maximum) when theCPSW_PTYPE[16] P1_PRI1_SHAPE_EN is set (queue shaping enabled)" group.long 0x130++0x27 line.long 0x00 "P1_RX_DSCP_PRI_MAP0,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "PRI7,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "PRI6,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED," "0,1" newline bitfld.long 0x00 20.--22. "PRI5,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" newline bitfld.long 0x00 16.--18. "PRI4,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "PRI3,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline bitfld.long 0x00 8.--10. "PRI2,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" newline bitfld.long 0x00 4.--6. "PRI1,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "PRI0,Priority" "0,1,2,3,4,5,6,7" line.long 0x04 "P1_RX_DSCP_PRI_MAP1,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "PRI15,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 27. "RESERVED," "0,1" newline bitfld.long 0x04 24.--26. "PRI14,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 23. "RESERVED," "0,1" newline bitfld.long 0x04 20.--22. "PRI13,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 19. "RESERVED," "0,1" newline bitfld.long 0x04 16.--18. "PRI12,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 15. "RESERVED," "0,1" newline bitfld.long 0x04 12.--14. "PRI11,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 11. "RESERVED," "0,1" newline bitfld.long 0x04 8.--10. "PRI10,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" newline bitfld.long 0x04 4.--6. "PRI9,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 0.--2. "PRI8,Priority" "0,1,2,3,4,5,6,7" line.long 0x08 "P1_RX_DSCP_PRI_MAP2,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 2" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "PRI23,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 27. "RESERVED," "0,1" newline bitfld.long 0x08 24.--26. "PRI22,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 23. "RESERVED," "0,1" newline bitfld.long 0x08 20.--22. "PRI21,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "PRI20,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 12.--14. "PRI19,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 11. "RESERVED," "0,1" newline bitfld.long 0x08 8.--10. "PRI18,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 7. "RESERVED," "0,1" newline bitfld.long 0x08 4.--6. "PRI17,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PRI16,Priority" "0,1,2,3,4,5,6,7" line.long 0x0C "P1_RX_DSCP_PRI_MAP3,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 3" rbitfld.long 0x0C 31. "RESERVED," "0,1" newline bitfld.long 0x0C 28.--30. "PRI31,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" newline bitfld.long 0x0C 24.--26. "PRI30,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 20.--22. "PRI29,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" newline bitfld.long 0x0C 16.--18. "PRI28,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 15. "RESERVED," "0,1" newline bitfld.long 0x0C 12.--14. "PRI27,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 11. "RESERVED," "0,1" newline bitfld.long 0x0C 8.--10. "PRI26,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 7. "RESERVED," "0,1" newline bitfld.long 0x0C 4.--6. "PRI25,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 0.--2. "PRI24,Priority" "0,1,2,3,4,5,6,7" line.long 0x10 "P1_RX_DSCP_PRI_MAP4,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 4" rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "PRI39,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "PRI38,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline bitfld.long 0x10 20.--22. "PRI37,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "RESERVED," "0,1" newline bitfld.long 0x10 16.--18. "PRI36,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 12.--14. "PRI35,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline bitfld.long 0x10 8.--10. "PRI34,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 4.--6. "PRI33,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 0.--2. "PRI32,Priority" "0,1,2,3,4,5,6,7" line.long 0x14 "P1_RX_DSCP_PRI_MAP5,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 5" rbitfld.long 0x14 31. "RESERVED," "0,1" newline bitfld.long 0x14 28.--30. "PRI47,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 24.--26. "PRI46,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline bitfld.long 0x14 20.--22. "PRI45,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "PRI44,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 15. "RESERVED," "0,1" newline bitfld.long 0x14 12.--14. "PRI43,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "PRI42,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 7. "RESERVED," "0,1" newline bitfld.long 0x14 4.--6. "PRI41,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 3. "RESERVED," "0,1" newline bitfld.long 0x14 0.--2. "PRI40,Priority" "0,1,2,3,4,5,6,7" line.long 0x18 "P1_RX_DSCP_PRI_MAP6,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 6" rbitfld.long 0x18 31. "RESERVED," "0,1" newline bitfld.long 0x18 28.--30. "PRI55,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 27. "RESERVED," "0,1" newline bitfld.long 0x18 24.--26. "PRI54,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 23. "RESERVED," "0,1" newline bitfld.long 0x18 20.--22. "PRI53,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 19. "RESERVED," "0,1" newline bitfld.long 0x18 16.--18. "PRI52,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 15. "RESERVED," "0,1" newline bitfld.long 0x18 12.--14. "PRI51,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 11. "RESERVED," "0,1" newline bitfld.long 0x18 8.--10. "PRI50,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 7. "RESERVED," "0,1" newline bitfld.long 0x18 4.--6. "PRI49,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 0.--2. "PRI48,Priority" "0,1,2,3,4,5,6,7" line.long 0x1C "P1_RX_DSCP_PRI_MAP7,CPSW PORT 1 RX DSCP priority to RX packet mapping reg 7" rbitfld.long 0x1C 31. "RESERVED," "0,1" newline bitfld.long 0x1C 28.--30. "PRI63,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" newline bitfld.long 0x1C 24.--26. "PRI62,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 23. "RESERVED," "0,1" newline bitfld.long 0x1C 20.--22. "PRI61,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" newline bitfld.long 0x1C 16.--18. "PRI60,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 15. "RESERVED," "0,1" newline bitfld.long 0x1C 12.--14. "PRI59,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 11. "RESERVED," "0,1" newline bitfld.long 0x1C 8.--10. "PRI58,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 7. "RESERVED," "0,1" newline bitfld.long 0x1C 4.--6. "PRI57,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 3. "RESERVED," "0,1" newline bitfld.long 0x1C 0.--2. "PRI56,Priority" "0,1,2,3,4,5,6,7" line.long 0x20 "P1_IDLE2LPI,Port 1 EEE Idle to LPI Counter Load Value Register" hexmask.long.word 0x20 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x20 0.--19. 1. "P1_IDLE2LPI,Port 1 EEE Idle to LPI counter load value - After CLKSTOP_REQ is asserted this value is loaded into the port 1 idle to LPI counter on each clock that the port 1 transmit is not idle" line.long 0x24 "P1_LPI2WAKE,Port 1 EEE LPI to Wake Counter Load Value Register" hexmask.long.word 0x24 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x24 0.--19. 1. "P1_LPI2WAKE,Port 1 EEE LPI to wake counter load value - When the port is in the transmit LPI state and the CLKSTOP_REQ signal is deasserted this value is loaded into the port 1 LPI to wake counter" group.long 0x200++0x03 line.long 0x00 "P2_CONTROL,CPSW_3GF PORT 2 control register" rbitfld.long 0x00 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 25. "P2_TX_CLKSTOP_EN,Port 2 Transmit clockstop enable 0 - RGMII transmit clockstop not enabled 1 - RGMII transmit clockstop enabled" "0,1" newline bitfld.long 0x00 24. "P2_PASS_PRI_TAGGED,Port 2 Pass Priority Tagged" "Priority tagged packets have the zero VID..,Priority tagged packets are processed unchanged" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 21. "P2_VLAN_LTYPE2_EN,Port 2 VLAN LTYPE 2 enable" "disabled,VLAN LTYPE2 enabled on.." newline bitfld.long 0x00 20. "P2_VLAN_LTYPE1_EN,Port 2 VLAN LTYPE 1 enable" "disabled,VLAN LTYPE1 enabled on.." newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "P2_DSCP_PRI_EN,Port 0 DSCP Priority Enable" "DSCP priority disabled,DSCP priority enabled" newline bitfld.long 0x00 15. "P2_TS_107,Port 2 Time Sync Destination IP Address 107 enable 0 - disabled 1 - destination IP address (dec) 224.0.0.107 is enabled" "0,1" newline bitfld.long 0x00 14. "P2_TS_320,Port 2 Time Sync Destination Port Number 320 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 13. "P2_TS_319,Port 2 Time Sync Destination Port Number 319 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 12. "P2_TS_132,Port 2 Time Sync Destination IP Address 132 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 11. "P2_TS_131,Port 2 Time Sync Destination IP Address 131 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 10. "P2_TS_130,Port 2 Time Sync Destination IP Address 130 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 9. "P2_TS_129,Port 2 Time Sync Destination IP Address 129 enable" "disabled,Annex D (UDP/IPv4).." newline bitfld.long 0x00 8. "P2_TS_TTL_NONZERO,Port 2 Time Sync Time To Live Non-zero enable" "TTL must be zero,TTL may be any value" newline bitfld.long 0x00 7. "P2_TS_UNI_EN,Port 2 Time Sync Unicast Enable 0 - Unicast disabled 1 - Unicast enabled" "0,1" newline bitfld.long 0x00 6. "P2_TS_ANNEX_F_EN,Port 2 Time Sync Annex F enable 0 - Annex F disabled 1 - Annex F enabled" "0,1" newline bitfld.long 0x00 5. "P2_TS_ANNEX_E_EN,Port 2 Time Sync Annex E enable 0 - Annex E disabled 1 - Annex E enabled" "0,1" newline bitfld.long 0x00 4. "P2_TS_ANNEX_D_EN,Port 2 Time Sync Annex D enable" "Annex D disabled,Annex D enabled" newline bitfld.long 0x00 3. "P2_TS_LTYPE2_EN,Port 2 Time Sync LTYPE 2 enable" "disabled,enabled" newline bitfld.long 0x00 2. "P2_TS_LTYPE1_EN,Port 2 Time Sync LTYPE 1 enable" "disabled,enabled" newline bitfld.long 0x00 1. "P2_TS_TX_EN,Port 2 Time Sync Transmit Enable" "disabled,enabled" newline bitfld.long 0x00 0. "P2_TS_RX_EN,Port 2 Time Sync Receive Enable" "Port 1 Receive Time Sync disabled,Port 1 Receive Time Sync enabled" group.long 0x208++0x23 line.long 0x00 "P2_MAX_BLKS,CPSW PORT 2 maximum FIFO blocks register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--8. "P2_TX_MAX_BLKS,Transmit FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical transmit priority queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--3. "P2_RX_MAX_BLKS,Receive FIFO Maximum Blocks - This value is the maximum number of 1k memory blocks that may be allocated to the FIFO's logical receive queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "P2_BLK_CNT,CPSW PORT 2 FIFO block usage count (read only)" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," newline bitfld.long 0x04 4.--8. "P2_TX_BLK_CNT,Port 2 Transmit Block Count Usage - This value is the number of blocks allocated to the FIFO logical transmit queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 0.--3. "P2_RX_BLK_CNT,Port 2 Receive Block Count Usage - This value is the number of blocks allocated to the FIFO logical receive queues" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "P2_TX_IN_CTL,CPSW PORT 2 transmit FIFO control" bitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 24.--27. "HOST_BLKS_REM,Transmit FIFO Blocks that must be free before a non rate-limited CPDMA channel can begin sending a packet to the FIFO" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 20.--23. "TX_RATE_EN,Transmit FIFO Input Rate Enable" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 16.--17. "TX_IN_SEL,Transmit FIFO Input Queue Type Select" "Normal priority mode,reserved,Rate Limit mode,reserved" newline bitfld.long 0x08 12.--15. "TX_BLKS_REM,Transmit FIFO Input blocks to subtract on non rate-limited traffic in rate limit mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 10.--11. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x08 0.--9. 1. "TX_PRI_WDS,Transmit FIFO Words in queue" line.long 0x0C "P2_PORT_VLAN,CPSW PORT 2 VLAN register" hexmask.long.word 0x0C 16.--31. 1. "RESERVED," newline bitfld.long 0x0C 13.--15. "PORT_PRI,Port VLAN Priority (7 is highest priority)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 12. "PORT_CFI,Port CFI bit" "0,1" newline hexmask.long.word 0x0C 0.--11. 1. "PORT_VID,Port VLAN ID" line.long 0x10 "P2_TX_PRI_MAP,CPSW PORT 2 TX header priority to switch priority mapping register" rbitfld.long 0x10 30.--31. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 28.--29. "PRI7,Priority" "0,1,2,3" newline rbitfld.long 0x10 26.--27. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 24.--25. "PRI6,Priority" "0,1,2,3" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 20.--21. "PRI5,Priority" "0,1,2,3" newline rbitfld.long 0x10 18.--19. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 16.--17. "PRI4,Priority" "0,1,2,3" newline rbitfld.long 0x10 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 12.--13. "PRI3,Priority" "0,1,2,3" newline rbitfld.long 0x10 10.--11. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 8.--9. "PRI2,Priority" "0,1,2,3" newline rbitfld.long 0x10 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 4.--5. "PRI1,Priority" "0,1,2,3" newline rbitfld.long 0x10 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x10 0.--1. "PRI0,Priority" "0,1,2,3" line.long 0x14 "P2_TS_SEQ_MTYPE,CPSW_3GF PORT 2 time sync sequence ID offset and message type" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 16.--21. "P2_TS_SEQ_ID_OFFSET,Port 2 Time Sync Sequence ID Offset This is the number of octets that the sequence ID is offset in the tx and rx time sync message header" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x14 0.--15. 1. "P2_TS_MSG_TYPE_EN,Port 2 Time Sync Message Type Enable - Each bit in this field enables the corresponding message type in receive and transmit time sync messages (Bit 0 enables message type 0 etc.)" line.long 0x18 "P2_SA_LO,CPSW CPGMAC_SL2 source address low register" hexmask.long.word 0x18 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 8.--15. 1. "MACSRCADDR_7_0,Source Address Lower 8 bits (byte 0)" newline hexmask.long.byte 0x18 0.--7. 1. "MACSRCADDR_15_8,Source Address bits 15:8 (byte 1)" line.long 0x1C "P2_SA_HI,CPSW CPGMAC_SL2 source address high register" hexmask.long.byte 0x1C 24.--31. 1. "MACSRCADDR_23_16,Source Address bits 23:16 (byte 2)" newline hexmask.long.byte 0x1C 16.--23. 1. "MACSRCADDR_31_23,Source Address bits 31:23 (byte 3)" newline hexmask.long.byte 0x1C 8.--15. 1. "MACSRCADDR_39_32,Source Address bits 39:32 (byte 4)" newline hexmask.long.byte 0x1C 0.--7. 1. "MACSRCADDR_47_40,Source Address bits 47:40 (byte 5)" line.long 0x20 "P2_SEND_PERCENT,CPSW PORT 2 transmit queue send percentages" hexmask.long.word 0x20 23.--31. 1. "RESERVED," newline hexmask.long.byte 0x20 16.--22. 1. "PRI3_SEND_PERCENT,Priority 3 Transmit Percentage - This percentage value is sent from FIFO priority 3 (maximum) when theCPSW_PTYPE[21] P2_PRI3_SHAPE_EN is set (queue shaping enabled)" newline rbitfld.long 0x20 15. "RESERVED," "0,1" newline hexmask.long.byte 0x20 8.--14. 1. "PRI2_SEND_PERCENT,Priority 2 Transmit Percentage - This percentage value is sent from FIFO priority 2 (maximum) when theCPSW_PTYPE[20] P2_PRI2_SHAPE_EN is set (queue shaping enabled)" newline rbitfld.long 0x20 7. "RESERVED," "0,1" newline hexmask.long.byte 0x20 0.--6. 1. "PRI1_SEND_PERCENT,Priority 1 Transmit Percentage - This percentage value is sent from FIFO priority 1 (maximum) when theCPSW_PTYPE[19] P2_PRI1_SHAPE_EN is set (queue shaping enabled)" group.long 0x230++0x27 line.long 0x00 "P2_RX_DSCP_PRI_MAP0,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "PRI7,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "PRI6,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED," "0,1" newline bitfld.long 0x00 20.--22. "PRI5,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" newline bitfld.long 0x00 16.--18. "PRI4,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "PRI3,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline bitfld.long 0x00 8.--10. "PRI2,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" newline bitfld.long 0x00 4.--6. "PRI1,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "PRI0,Priority" "0,1,2,3,4,5,6,7" line.long 0x04 "P2_RX_DSCP_PRI_MAP1,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "PRI15,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 27. "RESERVED," "0,1" newline bitfld.long 0x04 24.--26. "PRI14,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 23. "RESERVED," "0,1" newline bitfld.long 0x04 20.--22. "PRI13,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 19. "RESERVED," "0,1" newline bitfld.long 0x04 16.--18. "PRI12,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 15. "RESERVED," "0,1" newline bitfld.long 0x04 12.--14. "PRI11,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 11. "RESERVED," "0,1" newline bitfld.long 0x04 8.--10. "PRI10,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 7. "RESERVED," "0,1" newline bitfld.long 0x04 4.--6. "PRI9,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 3. "RESERVED," "0,1" newline bitfld.long 0x04 0.--2. "PRI8,Priority" "0,1,2,3,4,5,6,7" line.long 0x08 "P2_RX_DSCP_PRI_MAP2,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 2" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "PRI23,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 27. "RESERVED," "0,1" newline bitfld.long 0x08 24.--26. "PRI22,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 23. "RESERVED," "0,1" newline bitfld.long 0x08 20.--22. "PRI21,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "PRI20,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 12.--14. "PRI19,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 11. "RESERVED," "0,1" newline bitfld.long 0x08 8.--10. "PRI18,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 7. "RESERVED," "0,1" newline bitfld.long 0x08 4.--6. "PRI17,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 0.--2. "PRI16,Priority" "0,1,2,3,4,5,6,7" line.long 0x0C "P2_RX_DSCP_PRI_MAP3,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 3" rbitfld.long 0x0C 31. "RESERVED," "0,1" newline bitfld.long 0x0C 28.--30. "PRI31,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" newline bitfld.long 0x0C 24.--26. "PRI30,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 23. "RESERVED," "0,1" newline bitfld.long 0x0C 20.--22. "PRI29,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" newline bitfld.long 0x0C 16.--18. "PRI28,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 15. "RESERVED," "0,1" newline bitfld.long 0x0C 12.--14. "PRI27,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 11. "RESERVED," "0,1" newline bitfld.long 0x0C 8.--10. "PRI26,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 7. "RESERVED," "0,1" newline bitfld.long 0x0C 4.--6. "PRI25,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0C 3. "RESERVED," "0,1" newline bitfld.long 0x0C 0.--2. "PRI24,Priority" "0,1,2,3,4,5,6,7" line.long 0x10 "P2_RX_DSCP_PRI_MAP4,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 4" rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "PRI39,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "PRI38,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline bitfld.long 0x10 20.--22. "PRI37,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "RESERVED," "0,1" newline bitfld.long 0x10 16.--18. "PRI36,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 12.--14. "PRI35,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline bitfld.long 0x10 8.--10. "PRI34,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 7. "RESERVED," "0,1" newline bitfld.long 0x10 4.--6. "PRI33,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 0.--2. "PRI32,Priority" "0,1,2,3,4,5,6,7" line.long 0x14 "P2_RX_DSCP_PRI_MAP5,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 5" rbitfld.long 0x14 31. "RESERVED," "0,1" newline bitfld.long 0x14 28.--30. "PRI47,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 27. "RESERVED," "0,1" newline bitfld.long 0x14 24.--26. "PRI46,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline bitfld.long 0x14 20.--22. "PRI45,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 19. "RESERVED," "0,1" newline bitfld.long 0x14 16.--18. "PRI44,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 15. "RESERVED," "0,1" newline bitfld.long 0x14 12.--14. "PRI43,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline bitfld.long 0x14 8.--10. "PRI42,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 7. "RESERVED," "0,1" newline bitfld.long 0x14 4.--6. "PRI41,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 3. "RESERVED," "0,1" newline bitfld.long 0x14 0.--2. "PRI40,Priority" "0,1,2,3,4,5,6,7" line.long 0x18 "P2_RX_DSCP_PRI_MAP6,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 6" rbitfld.long 0x18 31. "RESERVED," "0,1" newline bitfld.long 0x18 28.--30. "PRI55,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 27. "RESERVED," "0,1" newline bitfld.long 0x18 24.--26. "PRI54,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 23. "RESERVED," "0,1" newline bitfld.long 0x18 20.--22. "PRI53,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 19. "RESERVED," "0,1" newline bitfld.long 0x18 16.--18. "PRI52,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 15. "RESERVED," "0,1" newline bitfld.long 0x18 12.--14. "PRI51,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 11. "RESERVED," "0,1" newline bitfld.long 0x18 8.--10. "PRI50,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 7. "RESERVED," "0,1" newline bitfld.long 0x18 4.--6. "PRI49,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 0.--2. "PRI48,Priority" "0,1,2,3,4,5,6,7" line.long 0x1C "P2_RX_DSCP_PRI_MAP7,CPSW PORT 2 RX DSCP priority to RX packet mapping reg 7" rbitfld.long 0x1C 31. "RESERVED," "0,1" newline bitfld.long 0x1C 28.--30. "PRI63,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" newline bitfld.long 0x1C 24.--26. "PRI62,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 23. "RESERVED," "0,1" newline bitfld.long 0x1C 20.--22. "PRI61,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" newline bitfld.long 0x1C 16.--18. "PRI60,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 15. "RESERVED," "0,1" newline bitfld.long 0x1C 12.--14. "PRI59,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 11. "RESERVED," "0,1" newline bitfld.long 0x1C 8.--10. "PRI58,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 7. "RESERVED," "0,1" newline bitfld.long 0x1C 4.--6. "PRI57,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x1C 3. "RESERVED," "0,1" newline bitfld.long 0x1C 0.--2. "PRI56,Priority" "0,1,2,3,4,5,6,7" line.long 0x20 "P2_IDLE2LPI,Port 2 EEE Idle to LPI Counter Load Value Register" hexmask.long.word 0x20 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x20 0.--19. 1. "P2_IDLE2LPI,Port 2 EEE Idle to LPI counter load value - After CLKSTOP_REQ is asserted this value is loaded into the port 2 idle to LPI counter on each clock that the port 2 transmit is not idle" line.long 0x24 "P2_LPI2WAKE,Port 2 EEE LPI to Wake Counter Load Value Register" hexmask.long.word 0x24 20.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x24 0.--19. 1. "P2_LPI2WAKE,Port 2 EEE LPI to wake counter load value - When the port is in the transmit LPI state and the CLKSTOP_REQ signal is deasserted this value is loaded into the port 2 LPI to wake counter" tree.end tree "PRM_TARG" base ad:0x4AE08000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PRUSS1_CFG" base ad:0x4B226000 rgroup.long 0x00++0x23 line.long 0x00 "PRUSS_REVID,The Revision Register contains the ID and revision information" line.long 0x04 "PRUSS_SYSCFG,The System Configuration Register defines the power IDLE and STANDBY modes" hexmask.long 0x04 6.--31. 1. "RESERVED," bitfld.long 0x04 5. "SUB_MWAIT,Status bit for wait state" "Ready for Transaction,Wait until 0" newline bitfld.long 0x04 4. "STANDBY_INIT," "0,1" bitfld.long 0x04 2.--3. "STANDBY_MODE," "?,No standby mode,Smart standby mode,Reserved" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode,Reserved" line.long 0x08 "PRUSS_GPCFG0,The General Purpose Configuration 0 Register defines the GPI O configuration for PRU0" rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x08 26.--29. "PR1_PRU0_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 25. "PRU0_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x08 20.--24. "PRU0_GPO_DIV1,Divisor value (divide by PRU0_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 15.--19. "PRU0_GPO_DIV0,Divisor value (divide by PRU0_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 14. "PRU0_GPO_MODE," "0,1" newline bitfld.long 0x08 13. "PRU0_GPI_SB,Start Bit event for 28-bit shift mode" "No Effect,Will clear PRU0_GPI_SB.." bitfld.long 0x08 8.--12. "PRU0_GPI_DIV1,Divisor value (divide by PRU0_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 3.--7. "PRU0_GPI_DIV0,Divisor value (divide by PRU0_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 2. "PRU0_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru0_r31_status[16],Use the negative edge of pru0_r31_status[16]" newline bitfld.long 0x08 0.--1. "PRU0_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x0C "PRUSS_GPCFG1,The General Purpose Configuration 1 Register defines the GPI O configuration for PRU1" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 26.--29. "PR1_PRU1_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 25. "PRU1_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x0C 20.--24. "PRU1_GPO_DIV1,Divisor value (divide by PRU1_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 15.--19. "PRU1_GPO_DIV0,Divisor value (divide by PRU1_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 14. "PRU1_GPO_MODE," "0,1" newline bitfld.long 0x0C 13. "PRU1_GPI_SB,28-bit shift mode Start Bit event" "No Effect,Will clear PRU1_GPI_SB.." bitfld.long 0x0C 8.--12. "PRU1_GPI_DIV1,Divisor value (divide by PRU1_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 3.--7. "PRU1_GPI_DIV0,Divisor value (divide by PRU1_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 2. "PRU1_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru1_r31_status[16],Use the negative edge of pru1_r31_status[16]" newline bitfld.long 0x0C 0.--1. "PRU1_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x10 "PRUSS_CGR,The Clock Gating Register controls the state of Clock Management of the different modules" hexmask.long.word 0x10 18.--31. 1. "RESERVED," bitfld.long 0x10 17. "IEP_CLK_EN,IEP clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 16. "IEP_CLK_STOP_ACK,Acknowledgement that IEP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 15. "IEP_CLK_STOP_REQ,IEP request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 14. "ECAP_CLK_EN,ECAP clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 13. "ECAP_CLK_STOP_ACK,Acknowledgement that ECAP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 12. "ECAP_CLK_STOP_REQ,ECAP request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 11. "UART_CLK_EN,UART clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 10. "UART_CLK_STOP_ACK,Acknowledgement that UART clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 9. "UART_CLK_STOP_REQ,UART request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 8. "PRUSS_INTC_CLK_EN,PRUSS_INTC clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 7. "PRUSS_INTC_CLK_STOP_ACK,Acknowledgement that PRUSS_INTC clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 6. "PRUSS_INTC_CLK_STOP_REQ,PRUSS_INTC request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 5. "PRU1_CLK_EN,PRU1 clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 4. "PRU1_CLK_STOP_ACK,Acknowledgement that PRU1 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 3. "PRU1_CLK_STOP_REQ,PRU1 request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 2. "PRU0_CLK_EN,PRU0 clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 1. "PRU0_CLK_STOP_ACK,Acknowledgement that PRU0 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 0. "PRU0_CLK_STOP_REQ,PRU0 request to stop clock" "do not request to stop Clock,request to stop Clock" line.long 0x14 "PRUSS_ISRP,The IRQ Status Raw Parity register is a snapshot of the IRQ raw status for the PRUSS memory parity events" hexmask.long.word 0x14 20.--31. 1. "RESERVED," bitfld.long 0x14 16.--19. "RAM_PE_RAW,RAM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 12.--15. "PRU1_DMEM_PE_RAW,PRU1 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 8.--11. "PRU1_IMEM_PE_RAW,PRU1 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 4.--7. "PRU0_DMEM_PE_RAW,PRU0 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 0.--3. "PRU0_IMEM_PE_RAW,PRU0 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." line.long 0x18 "PRUSS_ISP,The IRQ Status Parity Register is a snapshot of the IRQ status for the PRUSS memory parity events" hexmask.long.word 0x18 20.--31. 1. "RESERVED," bitfld.long 0x18 16.--19. "RAM_PE,RAM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 12.--15. "PRU1_DMEM_PE,PRU1 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." bitfld.long 0x18 8.--11. "PRU1_IMEM_PE,PRU1 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 4.--7. "PRU0_DMEM_PE,PRU0 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No(enabled) event pending,Clear event,?..." bitfld.long 0x18 0.--3. "PRU0_IMEM_PE,PRU0 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." line.long 0x1C "PRUSS_IESP,The IRQ Enable Set Parity Register enables the IRQ PRUSS memory parity events" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," bitfld.long 0x1C 16.--19. "RAM_PE_SET,RAM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 12.--15. "PRU1_DMEM_PE_SET,PRU1 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 8.--11. "PRU1_IMEM_PE_SET,PRU1 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 4.--7. "PRU0_DMEM_PE_SET,PRU0 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 0.--3. "PRU0_IMEM_PE_SET,PRU0 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." line.long 0x20 "PRUSS_IECP,The IRQ Enable Clear Parity Register disables the IRQ PRUSS memory parity events" hexmask.long.word 0x20 16.--31. 1. "RESERVED," bitfld.long 0x20 12.--15. "PRU1_DMEM_PE_CLR,PRU1 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 8.--11. "PRU1_IMEM_PE_CLR,PRU1 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." bitfld.long 0x20 4.--7. "PRU0_DMEM_PE_CLR,PRU0 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 0.--3. "PRU0_IMEM_PE_CLR,PRU0 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." group.long 0x28++0x0F line.long 0x00 "PRUSS_PMAO,The PRU Master OCP Address Offset Register enables for the PRU OCP Master Port Address to have an offset of minus 0x0008_0000" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "PMAO_PRU1,PRU1 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" newline bitfld.long 0x00 0. "PMAO_PRU0,PRU0 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" line.long 0x04 "PRUSS_MII_RT,The MII_RT Event Enable Register enables MII_RT mode events to the PRUSS.PRUSS_INTC" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x08 "PRUSS_IEPCLK,The IEP Clock Source Register defines the source of the IEP clock" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x0C "PRUSS_SPP,The Scratch Pad Priority and Configuration Register defines the access priority assigned to the PRU cores and configures the scratch pad XFR shift functionality" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 1. "XFR_SHIFT_EN,Enables XIN XOUT shift functionality" "Disabled,Enabled" newline bitfld.long 0x0C 0. "PRU1_PAD_HP_EN,Defines which PRU wins write cycle arbitration to a common scratch pad bank" "PRU0 has highest priority,PRU1 has highest priority" group.long 0x40++0x03 line.long 0x00 "PRUSS_PIN_MX,The Pin Mux Select Register defines the state of the PRUSS internal pinmuxing" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 9. "PWM3_REMAP_EN,UNUSED IN THIS DEVICE" "0,1" newline bitfld.long 0x00 8. "PWM0_REMAP_EN,If enabled host intr6 of PRUSS2 controls epwm_sync_in of PWMSS1 instead of ehrpwm1_synci device pin" "0,1" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" tree.end tree "PRUSS1_ECAP" base ad:0x4B230000 group.long 0x00++0x17 line.long 0x00 "PRUSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PRUSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PRUSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PRUSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PRUSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PRUSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x09 line.word 0x00 "PRUSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPRUSS_ECAP_CAP1 to PRUSS_ECAP_CAP4 registers on a capture event" "Disable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register..,Enable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PRUSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "ECAP module operates in capture mode,ECAP module operates in APWM mode" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select CTR = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PRUSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PRUSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PRUSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." group.word 0x34++0x01 line.word 0x00 "PRUSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED," bitfld.word 0x00 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the CTR=CMP flag bit" newline bitfld.word 0x00 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the CTR=PRD flag bit" bitfld.word 0x00 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x00 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x00 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x00 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x00 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x00 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PRUSS_ECAP_PID,ECAP Revision ID" tree.end tree "PRUSS1_FW" base ad:0x4A175000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "PRUSS1_FW_CFG_TARG" base ad:0x4A176000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PRUSS1_IEP" base ad:0x4B22E000 group.long 0x00++0x1F line.long 0x00 "PRUSS_IEP_GLOBAL_CFG,GLOBAL CFG" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.word 0x00 8.--19. 1. "CMP_INC,Defines the increment value when compensation is active" newline bitfld.long 0x00 4.--7. "DEFAULT_INC,Defines the default increment value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CNT_ENABLE,Counter enable" "Disables the counter,Enables the counter" line.long 0x04 "PRUSS_IEP_STATUS,STATUS" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "CNT_OVF,Counter overflow status" "No overflow,Overflow occurred" line.long 0x08 "PRUSS_IEP_COMPENSATION,COMPENSATION" hexmask.long.byte 0x08 24.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x08 0.--23. 1. "COMPEN_CNT,Compensation counter" line.long 0x0C "PRUSS_IEP_SLOW_COMPENSATION,SLOW COMPENSATION" line.long 0x10 "PRUSS_IEP_LOW_COUNTER,64 bit count value low" line.long 0x14 "PRUSS_IEP_HIGH_COUNTER,64 bit count value high" line.long 0x18 "PRUSS_IEP_CAPTURE_CFG,CAPTURE CFG" hexmask.long.word 0x18 18.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 10.--17. 1. "CAP_ASYNC_EN,Synchronization of the capture inputs to the PRUSS_IEP_CLK/PRUSS_GICLK enable" newline bitfld.long 0x18 9. "CAP7F_1ST_EVENT_EN,Capture 1st Event Enable for cap[7] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 8. "CAP7R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[7] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 7. "CAP6F_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 6. "CAP6R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 0.--5. "CAP_1ST_EVENT_EN,Capture 1-st Event Enable for n" "Continues mode,First Event mode,?..." line.long 0x1C "PRUSS_IEP_CAPTURE_STATUS,CAPTURE STATUS" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," newline abitfld.long 0x1C 16.--23. "CAP_RAW,Raw/Current status bit for each of the capture registers where CAP_RAW[n] maps to CAPR[n]" "0x00=Current state is low for capn,0x01=Current state is high for capn" newline bitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 10. "CAP_VALID,Valid status for capture function" "0,1" newline bitfld.long 0x1C 9. "CAPF7_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 8. "CAPR7_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 7. "CAPF6_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 6. "CAPR6_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 0.--5. "CAPR_VALID,Valid Status capr_validn maps PRUSS_IEP_CAPRn_REG where n=0 to 5 " "No Hit no capture event occurred,Hit capture event occurred,?..." rgroup.long 0x50++0x27 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE06,CAPTURE RISE6 low" line.long 0x04 "PRUSS_IEP_CAPTURE_RISE16,CAPTURE RISE6 high" line.long 0x08 "PRUSS_IEP_CAPTURE_FALL06,CAPTURE FALL6 low" line.long 0x0C "PRUSS_IEP_CAPTURE_FALL16,CAPTURE FALL6 high" line.long 0x10 "PRUSS_IEP_CAPTURE_RISE07,CAPTURE RISE7 low" line.long 0x14 "PRUSS_IEP_CAPTURE_RISE17,CAPTURE RISE7 high" line.long 0x18 "PRUSS_IEP_CAPTURE_FALL07,CAPTURE FALL7 low" line.long 0x1C "PRUSS_IEP_CAPTURE_FALL17,CAPTURE FALL7 high" line.long 0x20 "PRUSS_IEP_COMPARE_CFG,COMPARE CFG" hexmask.long.word 0x20 17.--31. 1. "RESERVED," newline hexmask.long.word 0x20 1.--16. 1. "CMP_EN,Enable bits for each of the compare registers CMP_EN =" newline bitfld.long 0x20 0. "CMP0_RST_CNT_EN,Enable the reset of the counter" "Disable,Enable the reset of.." line.long 0x24 "PRUSS_IEP_COMPARE_STATUS,COMPARE STATUS" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline abitfld.long 0x24 0.--15. "CMP_HIT,Status bit for each of the compare registers 'Match' indicates the current counter is greater than or equal to the compare value" "0x0000=No match has occured..,0x0001=A match occured" group.long 0xB8++0x07 line.long 0x00 "PRUSS_IEP_RXIPG0,RXIPG0 This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x00 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x00 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" line.long 0x04 "PRUSS_IEP_RXIPG1,RXIPG1This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x04 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x04 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" group.long 0x100++0x0B line.long 0x00 "PRUSS_IEP_LOW_COUNTER_RESET_VALUE,LOW_COUNTER_RESET_VALUE" line.long 0x04 "PRUSS_IEP_HIGH_COUNTER_RESET_VALUE,HIGH_COUNTER_RESET_VALUE" line.long 0x08 "PRUSS_IEP_PWM,PWM Sync Out" hexmask.long 0x08 4.--31. 1. "RESERVED," newline bitfld.long 0x08 3. "PWM3_HIT,The raw status bit of pwm3_sync_out event" "No pwm3_sync_out event,pwm3_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 2. "PWM3_RST_CNT_EN,Enable the reset of the counter by a pwm3_sync_out event" "Disable,Enable the reset of.." newline bitfld.long 0x08 1. "PWM0_HIT,The raw status bit of pwm0_sync_out event" "No pwm0_sync_out event,pwm0_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 0. "PWM0_RST_CNT_EN,Enable the reset of the counter by a pwm0_sync_out event" "Disable,Enable the reset of.." group.long 0x180++0x1F line.long 0x00 "PRUSS_IEP_SYNC_CTRL,SYNC CTRL" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "SYNC1_IND_EN,SYNC1 independent mode enable" "Dependent mode,Independent mode" newline bitfld.long 0x00 7. "SYNC1_CYCLIC_EN,SYNC1 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 6. "SYNC1_ACK_EN,SYNC1 acknowledgement mode enable" "Disable SYNC1 will go low after pulse width is met,Enable SYNC1 will remain asserted until.." newline bitfld.long 0x00 5. "SYNC0_CYCLIC_EN,SYNC0 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 4. "SYNC0_ACK_EN,SYNC0 acknowledgement mode enable" "Disable SYNC0 will go low after pulse width is met,Enable SYNC0 will remain asserted until.." newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "SYNC1_EN,SYNC1 generation enable" "Disable SYNC1 generation,Enable SYNC1 generation" newline bitfld.long 0x00 1. "SYNC0_EN,SYNC0 generation enable" "Disable SYNC0 generation,Enable SYNC0 generation" newline bitfld.long 0x00 0. "SYNC_EN,SYNC generation enable" "Disable the generation and clocking of SYNC0 and..,Enables SYNC0 and SYNC1 generation" line.long 0x04 "PRUSS_IEP_SYNC_FIRST_STAT,SYNC CTRL" hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 1. "FIRST_SYNC1,SYNC1 First Event status" "SYNC1 first event has NOT occurred,SYNC1 first event has occurred" newline bitfld.long 0x04 0. "FIRST_SYNC0,SYNC0 First Event status" "SYNC0 first event has not occurred,SYNC0 first event has occurred" line.long 0x08 "PRUSS_IEP_SYNC0_STAT,SYNC CTRL" hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "SYNC0_PEND,SYNC0 pending state" "0,1" line.long 0x0C "PRUSS_IEP_SYNC1_STAT,SYNC CTRL" hexmask.long 0x0C 1.--31. 1. "RESERVED," newline bitfld.long 0x0C 0. "SYNC1_PEND,SYNC1 pending state" "SYNC1 is not pending,SYNC1 is pending or has occurred when.." line.long 0x10 "PRUSS_IEP_SYNC_PWIDTH,SYNC CTRL" line.long 0x14 "PRUSS_IEP_SYNC0_PERIOD,SYNC CTRL" line.long 0x18 "PRUSS_IEP_SYNC1_DELAY,SYNC CTRL" line.long 0x1C "PRUSS_IEP_SYNC_START,SYNC CTRL" group.long 0x200++0x17 line.long 0x00 "PRUSS_IEP_WD_PREDIV,WD" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--15. 1. "PRE_DIV,Defines the number of iep_clk cycles per WD clock event" line.long 0x04 "PRUSS_IEP_PDI_WD_TIM,WD" hexmask.long.word 0x04 16.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--15. 1. "PDI_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x08 "PRUSS_IEP_PD_WD_TIM,WD" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline hexmask.long.word 0x08 0.--15. 1. "PD_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x0C "PRUSS_IEP_WD_STATUS,WD" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline bitfld.long 0x0C 16. "PDI_WD_STAT,WD PDI status" "Expired (PDI_WD_EXP event generated),Active or disabled" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "PD_WD_STAT,WD PD status (triggered by Sync Mangers status)" "Expired (PD_WD_EXP event generated),Active or disabled" line.long 0x10 "PRUSS_IEP_WD_EXP_CNT,WD" hexmask.long.word 0x10 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 8.--15. 1. "PD_EXP_CNT,WD PD expiration counter" newline hexmask.long.byte 0x10 0.--7. 1. "PDI_EXP_CNT,WD PDI expiration counter" line.long 0x14 "PRUSS_IEP_WD_CTRL,WD" hexmask.long.word 0x14 17.--31. 1. "RESERVED," newline bitfld.long 0x14 16. "PDI_WD_EN,Watchdog PDI" "Disable,Enable" newline hexmask.long.word 0x14 1.--15. 1. "RESERVED," newline bitfld.long 0x14 0. "PD_WD_EN,Watchdog PD" "Disable,Enable" group.long 0x300++0x03 line.long 0x00 "PRUSS_IEP_DIGIO_CTRL,DIGIO" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline bitfld.long 0x00 6.--7. "OUT_MODE,Defines event that triggers data out to be updated" "0,1,2,3" newline bitfld.long 0x00 4.--5. "IN_MODE,Defines event that triggers data in to be sampled" "0,1,2,3" newline bitfld.long 0x00 3. "WD_MODE,Defines Watchdog behavior" "0,1" newline rbitfld.long 0x00 2. "BIDI_MODE,Indicates the digital input/output direction" "0,1" newline bitfld.long 0x00 1. "OUTVALID_MODE,Defines OUTVALID mode" "0,1" newline rbitfld.long 0x00 0. "OUTVALID_POL,Indicates OUTVALID polarity" "0,1" rgroup.long 0x308++0x13 line.long 0x00 "PRUSS_IEP_DIGIO_DATA_IN,DIGIO" line.long 0x04 "PRUSS_IEP_DIGIO_DATA_IN_RAW,DIGIO" line.long 0x08 "PRUSS_IEP_DIGIO_DATA_OUT,DIGIO" line.long 0x0C "PRUSS_IEP_DIGIO_DATA_OUT_EN,DIGIO" line.long 0x10 "PRUSS_IEP_DIGIO_EXP,DIGIO" hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline bitfld.long 0x10 13. "EOF_SEL,Defines which RX_EOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 12. "SOF_SEL,Defines which RX_SOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 8.--11. "SOF_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay of SOF PR1_EDIO_DATA_IN[31:0] capture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 4.--7. "OUTVALID_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay on assertion of PR1_EDIO_OUTVALID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "SW_OUTVALID,pr1_edio_outvalid = SW_OUTVALID only if OUTVALID_OVR_EN is set" "0,1" newline bitfld.long 0x10 1. "OUTVALID_OVR_EN,Enable software to control value of pr<k>_edio_data_out [7:0]" "Disable,Enable" newline bitfld.long 0x10 0. "SW_DATA_OUT_UPDATE,Defines the value of pr1_edio_data_out when OUTVALID_OVR_EN = 1.Read" "No Effect,pr1_edio_data_out by.." repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x104)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1k_$1,COMPARE(k) high" repeat.end repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x100)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0k_$1,COMPARE(k) low" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7C)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1j_$1,COMPARE(j) high" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x78)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0j_$1,COMPARE(j) low" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x24)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE1i_$1,CAPTURE RISE(i) high" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x20)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE0i_$1,CAPTURE RISE(i) low" repeat.end tree.end tree "PRUSS1_INTC" base ad:0x4B220000 rgroup.long 0x00++0x07 line.long 0x00 "PRUSS_INTC_REVID,Revision ID Register" line.long 0x04 "PRUSS_INTC_CR,The Control Register holds global control parameters and can forces a soft reset on the module" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "PRIORITY_HOLD_MODE,Reserved" "0,1" newline bitfld.long 0x04 2.--3. "NEST_MODE,The nesting mode" "no nesting,automatic individual nesting (per host interrupt),automatic global nesting (over all host..,manual nesting" bitfld.long 0x04 1. "WAKEUP_MODE,Reserved" "0,1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" group.long 0x10++0x03 line.long 0x00 "PRUSS_INTC_GER,The Global Host Interrupt Enable Register enables all the host interrupts" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "ENABLE_HINT_ANY,The current global enable value when" "0,1" group.long 0x1C++0x13 line.long 0x00 "PRUSS_INTC_GNLR,The Global Nesting Level Register allows the checking and setting of the global nesting level across all host interrupts when automatic global nesting mode is set" bitfld.long 0x00 31. "AUTO_OVERRIDE,Always read as 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "GLB_NEST_LEVEL,The current global nesting level (highest channel that is nested)" line.long 0x04 "PRUSS_INTC_SISR,The System Interrupt Status Indexed Set Register allows setting the status of an interrupt" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "STATUS_SET_INDEX,Writes set the status of the interrupt given in the index value" line.long 0x08 "PRUSS_INTC_SICR,The System Interrupt Status Indexed Clear Register allows clearing the status of an interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," hexmask.long.word 0x08 0.--9. 1. "STATUS_CLR_INDEX,Writes clear the status of the interrupt given in the index value" line.long 0x0C "PRUSS_INTC_EISR,The System Interrupt Enable Indexed Set Register allows enabling an interrupt" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--9. 1. "ENABLE_SET_INDEX,Writes set the enable of the interrupt given in the index value" line.long 0x10 "PRUSS_INTC_EICR,The System Interrupt Enable Indexed Clear Register allows disabling an interrupt" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," hexmask.long.word 0x10 0.--9. 1. "ENABLE_CLR_INDEX,Writes clear the enable of the interrupt given in the index value" group.long 0x34++0x07 line.long 0x00 "PRUSS_INTC_HIEISR,The Host Interrupt Enable Indexed Set Register allows enabling a host interrupt output" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "HINT_ENABLE_SET_INDEX,Writes set the enable of the host interrupt given in the index value" line.long 0x04 "PRUSS_INTC_HIDISR,The Host Interrupt Enable Indexed Clear Register allows disabling a host interrupt output" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "HINT_ENABLE_CLR_INDEX,Writes clear the enable of the host interrupt given in the index value" rgroup.long 0x80++0x03 line.long 0x00 "PRUSS_INTC_GPIR,The Global Prioritized Index Register shows the interrupt number of the highest priority interrupt pending across all the host interrupts" bitfld.long 0x00 31. "GLB_NONE,No Interrupt is pending" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "GLB_PRI_INTR,The currently highest priority interrupt index pending across all the host interrupts" group.long 0x200++0x07 line.long 0x00 "PRUSS_INTC_SRSR0,The System Interrupt Status Raw Set Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SRSR1,The System Interrupt Status Raw Set Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x280++0x07 line.long 0x00 "PRUSS_INTC_SECR0,The System Interrupt Status Enabled Clear Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SECR1,The System Interrupt Status Enabled Clear Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x300++0x07 line.long 0x00 "PRUSS_INTC_ESR0,The System Interrupt Enable Set Register0 enables system interrupts 0 to 31 to trigger outputs" line.long 0x04 "PRUSS_INTC_ERS1,The System Interrupt Enable Set Register1 enables system interrupts 32 to 63 to trigger outputs" group.long 0x380++0x07 line.long 0x00 "PRUSS_INTC_ECR0,The System Interrupt Enable Clear Register0 disables system interrupts 0 to 31 to map to channels" line.long 0x04 "PRUSS_INTC_ECR1,The System Interrupt Enable Clear Register1 disables system interrupts 32 to 63 to map to channels" group.long 0x800++0x0B line.long 0x00 "PRUSS_INTC_HMR0,The Host Interrupt Map Register0 define the host interrupt for channels 0 to 3" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "HINT_MAP_3,HOST INTERRUPT MAP FOR CHANNEL 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "HINT_MAP_2,HOST INTERRUPT MAP FOR CHANNEL 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "HINT_MAP_1,HOST INTERRUPT MAP FOR CHANNEL 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "HINT_MAP_0,HOST INTERRUPT MAP FOR CHANNEL 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PRUSS_INTC_HMR1,The Host Interrupt Map Register1 define the host interrupt for channels 4 to 7" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. "HINT_MAP_7,HOST INTERRUPT MAP FOR CHANNEL 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. "HINT_MAP_6,HOST INTERRUPT MAP FOR CHANNEL 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. "HINT_MAP_5,HOST INTERRUPT MAP FOR CHANNEL 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. "HINT_MAP_4,HOST INTERRUPT MAP FOR CHANNEL 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PRUSS_INTC_HMR2,The Host Interrupt Map Register2 define the host interrupt for channels 8 to 9" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 8.--11. "HINT_MAP_9,HOST INTERRUPT MAP FOR CHANNEL 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. "HINT_MAP_8,HOST INTERRUPT MAP FOR CHANNEL 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD00++0x07 line.long 0x00 "PRUSS_INTC_SIPR0,The System Interrupt Polarity Register0 define the polarity of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SIPR1,The System Interrupt Polarity Register1 define the polarity of the system interrupts 32 to 63" group.long 0xD80++0x07 line.long 0x00 "PRUSS_INTC_SITR0,The System Interrupt Type Register0 define the type of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SITR1,The System Interrupt Type Register1 define the type of the system interrupts 32 to 63" group.long 0x1500++0x03 line.long 0x00 "PRUSS_INTC_HIER,The Host Interrupt Enable Registers enable or disable individual host interrupts" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," abitfld.long 0x00 0.--9. "ENABLE_HINT,The enable of the host interrupts (one per bit)" "0x000=disabled,0x001=enabled" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1100)++0x03 line.long 0x00 "PRUSS_INTC_HINLRj_$1,The Host Interrupt Nesting Level Register_j (where j=0 to 9) display and control the nesting level for host interrupt j" bitfld.long 0x00 31. "AUTO_OVERRIDE,Reads return 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "NEST_HINT,Reads return the current nesting level for the host interrupt" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) rgroup.long ($2+0x900)++0x03 line.long 0x00 "PRUSS_INTC_HIPIRj_$1,The Host Interrupt Prioritized Index Register_j (where j=0 to 9) shows the highest priority current pending interrupt for the host interrupt j" bitfld.long 0x00 31. "NONE_HINT,No pending interrupt" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "PRI_HINT,HOST INT j PRIORITIZED INTERRUPT" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x03 line.long 0x00 "PRUSS_INTC_CMRi_$1,There are 16 identical CMR registers (i=0 to 15)" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CH_MAP_3,Sets the channel for the system interrupt (k+3)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CH_MAP_2,Sets the channel for the system interrupt (k+2)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CH_MAP_1,Sets the channel for the system interrupt (k+1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CH_MAP_0,Sets the channel for the system interrupt k" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PRUSS1_MII_MDIO" base ad:0x4B232400 rgroup.long 0x00++0x17 line.long 0x00 "PRUSS_MII_MDIO_VER,MDIO MODULE VERSION REGISTER" line.long 0x04 "PRUSS_MII_MDIO_CONTROL,MDIO MODULE CONTROL REGISTER" rbitfld.long 0x04 31. "IDLE,MDIO state machine IDLE" "0,1" bitfld.long 0x04 30. "ENABLE,Enable control" "0,1" rbitfld.long 0x04 29. "RESERVED," "0,1" rbitfld.long 0x04 24.--28. "HIGHEST_USER_CHANNEL,Highest user channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 20. "PREAMBLE,Preamble disable" "0,1" bitfld.long 0x04 19. "FAULT,Fault indicator" "0,1" bitfld.long 0x04 18. "FAULT_DETECT_ENABLE,Fault detect enable" "0,1" newline bitfld.long 0x04 17. "INT_TEST_ENABLE,Interrupt test enable" "0,1" rbitfld.long 0x04 16. "RESERVED," "0,1" hexmask.long.word 0x04 0.--15. 1. "CLKDIV,Clock Divider" line.long 0x08 "PRUSS_MII_MDIO_ALIVE,PHY ACKNOWLEDGE STATUS REGISTER" line.long 0x0C "PRUSS_MII_MDIO_LINK,PHY LINK STATUS REGISTER" line.long 0x10 "PRUSS_MII_MDIO_LINKINTRAW,LINK STATUS CHANGE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x10 2.--31. 1. "RESERVED," bitfld.long 0x10 0.--1. "LINKINTRAW,MDIO link change event raw value" "0,1,2,3" line.long 0x14 "PRUSS_MII_MDIO_LINKINTMASKED,LINK STATUS CHANGE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x14 2.--31. 1. "RESERVED," bitfld.long 0x14 0.--1. "LINKINTMASKED,MDIO link change interrupt masked value" "0,1,2,3" group.long 0x20++0x0F line.long 0x00 "PRUSS_MII_MDIO_USERINTRAW,USER COMMAND COMPLETE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "USERINTRAW,Raw value of MDIO user command complete event for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x04 "PRUSS_MII_MDIO_USERINTMASKED,USER COMMAND COMPLETE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 0.--1. "USERINTMASKED,Masked value of MDIO user command complete interrupt for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x08 "PRUSS_MII_MDIO_USERINTMASKSET,USER INTERRUPT MASK SET REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 0.--1. "USERINTMASKEDSET,MDIO user interrupt mask set for userintmasked[1:0] respectively" "0,1,2,3" line.long 0x0C "PRUSS_MII_MDIO_USERINTMASKCLR,USER INTERRUPT MASK CLEAR REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 0.--1. "USERINTMASKEDCLR,MDIO user command complete interrupt mask clear for userintmasked[1:0] respectively" "0,1,2,3" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x84)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERPHYSEL$1,USER PHY SELECT REGISTER0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "LINKSEL,Link status determination select" "0,1" newline bitfld.long 0x00 6. "LINKINT_ENABLE,Link change interrupt enable" "0,1" rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 0.--4. "PHYADR_MON,PHY address whose link status is to be monitored" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x80)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERACCESS$1,USER ACCESS REGISTER0" bitfld.long 0x00 31. "GO,Go" "0,1" bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" repeat.end tree.end tree "PRUSS1_MII_RT" base ad:0x4B232000 group.long 0x00++0x07 line.long 0x00 "PRUSS_MII_RT_RXCFG0,MII RXCFG 0 REGISTER This register contains the PRU0 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline bitfld.long 0x00 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x00 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x00 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x00 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x00 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x00 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x00 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x00 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x00 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x00 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" line.long 0x04 "PRUSS_MII_RT_RXCFG1,MII RXCFG 1 REGISTER This register contains the PRU1 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline bitfld.long 0x04 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x04 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x04 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x04 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x04 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x04 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x04 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x04 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x04 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x04 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" group.long 0x10++0x07 line.long 0x00 "PRUSS_MII_RT_TXCFG0,MII TXCFG 0 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "TX_32_MODE_EN," "0,1" newline rbitfld.long 0x00 10. "RESERVED," "0,1" newline bitfld.long 0x00 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x00 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If R30[15:8] = Byte1{Nibble3 Nibble2} R30[7:0] =..,If R30[15:8] = Byte0{Nibble1 Nibble0} R30[7:0] =.." newline bitfld.long 0x00 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x00 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x00 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." line.long 0x04 "PRUSS_MII_RT_TXCFG1,MII TXCFG 1 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 11. "TX_32_MODE_EN," "0,1" newline bitfld.long 0x04 10. "RESERVED," "0,1" newline bitfld.long 0x04 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x04 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0..,If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0.." newline bitfld.long 0x04 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x04 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x04 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." rgroup.long 0x20++0x07 line.long 0x00 "PRUSS_MII_RT_TX_CRC0,MII TXCRC 0 REGISTER It contains CRC32 which PRU0 reads" line.long 0x04 "PRUSS_MII_RT_TX_CRC1,MII TXCRC 1 REGISTER It contains CRC32 which PRU1 reads" group.long 0x30++0x27 line.long 0x00 "PRUSS_MII_RT_TX_IPG0,MII TXIPG 0 REGISTER" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x04 "PRUSS_MII_RT_TX_IPG1,MII TXIPG 1 REGISTER" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x08 "PRUSS_MII_RT_PRS0,MII PORT STATUS 0 REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 1. "MII_CRS,Read the current state of pr1_mii0_crs" "0,1" newline bitfld.long 0x08 0. "MII_COL,Read the current state of pr1_mii0_col" "0,1" line.long 0x0C "PRUSS_MII_RT_PRS1,MII PORT STATUS 1 REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 1. "MII_CRS,Read the current state of pr1_mii1_crs" "0,1" newline bitfld.long 0x0C 0. "MII_COL,Read the current state of pr1_mii1_col" "0,1" line.long 0x10 "PRUSS_MII_RT_RX_FRMS0,MII RXFRMS 0 REGISTER" hexmask.long.word 0x10 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x10 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x14 "PRUSS_MII_RT_RX_FRMS1,MII RXFRMS 1 REGISTER" hexmask.long.word 0x14 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x14 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x18 "PRUSS_MII_RT_RX_PCNT0,MII RXPCNT 0 REGISTER" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline bitfld.long 0x18 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x18 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PRUSS_MII_RT_RX_PCNT1,MII RXPCNT 1 REGISTER" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED," newline bitfld.long 0x1C 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x1C 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "?,1 0x5 before 0xD5,2 0x5 before 0xD5 N,?..." line.long 0x20 "PRUSS_MII_RT_RX_ERR0,MII RXERR 0 REGISTER" hexmask.long 0x20 4.--31. 1. "RESERVED," newline bitfld.long 0x20 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" line.long 0x24 "PRUSS_MII_RT_RX_ERR1,MII RXERR 1 REGISTER" hexmask.long 0x24 4.--31. 1. "RESERVED," newline bitfld.long 0x24 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" rgroup.long 0x60++0x0F line.long 0x00 "PRUSS_MII_RT_RXFLV0,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline abitfld.long 0x00 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x04 "PRUSS_MII_RT_RXFLV1,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 1" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline abitfld.long 0x04 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x08 "PRUSS_MII_RT_TXFLV0,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 0" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline abitfld.long 0x08 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" line.long 0x0C "PRUSS_MII_RT_TXFLV1,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 1" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," newline abitfld.long 0x0C 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" tree.end tree "PRUSS1_PRU0_CTRL" base ad:0x4B222000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS1_PRU0_DEBUG" base ad:0x20AA2400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS1_PRU1_CTRL" base ad:0x4B224000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS1_PRU1_DEBUG" base ad:0x20AA4400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS1_TARG" base ad:0x44001400 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "PRUSS1_UART" base ad:0x4B228000 group.long 0x00++0x2B line.long 0x00 "PRUSS_UART_RBR_THR_REGISTERS,In the non-FIFO mode. when a character is placed in Receiver buffer register and the receiver data-ready interrupt is enabled (DR = 1 in Interrupt identification register). an interrupt is generated" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "DATA,Read: Read Receive Buffer RegisterWrite: Write Transmitter Holding Register" line.long 0x04 "PRUSS_UART_INTERRUPT_ENABLE_REGISTER,The Interrupt enable register is used to individually enable or disable each type of interrupt request that can be generated by the UART" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "EDSSI,Enable Modem Status Interrupt" "0,1" newline bitfld.long 0x04 2. "ELSI,Receiver line status interrupt enable" "ELSI_0,ELSI_1" bitfld.long 0x04 1. "ETBEI,Transmitter holding register empty interrupt enable" "ETBEI_0,ETBEI_1" newline bitfld.long 0x04 0. "ERBI,Receiver data available interrupt and character timeout indication interrupt enable" "ERBI_0,ERBI_1" line.long 0x08 "PRUSS_UART_INTERRUPT_IDENTIFICATION_REGISTER_FIFO_CONTROL_REGISTER,The Interrupt identification register is a read-only register at the same address as the FIFO control register. which is a write-only register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 6.--7. "FIFOEN_RXFIFTL,Read: FIFOs" "FIFOEN_RXFIFTL_0,FIFOEN_RXFIFTL_1,FIFOEN_RXFIFTL_2,FIFOEN_RXFIFTL_3" newline rbitfld.long 0x08 4.--5. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x08 1.--3. "INTID,Read: Interrupt type" "?,RXCLR,TXCLR,DMAMODE1,?..." newline bitfld.long 0x08 0. "IPEND_FIFOEN,Read: Interrupt pending" "IPEND_FIFOEN_0,IPEND_FIFOEN_1" line.long 0x0C "PRUSS_UART_LINE_CONTROL_REGISTER,The system programmer controls the format of the asynchronous data communication exchange by using Line control register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "DLAB,Divisor latch access bit" "DLAB_0,DLAB_1" newline bitfld.long 0x0C 6. "BC,Break control" "BC_0,BC_1" bitfld.long 0x0C 5. "SP,Stick parity" "SP_0,SP_1" newline bitfld.long 0x0C 4. "EPS,Even parity select" "EPS_0,EPS_1" bitfld.long 0x0C 3. "PEN,Parity enable" "PEN_0,PEN_1" newline bitfld.long 0x0C 2. "STB,Number of STOP bits generated" "STB_0,STB_1" bitfld.long 0x0C 0.--1. "WLS,Word length select" "WLS_0,WLS_1,WLS_2,WLS_3" line.long 0x10 "PRUSS_UART_MODEM_CONTROL_REGISTER,The Modem control register provides the ability to enable/disable the autoflow functions. and enable/disable the loopback function for diagnostic purposes" hexmask.long 0x10 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 5. "AFE,Autoflow control enable" "AFE_0,AFE_1" newline bitfld.long 0x10 4. "LOOP,Loop back mode enable" "LOOP_0,LOOP_1" bitfld.long 0x10 3. "OUT2,OUT2 Control Bit" "0,1" newline bitfld.long 0x10 2. "OUT1,OUT1 Control Bit" "0,1" bitfld.long 0x10 1. "RTS,RTS control" "RTS_0,RTS_1" newline rbitfld.long 0x10 0. "RESERVED,Reserved" "0,1" line.long 0x14 "PRUSS_UART_LINE_STATUS_REGISTER,The Line status register provides information to the CPU concerning the status of data transfers" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "RXFIFOE,Receiver FIFO error" "RXFIFOE_0,RXFIFOE_1" newline bitfld.long 0x14 6. "TEMT,Transmitter empty (TEMT) indicator" "TEMT_0,TEMT_1" bitfld.long 0x14 5. "THRE,Transmitter holding register empty (THRE) indicator" "THRE_0,THRE_1" newline bitfld.long 0x14 4. "BI,Break indicator" "BI_0,BI_1" bitfld.long 0x14 3. "FE,Framing error (FE) indicator" "FE_0,FE_1" newline bitfld.long 0x14 2. "PE,Parity error (PE) indicator" "PE_0,PE_1" bitfld.long 0x14 1. "OE,Overrun error (OE) indicator" "OE_0,OE_1" newline bitfld.long 0x14 0. "DR,Data-ready (DR) indicator for the receiver" "DR_0,DR_1" line.long 0x18 "PRUSS_UART_MODEM_STATUS_REGISTER,The Modem status register provides information to the CPU concerning the status of modem control signals" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 7. "CD,Complement of the Carrier Detect input" "0,1" newline bitfld.long 0x18 6. "RI,Complement of the Ring Indicator input" "0,1" bitfld.long 0x18 5. "DSR,Complement of the Data Set Ready input" "0,1" newline bitfld.long 0x18 4. "CTS,Complement of the Clear To Send input" "0,1" bitfld.long 0x18 3. "DCD,Change in DCD indicator bit" "0,1" newline bitfld.long 0x18 2. "TERI,Trailing edge of RI (TERI) indicator bit" "0,1" bitfld.long 0x18 1. "DDSR,Change in DSR indicator bit" "0,1" newline bitfld.long 0x18 0. "DCTS,Change in CTS indicator bit" "0,1" line.long 0x1C "PRUSS_UART_SCRATCH_REGISTER,The Scratch Pad register is intended for programmer's use as a scratch pad" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x1C 0.--7. 1. "SCR,These bits are intended for the programmer's use as a scratch pad in the sense that it temporarily holds the programmer's data without affecting any other UART operation" line.long 0x20 "PRUSS_UART_DIVISOR_REGISTER_LSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x20 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x20 0.--7. 1. "DLL,The 8 least-significant bits (LSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x24 "PRUSS_UART_DIVISOR_REGISTER_MSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x24 0.--7. 1. "DLH,The 8 most-significant bits (MSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x28 "PRUSS_UART_PERIPHERAL_ID_REGISTER,Peripheral Identification register" group.long 0x30++0x07 line.long 0x00 "PRUSS_UART_POWERMANAGEMENT_AND_EMULATION_REGISTER,Power and emulation management register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 14. "UTRST,UART transmitter reset" "UTRST_0,UTRST_1" bitfld.long 0x00 13. "URRST,UART receiver reset" "URRST_0,URRST_1" newline hexmask.long.word 0x00 1.--12. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FREE,Free-running enable mode bit" "FREE_0,FREE_1" line.long 0x04 "PRUSS_UART_MODE_DEFINITION_REGISTER,The Mode definition register determines the over-sampling mode for the UART" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "OSM_SEL,Over-Sampling Mode Select" "OSM_SEL_0,OSM_SEL_1" tree.end tree "PRUSS2_CFG" base ad:0x4B2A6000 rgroup.long 0x00++0x23 line.long 0x00 "PRUSS_REVID,The Revision Register contains the ID and revision information" line.long 0x04 "PRUSS_SYSCFG,The System Configuration Register defines the power IDLE and STANDBY modes" hexmask.long 0x04 6.--31. 1. "RESERVED," bitfld.long 0x04 5. "SUB_MWAIT,Status bit for wait state" "Ready for Transaction,Wait until 0" newline bitfld.long 0x04 4. "STANDBY_INIT," "0,1" bitfld.long 0x04 2.--3. "STANDBY_MODE," "?,No standby mode,Smart standby mode,Reserved" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode,Reserved" line.long 0x08 "PRUSS_GPCFG0,The General Purpose Configuration 0 Register defines the GPI O configuration for PRU0" rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x08 26.--29. "PR1_PRU0_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 25. "PRU0_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x08 20.--24. "PRU0_GPO_DIV1,Divisor value (divide by PRU0_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 15.--19. "PRU0_GPO_DIV0,Divisor value (divide by PRU0_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 14. "PRU0_GPO_MODE," "0,1" newline bitfld.long 0x08 13. "PRU0_GPI_SB,Start Bit event for 28-bit shift mode" "No Effect,Will clear PRU0_GPI_SB.." bitfld.long 0x08 8.--12. "PRU0_GPI_DIV1,Divisor value (divide by PRU0_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 3.--7. "PRU0_GPI_DIV0,Divisor value (divide by PRU0_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 2. "PRU0_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru0_r31_status[16],Use the negative edge of pru0_r31_status[16]" newline bitfld.long 0x08 0.--1. "PRU0_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x0C "PRUSS_GPCFG1,The General Purpose Configuration 1 Register defines the GPI O configuration for PRU1" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 26.--29. "PR1_PRU1_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 25. "PRU1_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x0C 20.--24. "PRU1_GPO_DIV1,Divisor value (divide by PRU1_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 15.--19. "PRU1_GPO_DIV0,Divisor value (divide by PRU1_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 14. "PRU1_GPO_MODE," "0,1" newline bitfld.long 0x0C 13. "PRU1_GPI_SB,28-bit shift mode Start Bit event" "No Effect,Will clear PRU1_GPI_SB.." bitfld.long 0x0C 8.--12. "PRU1_GPI_DIV1,Divisor value (divide by PRU1_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 3.--7. "PRU1_GPI_DIV0,Divisor value (divide by PRU1_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 2. "PRU1_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru1_r31_status[16],Use the negative edge of pru1_r31_status[16]" newline bitfld.long 0x0C 0.--1. "PRU1_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x10 "PRUSS_CGR,The Clock Gating Register controls the state of Clock Management of the different modules" hexmask.long.word 0x10 18.--31. 1. "RESERVED," bitfld.long 0x10 17. "IEP_CLK_EN,IEP clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 16. "IEP_CLK_STOP_ACK,Acknowledgement that IEP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 15. "IEP_CLK_STOP_REQ,IEP request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 14. "ECAP_CLK_EN,ECAP clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 13. "ECAP_CLK_STOP_ACK,Acknowledgement that ECAP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 12. "ECAP_CLK_STOP_REQ,ECAP request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 11. "UART_CLK_EN,UART clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 10. "UART_CLK_STOP_ACK,Acknowledgement that UART clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 9. "UART_CLK_STOP_REQ,UART request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 8. "PRUSS_INTC_CLK_EN,PRUSS_INTC clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 7. "PRUSS_INTC_CLK_STOP_ACK,Acknowledgement that PRUSS_INTC clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 6. "PRUSS_INTC_CLK_STOP_REQ,PRUSS_INTC request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 5. "PRU1_CLK_EN,PRU1 clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 4. "PRU1_CLK_STOP_ACK,Acknowledgement that PRU1 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 3. "PRU1_CLK_STOP_REQ,PRU1 request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 2. "PRU0_CLK_EN,PRU0 clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 1. "PRU0_CLK_STOP_ACK,Acknowledgement that PRU0 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 0. "PRU0_CLK_STOP_REQ,PRU0 request to stop clock" "do not request to stop Clock,request to stop Clock" line.long 0x14 "PRUSS_ISRP,The IRQ Status Raw Parity register is a snapshot of the IRQ raw status for the PRUSS memory parity events" hexmask.long.word 0x14 20.--31. 1. "RESERVED," bitfld.long 0x14 16.--19. "RAM_PE_RAW,RAM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 12.--15. "PRU1_DMEM_PE_RAW,PRU1 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 8.--11. "PRU1_IMEM_PE_RAW,PRU1 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 4.--7. "PRU0_DMEM_PE_RAW,PRU0 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 0.--3. "PRU0_IMEM_PE_RAW,PRU0 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." line.long 0x18 "PRUSS_ISP,The IRQ Status Parity Register is a snapshot of the IRQ status for the PRUSS memory parity events" hexmask.long.word 0x18 20.--31. 1. "RESERVED," bitfld.long 0x18 16.--19. "RAM_PE,RAM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 12.--15. "PRU1_DMEM_PE,PRU1 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." bitfld.long 0x18 8.--11. "PRU1_IMEM_PE,PRU1 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 4.--7. "PRU0_DMEM_PE,PRU0 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No(enabled) event pending,Clear event,?..." bitfld.long 0x18 0.--3. "PRU0_IMEM_PE,PRU0 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." line.long 0x1C "PRUSS_IESP,The IRQ Enable Set Parity Register enables the IRQ PRUSS memory parity events" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," bitfld.long 0x1C 16.--19. "RAM_PE_SET,RAM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 12.--15. "PRU1_DMEM_PE_SET,PRU1 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 8.--11. "PRU1_IMEM_PE_SET,PRU1 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 4.--7. "PRU0_DMEM_PE_SET,PRU0 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 0.--3. "PRU0_IMEM_PE_SET,PRU0 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." line.long 0x20 "PRUSS_IECP,The IRQ Enable Clear Parity Register disables the IRQ PRUSS memory parity events" hexmask.long.word 0x20 16.--31. 1. "RESERVED," bitfld.long 0x20 12.--15. "PRU1_DMEM_PE_CLR,PRU1 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 8.--11. "PRU1_IMEM_PE_CLR,PRU1 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." bitfld.long 0x20 4.--7. "PRU0_DMEM_PE_CLR,PRU0 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 0.--3. "PRU0_IMEM_PE_CLR,PRU0 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." group.long 0x28++0x0F line.long 0x00 "PRUSS_PMAO,The PRU Master OCP Address Offset Register enables for the PRU OCP Master Port Address to have an offset of minus 0x0008_0000" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "PMAO_PRU1,PRU1 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" newline bitfld.long 0x00 0. "PMAO_PRU0,PRU0 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" line.long 0x04 "PRUSS_MII_RT,The MII_RT Event Enable Register enables MII_RT mode events to the PRUSS.PRUSS_INTC" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x08 "PRUSS_IEPCLK,The IEP Clock Source Register defines the source of the IEP clock" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x0C "PRUSS_SPP,The Scratch Pad Priority and Configuration Register defines the access priority assigned to the PRU cores and configures the scratch pad XFR shift functionality" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 1. "XFR_SHIFT_EN,Enables XIN XOUT shift functionality" "Disabled,Enabled" newline bitfld.long 0x0C 0. "PRU1_PAD_HP_EN,Defines which PRU wins write cycle arbitration to a common scratch pad bank" "PRU0 has highest priority,PRU1 has highest priority" group.long 0x40++0x03 line.long 0x00 "PRUSS_PIN_MX,The Pin Mux Select Register defines the state of the PRUSS internal pinmuxing" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 9. "PWM3_REMAP_EN,UNUSED IN THIS DEVICE" "0,1" newline bitfld.long 0x00 8. "PWM0_REMAP_EN,If enabled host intr6 of PRUSS2 controls epwm_sync_in of PWMSS1 instead of ehrpwm1_synci device pin" "0,1" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" tree.end tree "PRUSS2_ECAP" base ad:0x4B2B0000 group.long 0x00++0x17 line.long 0x00 "PRUSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PRUSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PRUSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PRUSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PRUSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PRUSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x09 line.word 0x00 "PRUSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPRUSS_ECAP_CAP1 to PRUSS_ECAP_CAP4 registers on a capture event" "Disable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register..,Enable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PRUSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "ECAP module operates in capture mode,ECAP module operates in APWM mode" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select CTR = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PRUSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PRUSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PRUSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." group.word 0x34++0x01 line.word 0x00 "PRUSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED," bitfld.word 0x00 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the CTR=CMP flag bit" newline bitfld.word 0x00 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the CTR=PRD flag bit" bitfld.word 0x00 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x00 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x00 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x00 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x00 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x00 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PRUSS_ECAP_PID,ECAP Revision ID" tree.end tree "PRUSS2_FW" base ad:0x4A177000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "PRUSS2_FW_CFG_TARG" base ad:0x4A178000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PRUSS2_IEP" base ad:0x4B2AE000 group.long 0x00++0x1F line.long 0x00 "PRUSS_IEP_GLOBAL_CFG,GLOBAL CFG" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.word 0x00 8.--19. 1. "CMP_INC,Defines the increment value when compensation is active" newline bitfld.long 0x00 4.--7. "DEFAULT_INC,Defines the default increment value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CNT_ENABLE,Counter enable" "Disables the counter,Enables the counter" line.long 0x04 "PRUSS_IEP_STATUS,STATUS" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "CNT_OVF,Counter overflow status" "No overflow,Overflow occurred" line.long 0x08 "PRUSS_IEP_COMPENSATION,COMPENSATION" hexmask.long.byte 0x08 24.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x08 0.--23. 1. "COMPEN_CNT,Compensation counter" line.long 0x0C "PRUSS_IEP_SLOW_COMPENSATION,SLOW COMPENSATION" line.long 0x10 "PRUSS_IEP_LOW_COUNTER,64 bit count value low" line.long 0x14 "PRUSS_IEP_HIGH_COUNTER,64 bit count value high" line.long 0x18 "PRUSS_IEP_CAPTURE_CFG,CAPTURE CFG" hexmask.long.word 0x18 18.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 10.--17. 1. "CAP_ASYNC_EN,Synchronization of the capture inputs to the PRUSS_IEP_CLK/PRUSS_GICLK enable" newline bitfld.long 0x18 9. "CAP7F_1ST_EVENT_EN,Capture 1st Event Enable for cap[7] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 8. "CAP7R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[7] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 7. "CAP6F_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 6. "CAP6R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 0.--5. "CAP_1ST_EVENT_EN,Capture 1-st Event Enable for n" "Continues mode,First Event mode,?..." line.long 0x1C "PRUSS_IEP_CAPTURE_STATUS,CAPTURE STATUS" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," newline abitfld.long 0x1C 16.--23. "CAP_RAW,Raw/Current status bit for each of the capture registers where CAP_RAW[n] maps to CAPR[n]" "0x00=Current state is low for capn,0x01=Current state is high for capn" newline bitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 10. "CAP_VALID,Valid status for capture function" "0,1" newline bitfld.long 0x1C 9. "CAPF7_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 8. "CAPR7_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 7. "CAPF6_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 6. "CAPR6_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 0.--5. "CAPR_VALID,Valid Status capr_validn maps PRUSS_IEP_CAPRn_REG where n=0 to 5 " "No Hit no capture event occurred,Hit capture event occurred,?..." rgroup.long 0x50++0x27 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE06,CAPTURE RISE6 low" line.long 0x04 "PRUSS_IEP_CAPTURE_RISE16,CAPTURE RISE6 high" line.long 0x08 "PRUSS_IEP_CAPTURE_FALL06,CAPTURE FALL6 low" line.long 0x0C "PRUSS_IEP_CAPTURE_FALL16,CAPTURE FALL6 high" line.long 0x10 "PRUSS_IEP_CAPTURE_RISE07,CAPTURE RISE7 low" line.long 0x14 "PRUSS_IEP_CAPTURE_RISE17,CAPTURE RISE7 high" line.long 0x18 "PRUSS_IEP_CAPTURE_FALL07,CAPTURE FALL7 low" line.long 0x1C "PRUSS_IEP_CAPTURE_FALL17,CAPTURE FALL7 high" line.long 0x20 "PRUSS_IEP_COMPARE_CFG,COMPARE CFG" hexmask.long.word 0x20 17.--31. 1. "RESERVED," newline hexmask.long.word 0x20 1.--16. 1. "CMP_EN,Enable bits for each of the compare registers CMP_EN =" newline bitfld.long 0x20 0. "CMP0_RST_CNT_EN,Enable the reset of the counter" "Disable,Enable the reset of.." line.long 0x24 "PRUSS_IEP_COMPARE_STATUS,COMPARE STATUS" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline abitfld.long 0x24 0.--15. "CMP_HIT,Status bit for each of the compare registers 'Match' indicates the current counter is greater than or equal to the compare value" "0x0000=No match has occured..,0x0001=A match occured" group.long 0xB8++0x07 line.long 0x00 "PRUSS_IEP_RXIPG0,RXIPG0 This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x00 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x00 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" line.long 0x04 "PRUSS_IEP_RXIPG1,RXIPG1This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x04 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x04 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" group.long 0x100++0x0B line.long 0x00 "PRUSS_IEP_LOW_COUNTER_RESET_VALUE,LOW_COUNTER_RESET_VALUE" line.long 0x04 "PRUSS_IEP_HIGH_COUNTER_RESET_VALUE,HIGH_COUNTER_RESET_VALUE" line.long 0x08 "PRUSS_IEP_PWM,PWM Sync Out" hexmask.long 0x08 4.--31. 1. "RESERVED," newline bitfld.long 0x08 3. "PWM3_HIT,The raw status bit of pwm3_sync_out event" "No pwm3_sync_out event,pwm3_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 2. "PWM3_RST_CNT_EN,Enable the reset of the counter by a pwm3_sync_out event" "Disable,Enable the reset of.." newline bitfld.long 0x08 1. "PWM0_HIT,The raw status bit of pwm0_sync_out event" "No pwm0_sync_out event,pwm0_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 0. "PWM0_RST_CNT_EN,Enable the reset of the counter by a pwm0_sync_out event" "Disable,Enable the reset of.." group.long 0x180++0x1F line.long 0x00 "PRUSS_IEP_SYNC_CTRL,SYNC CTRL" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "SYNC1_IND_EN,SYNC1 independent mode enable" "Dependent mode,Independent mode" newline bitfld.long 0x00 7. "SYNC1_CYCLIC_EN,SYNC1 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 6. "SYNC1_ACK_EN,SYNC1 acknowledgement mode enable" "Disable SYNC1 will go low after pulse width is met,Enable SYNC1 will remain asserted until.." newline bitfld.long 0x00 5. "SYNC0_CYCLIC_EN,SYNC0 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 4. "SYNC0_ACK_EN,SYNC0 acknowledgement mode enable" "Disable SYNC0 will go low after pulse width is met,Enable SYNC0 will remain asserted until.." newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "SYNC1_EN,SYNC1 generation enable" "Disable SYNC1 generation,Enable SYNC1 generation" newline bitfld.long 0x00 1. "SYNC0_EN,SYNC0 generation enable" "Disable SYNC0 generation,Enable SYNC0 generation" newline bitfld.long 0x00 0. "SYNC_EN,SYNC generation enable" "Disable the generation and clocking of SYNC0 and..,Enables SYNC0 and SYNC1 generation" line.long 0x04 "PRUSS_IEP_SYNC_FIRST_STAT,SYNC CTRL" hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 1. "FIRST_SYNC1,SYNC1 First Event status" "SYNC1 first event has NOT occurred,SYNC1 first event has occurred" newline bitfld.long 0x04 0. "FIRST_SYNC0,SYNC0 First Event status" "SYNC0 first event has not occurred,SYNC0 first event has occurred" line.long 0x08 "PRUSS_IEP_SYNC0_STAT,SYNC CTRL" hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "SYNC0_PEND,SYNC0 pending state" "0,1" line.long 0x0C "PRUSS_IEP_SYNC1_STAT,SYNC CTRL" hexmask.long 0x0C 1.--31. 1. "RESERVED," newline bitfld.long 0x0C 0. "SYNC1_PEND,SYNC1 pending state" "SYNC1 is not pending,SYNC1 is pending or has occurred when.." line.long 0x10 "PRUSS_IEP_SYNC_PWIDTH,SYNC CTRL" line.long 0x14 "PRUSS_IEP_SYNC0_PERIOD,SYNC CTRL" line.long 0x18 "PRUSS_IEP_SYNC1_DELAY,SYNC CTRL" line.long 0x1C "PRUSS_IEP_SYNC_START,SYNC CTRL" group.long 0x200++0x17 line.long 0x00 "PRUSS_IEP_WD_PREDIV,WD" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--15. 1. "PRE_DIV,Defines the number of iep_clk cycles per WD clock event" line.long 0x04 "PRUSS_IEP_PDI_WD_TIM,WD" hexmask.long.word 0x04 16.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--15. 1. "PDI_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x08 "PRUSS_IEP_PD_WD_TIM,WD" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline hexmask.long.word 0x08 0.--15. 1. "PD_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x0C "PRUSS_IEP_WD_STATUS,WD" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline bitfld.long 0x0C 16. "PDI_WD_STAT,WD PDI status" "Expired (PDI_WD_EXP event generated),Active or disabled" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "PD_WD_STAT,WD PD status (triggered by Sync Mangers status)" "Expired (PD_WD_EXP event generated),Active or disabled" line.long 0x10 "PRUSS_IEP_WD_EXP_CNT,WD" hexmask.long.word 0x10 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 8.--15. 1. "PD_EXP_CNT,WD PD expiration counter" newline hexmask.long.byte 0x10 0.--7. 1. "PDI_EXP_CNT,WD PDI expiration counter" line.long 0x14 "PRUSS_IEP_WD_CTRL,WD" hexmask.long.word 0x14 17.--31. 1. "RESERVED," newline bitfld.long 0x14 16. "PDI_WD_EN,Watchdog PDI" "Disable,Enable" newline hexmask.long.word 0x14 1.--15. 1. "RESERVED," newline bitfld.long 0x14 0. "PD_WD_EN,Watchdog PD" "Disable,Enable" group.long 0x300++0x03 line.long 0x00 "PRUSS_IEP_DIGIO_CTRL,DIGIO" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline bitfld.long 0x00 6.--7. "OUT_MODE,Defines event that triggers data out to be updated" "0,1,2,3" newline bitfld.long 0x00 4.--5. "IN_MODE,Defines event that triggers data in to be sampled" "0,1,2,3" newline bitfld.long 0x00 3. "WD_MODE,Defines Watchdog behavior" "0,1" newline rbitfld.long 0x00 2. "BIDI_MODE,Indicates the digital input/output direction" "0,1" newline bitfld.long 0x00 1. "OUTVALID_MODE,Defines OUTVALID mode" "0,1" newline rbitfld.long 0x00 0. "OUTVALID_POL,Indicates OUTVALID polarity" "0,1" rgroup.long 0x308++0x13 line.long 0x00 "PRUSS_IEP_DIGIO_DATA_IN,DIGIO" line.long 0x04 "PRUSS_IEP_DIGIO_DATA_IN_RAW,DIGIO" line.long 0x08 "PRUSS_IEP_DIGIO_DATA_OUT,DIGIO" line.long 0x0C "PRUSS_IEP_DIGIO_DATA_OUT_EN,DIGIO" line.long 0x10 "PRUSS_IEP_DIGIO_EXP,DIGIO" hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline bitfld.long 0x10 13. "EOF_SEL,Defines which RX_EOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 12. "SOF_SEL,Defines which RX_SOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 8.--11. "SOF_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay of SOF PR1_EDIO_DATA_IN[31:0] capture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 4.--7. "OUTVALID_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay on assertion of PR1_EDIO_OUTVALID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "SW_OUTVALID,pr1_edio_outvalid = SW_OUTVALID only if OUTVALID_OVR_EN is set" "0,1" newline bitfld.long 0x10 1. "OUTVALID_OVR_EN,Enable software to control value of pr<k>_edio_data_out [7:0]" "Disable,Enable" newline bitfld.long 0x10 0. "SW_DATA_OUT_UPDATE,Defines the value of pr1_edio_data_out when OUTVALID_OVR_EN = 1.Read" "No Effect,pr1_edio_data_out by.." repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x104)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1k_$1,COMPARE(k) high" repeat.end repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x100)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0k_$1,COMPARE(k) low" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7C)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1j_$1,COMPARE(j) high" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x78)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0j_$1,COMPARE(j) low" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x24)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE1i_$1,CAPTURE RISE(i) high" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x20)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE0i_$1,CAPTURE RISE(i) low" repeat.end tree.end tree "PRUSS2_INTC" base ad:0x4B2A0000 rgroup.long 0x00++0x07 line.long 0x00 "PRUSS_INTC_REVID,Revision ID Register" line.long 0x04 "PRUSS_INTC_CR,The Control Register holds global control parameters and can forces a soft reset on the module" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "PRIORITY_HOLD_MODE,Reserved" "0,1" newline bitfld.long 0x04 2.--3. "NEST_MODE,The nesting mode" "no nesting,automatic individual nesting (per host interrupt),automatic global nesting (over all host..,manual nesting" bitfld.long 0x04 1. "WAKEUP_MODE,Reserved" "0,1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" group.long 0x10++0x03 line.long 0x00 "PRUSS_INTC_GER,The Global Host Interrupt Enable Register enables all the host interrupts" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "ENABLE_HINT_ANY,The current global enable value when" "0,1" group.long 0x1C++0x13 line.long 0x00 "PRUSS_INTC_GNLR,The Global Nesting Level Register allows the checking and setting of the global nesting level across all host interrupts when automatic global nesting mode is set" bitfld.long 0x00 31. "AUTO_OVERRIDE,Always read as 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "GLB_NEST_LEVEL,The current global nesting level (highest channel that is nested)" line.long 0x04 "PRUSS_INTC_SISR,The System Interrupt Status Indexed Set Register allows setting the status of an interrupt" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "STATUS_SET_INDEX,Writes set the status of the interrupt given in the index value" line.long 0x08 "PRUSS_INTC_SICR,The System Interrupt Status Indexed Clear Register allows clearing the status of an interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," hexmask.long.word 0x08 0.--9. 1. "STATUS_CLR_INDEX,Writes clear the status of the interrupt given in the index value" line.long 0x0C "PRUSS_INTC_EISR,The System Interrupt Enable Indexed Set Register allows enabling an interrupt" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--9. 1. "ENABLE_SET_INDEX,Writes set the enable of the interrupt given in the index value" line.long 0x10 "PRUSS_INTC_EICR,The System Interrupt Enable Indexed Clear Register allows disabling an interrupt" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," hexmask.long.word 0x10 0.--9. 1. "ENABLE_CLR_INDEX,Writes clear the enable of the interrupt given in the index value" group.long 0x34++0x07 line.long 0x00 "PRUSS_INTC_HIEISR,The Host Interrupt Enable Indexed Set Register allows enabling a host interrupt output" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "HINT_ENABLE_SET_INDEX,Writes set the enable of the host interrupt given in the index value" line.long 0x04 "PRUSS_INTC_HIDISR,The Host Interrupt Enable Indexed Clear Register allows disabling a host interrupt output" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "HINT_ENABLE_CLR_INDEX,Writes clear the enable of the host interrupt given in the index value" rgroup.long 0x80++0x03 line.long 0x00 "PRUSS_INTC_GPIR,The Global Prioritized Index Register shows the interrupt number of the highest priority interrupt pending across all the host interrupts" bitfld.long 0x00 31. "GLB_NONE,No Interrupt is pending" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "GLB_PRI_INTR,The currently highest priority interrupt index pending across all the host interrupts" group.long 0x200++0x07 line.long 0x00 "PRUSS_INTC_SRSR0,The System Interrupt Status Raw Set Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SRSR1,The System Interrupt Status Raw Set Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x280++0x07 line.long 0x00 "PRUSS_INTC_SECR0,The System Interrupt Status Enabled Clear Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SECR1,The System Interrupt Status Enabled Clear Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x300++0x07 line.long 0x00 "PRUSS_INTC_ESR0,The System Interrupt Enable Set Register0 enables system interrupts 0 to 31 to trigger outputs" line.long 0x04 "PRUSS_INTC_ERS1,The System Interrupt Enable Set Register1 enables system interrupts 32 to 63 to trigger outputs" group.long 0x380++0x07 line.long 0x00 "PRUSS_INTC_ECR0,The System Interrupt Enable Clear Register0 disables system interrupts 0 to 31 to map to channels" line.long 0x04 "PRUSS_INTC_ECR1,The System Interrupt Enable Clear Register1 disables system interrupts 32 to 63 to map to channels" group.long 0x800++0x0B line.long 0x00 "PRUSS_INTC_HMR0,The Host Interrupt Map Register0 define the host interrupt for channels 0 to 3" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "HINT_MAP_3,HOST INTERRUPT MAP FOR CHANNEL 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "HINT_MAP_2,HOST INTERRUPT MAP FOR CHANNEL 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "HINT_MAP_1,HOST INTERRUPT MAP FOR CHANNEL 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "HINT_MAP_0,HOST INTERRUPT MAP FOR CHANNEL 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PRUSS_INTC_HMR1,The Host Interrupt Map Register1 define the host interrupt for channels 4 to 7" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. "HINT_MAP_7,HOST INTERRUPT MAP FOR CHANNEL 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. "HINT_MAP_6,HOST INTERRUPT MAP FOR CHANNEL 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. "HINT_MAP_5,HOST INTERRUPT MAP FOR CHANNEL 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. "HINT_MAP_4,HOST INTERRUPT MAP FOR CHANNEL 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PRUSS_INTC_HMR2,The Host Interrupt Map Register2 define the host interrupt for channels 8 to 9" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 8.--11. "HINT_MAP_9,HOST INTERRUPT MAP FOR CHANNEL 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. "HINT_MAP_8,HOST INTERRUPT MAP FOR CHANNEL 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD00++0x07 line.long 0x00 "PRUSS_INTC_SIPR0,The System Interrupt Polarity Register0 define the polarity of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SIPR1,The System Interrupt Polarity Register1 define the polarity of the system interrupts 32 to 63" group.long 0xD80++0x07 line.long 0x00 "PRUSS_INTC_SITR0,The System Interrupt Type Register0 define the type of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SITR1,The System Interrupt Type Register1 define the type of the system interrupts 32 to 63" group.long 0x1500++0x03 line.long 0x00 "PRUSS_INTC_HIER,The Host Interrupt Enable Registers enable or disable individual host interrupts" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," abitfld.long 0x00 0.--9. "ENABLE_HINT,The enable of the host interrupts (one per bit)" "0x000=disabled,0x001=enabled" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1100)++0x03 line.long 0x00 "PRUSS_INTC_HINLRj_$1,The Host Interrupt Nesting Level Register_j (where j=0 to 9) display and control the nesting level for host interrupt j" bitfld.long 0x00 31. "AUTO_OVERRIDE,Reads return 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "NEST_HINT,Reads return the current nesting level for the host interrupt" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) rgroup.long ($2+0x900)++0x03 line.long 0x00 "PRUSS_INTC_HIPIRj_$1,The Host Interrupt Prioritized Index Register_j (where j=0 to 9) shows the highest priority current pending interrupt for the host interrupt j" bitfld.long 0x00 31. "NONE_HINT,No pending interrupt" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "PRI_HINT,HOST INT j PRIORITIZED INTERRUPT" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x03 line.long 0x00 "PRUSS_INTC_CMRi_$1,There are 16 identical CMR registers (i=0 to 15)" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CH_MAP_3,Sets the channel for the system interrupt (k+3)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CH_MAP_2,Sets the channel for the system interrupt (k+2)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CH_MAP_1,Sets the channel for the system interrupt (k+1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CH_MAP_0,Sets the channel for the system interrupt k" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PRUSS2_MII_MDIO" base ad:0x4B2B2400 rgroup.long 0x00++0x17 line.long 0x00 "PRUSS_MII_MDIO_VER,MDIO MODULE VERSION REGISTER" line.long 0x04 "PRUSS_MII_MDIO_CONTROL,MDIO MODULE CONTROL REGISTER" rbitfld.long 0x04 31. "IDLE,MDIO state machine IDLE" "0,1" bitfld.long 0x04 30. "ENABLE,Enable control" "0,1" rbitfld.long 0x04 29. "RESERVED," "0,1" rbitfld.long 0x04 24.--28. "HIGHEST_USER_CHANNEL,Highest user channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 20. "PREAMBLE,Preamble disable" "0,1" bitfld.long 0x04 19. "FAULT,Fault indicator" "0,1" bitfld.long 0x04 18. "FAULT_DETECT_ENABLE,Fault detect enable" "0,1" newline bitfld.long 0x04 17. "INT_TEST_ENABLE,Interrupt test enable" "0,1" rbitfld.long 0x04 16. "RESERVED," "0,1" hexmask.long.word 0x04 0.--15. 1. "CLKDIV,Clock Divider" line.long 0x08 "PRUSS_MII_MDIO_ALIVE,PHY ACKNOWLEDGE STATUS REGISTER" line.long 0x0C "PRUSS_MII_MDIO_LINK,PHY LINK STATUS REGISTER" line.long 0x10 "PRUSS_MII_MDIO_LINKINTRAW,LINK STATUS CHANGE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x10 2.--31. 1. "RESERVED," bitfld.long 0x10 0.--1. "LINKINTRAW,MDIO link change event raw value" "0,1,2,3" line.long 0x14 "PRUSS_MII_MDIO_LINKINTMASKED,LINK STATUS CHANGE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x14 2.--31. 1. "RESERVED," bitfld.long 0x14 0.--1. "LINKINTMASKED,MDIO link change interrupt masked value" "0,1,2,3" group.long 0x20++0x0F line.long 0x00 "PRUSS_MII_MDIO_USERINTRAW,USER COMMAND COMPLETE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "USERINTRAW,Raw value of MDIO user command complete event for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x04 "PRUSS_MII_MDIO_USERINTMASKED,USER COMMAND COMPLETE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 0.--1. "USERINTMASKED,Masked value of MDIO user command complete interrupt for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x08 "PRUSS_MII_MDIO_USERINTMASKSET,USER INTERRUPT MASK SET REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 0.--1. "USERINTMASKEDSET,MDIO user interrupt mask set for userintmasked[1:0] respectively" "0,1,2,3" line.long 0x0C "PRUSS_MII_MDIO_USERINTMASKCLR,USER INTERRUPT MASK CLEAR REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 0.--1. "USERINTMASKEDCLR,MDIO user command complete interrupt mask clear for userintmasked[1:0] respectively" "0,1,2,3" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x84)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERPHYSEL$1,USER PHY SELECT REGISTER0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "LINKSEL,Link status determination select" "0,1" newline bitfld.long 0x00 6. "LINKINT_ENABLE,Link change interrupt enable" "0,1" rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 0.--4. "PHYADR_MON,PHY address whose link status is to be monitored" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x80)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERACCESS$1,USER ACCESS REGISTER0" bitfld.long 0x00 31. "GO,Go" "0,1" bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" repeat.end tree.end tree "PRUSS2_MII_RT" base ad:0x4B2B2000 group.long 0x00++0x07 line.long 0x00 "PRUSS_MII_RT_RXCFG0,MII RXCFG 0 REGISTER This register contains the PRU0 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline bitfld.long 0x00 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x00 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x00 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x00 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x00 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x00 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x00 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x00 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x00 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x00 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" line.long 0x04 "PRUSS_MII_RT_RXCFG1,MII RXCFG 1 REGISTER This register contains the PRU1 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline bitfld.long 0x04 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x04 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x04 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x04 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x04 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x04 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x04 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x04 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x04 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x04 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" group.long 0x10++0x07 line.long 0x00 "PRUSS_MII_RT_TXCFG0,MII TXCFG 0 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "TX_32_MODE_EN," "0,1" newline rbitfld.long 0x00 10. "RESERVED," "0,1" newline bitfld.long 0x00 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x00 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If R30[15:8] = Byte1{Nibble3 Nibble2} R30[7:0] =..,If R30[15:8] = Byte0{Nibble1 Nibble0} R30[7:0] =.." newline bitfld.long 0x00 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x00 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x00 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." line.long 0x04 "PRUSS_MII_RT_TXCFG1,MII TXCFG 1 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 11. "TX_32_MODE_EN," "0,1" newline bitfld.long 0x04 10. "RESERVED," "0,1" newline bitfld.long 0x04 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x04 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0..,If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0.." newline bitfld.long 0x04 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x04 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x04 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." rgroup.long 0x20++0x07 line.long 0x00 "PRUSS_MII_RT_TX_CRC0,MII TXCRC 0 REGISTER It contains CRC32 which PRU0 reads" line.long 0x04 "PRUSS_MII_RT_TX_CRC1,MII TXCRC 1 REGISTER It contains CRC32 which PRU1 reads" group.long 0x30++0x27 line.long 0x00 "PRUSS_MII_RT_TX_IPG0,MII TXIPG 0 REGISTER" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x04 "PRUSS_MII_RT_TX_IPG1,MII TXIPG 1 REGISTER" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x08 "PRUSS_MII_RT_PRS0,MII PORT STATUS 0 REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 1. "MII_CRS,Read the current state of pr1_mii0_crs" "0,1" newline bitfld.long 0x08 0. "MII_COL,Read the current state of pr1_mii0_col" "0,1" line.long 0x0C "PRUSS_MII_RT_PRS1,MII PORT STATUS 1 REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 1. "MII_CRS,Read the current state of pr1_mii1_crs" "0,1" newline bitfld.long 0x0C 0. "MII_COL,Read the current state of pr1_mii1_col" "0,1" line.long 0x10 "PRUSS_MII_RT_RX_FRMS0,MII RXFRMS 0 REGISTER" hexmask.long.word 0x10 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x10 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x14 "PRUSS_MII_RT_RX_FRMS1,MII RXFRMS 1 REGISTER" hexmask.long.word 0x14 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x14 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x18 "PRUSS_MII_RT_RX_PCNT0,MII RXPCNT 0 REGISTER" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline bitfld.long 0x18 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x18 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PRUSS_MII_RT_RX_PCNT1,MII RXPCNT 1 REGISTER" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED," newline bitfld.long 0x1C 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x1C 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "?,1 0x5 before 0xD5,2 0x5 before 0xD5 N,?..." line.long 0x20 "PRUSS_MII_RT_RX_ERR0,MII RXERR 0 REGISTER" hexmask.long 0x20 4.--31. 1. "RESERVED," newline bitfld.long 0x20 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" line.long 0x24 "PRUSS_MII_RT_RX_ERR1,MII RXERR 1 REGISTER" hexmask.long 0x24 4.--31. 1. "RESERVED," newline bitfld.long 0x24 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" rgroup.long 0x60++0x0F line.long 0x00 "PRUSS_MII_RT_RXFLV0,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline abitfld.long 0x00 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x04 "PRUSS_MII_RT_RXFLV1,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 1" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline abitfld.long 0x04 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x08 "PRUSS_MII_RT_TXFLV0,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 0" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline abitfld.long 0x08 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" line.long 0x0C "PRUSS_MII_RT_TXFLV1,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 1" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," newline abitfld.long 0x0C 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" tree.end tree "PRUSS2_PRU0_CTRL" base ad:0x4B2A2000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS2_PRU0_DEBUG" base ad:0x20AE2400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS2_PRU1_CTRL" base ad:0x4B2A4000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS2_PRU1_DEBUG" base ad:0x20AE4400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS2_TARG" base ad:0x44001500 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "PRUSS2_UART" base ad:0x4B2A8000 group.long 0x00++0x2B line.long 0x00 "PRUSS_UART_RBR_THR_REGISTERS,In the non-FIFO mode. when a character is placed in Receiver buffer register and the receiver data-ready interrupt is enabled (DR = 1 in Interrupt identification register). an interrupt is generated" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "DATA,Read: Read Receive Buffer RegisterWrite: Write Transmitter Holding Register" line.long 0x04 "PRUSS_UART_INTERRUPT_ENABLE_REGISTER,The Interrupt enable register is used to individually enable or disable each type of interrupt request that can be generated by the UART" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "EDSSI,Enable Modem Status Interrupt" "0,1" newline bitfld.long 0x04 2. "ELSI,Receiver line status interrupt enable" "ELSI_0,ELSI_1" bitfld.long 0x04 1. "ETBEI,Transmitter holding register empty interrupt enable" "ETBEI_0,ETBEI_1" newline bitfld.long 0x04 0. "ERBI,Receiver data available interrupt and character timeout indication interrupt enable" "ERBI_0,ERBI_1" line.long 0x08 "PRUSS_UART_INTERRUPT_IDENTIFICATION_REGISTER_FIFO_CONTROL_REGISTER,The Interrupt identification register is a read-only register at the same address as the FIFO control register. which is a write-only register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 6.--7. "FIFOEN_RXFIFTL,Read: FIFOs" "FIFOEN_RXFIFTL_0,FIFOEN_RXFIFTL_1,FIFOEN_RXFIFTL_2,FIFOEN_RXFIFTL_3" newline rbitfld.long 0x08 4.--5. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x08 1.--3. "INTID,Read: Interrupt type" "?,RXCLR,TXCLR,DMAMODE1,?..." newline bitfld.long 0x08 0. "IPEND_FIFOEN,Read: Interrupt pending" "IPEND_FIFOEN_0,IPEND_FIFOEN_1" line.long 0x0C "PRUSS_UART_LINE_CONTROL_REGISTER,The system programmer controls the format of the asynchronous data communication exchange by using Line control register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "DLAB,Divisor latch access bit" "DLAB_0,DLAB_1" newline bitfld.long 0x0C 6. "BC,Break control" "BC_0,BC_1" bitfld.long 0x0C 5. "SP,Stick parity" "SP_0,SP_1" newline bitfld.long 0x0C 4. "EPS,Even parity select" "EPS_0,EPS_1" bitfld.long 0x0C 3. "PEN,Parity enable" "PEN_0,PEN_1" newline bitfld.long 0x0C 2. "STB,Number of STOP bits generated" "STB_0,STB_1" bitfld.long 0x0C 0.--1. "WLS,Word length select" "WLS_0,WLS_1,WLS_2,WLS_3" line.long 0x10 "PRUSS_UART_MODEM_CONTROL_REGISTER,The Modem control register provides the ability to enable/disable the autoflow functions. and enable/disable the loopback function for diagnostic purposes" hexmask.long 0x10 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 5. "AFE,Autoflow control enable" "AFE_0,AFE_1" newline bitfld.long 0x10 4. "LOOP,Loop back mode enable" "LOOP_0,LOOP_1" bitfld.long 0x10 3. "OUT2,OUT2 Control Bit" "0,1" newline bitfld.long 0x10 2. "OUT1,OUT1 Control Bit" "0,1" bitfld.long 0x10 1. "RTS,RTS control" "RTS_0,RTS_1" newline rbitfld.long 0x10 0. "RESERVED,Reserved" "0,1" line.long 0x14 "PRUSS_UART_LINE_STATUS_REGISTER,The Line status register provides information to the CPU concerning the status of data transfers" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "RXFIFOE,Receiver FIFO error" "RXFIFOE_0,RXFIFOE_1" newline bitfld.long 0x14 6. "TEMT,Transmitter empty (TEMT) indicator" "TEMT_0,TEMT_1" bitfld.long 0x14 5. "THRE,Transmitter holding register empty (THRE) indicator" "THRE_0,THRE_1" newline bitfld.long 0x14 4. "BI,Break indicator" "BI_0,BI_1" bitfld.long 0x14 3. "FE,Framing error (FE) indicator" "FE_0,FE_1" newline bitfld.long 0x14 2. "PE,Parity error (PE) indicator" "PE_0,PE_1" bitfld.long 0x14 1. "OE,Overrun error (OE) indicator" "OE_0,OE_1" newline bitfld.long 0x14 0. "DR,Data-ready (DR) indicator for the receiver" "DR_0,DR_1" line.long 0x18 "PRUSS_UART_MODEM_STATUS_REGISTER,The Modem status register provides information to the CPU concerning the status of modem control signals" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 7. "CD,Complement of the Carrier Detect input" "0,1" newline bitfld.long 0x18 6. "RI,Complement of the Ring Indicator input" "0,1" bitfld.long 0x18 5. "DSR,Complement of the Data Set Ready input" "0,1" newline bitfld.long 0x18 4. "CTS,Complement of the Clear To Send input" "0,1" bitfld.long 0x18 3. "DCD,Change in DCD indicator bit" "0,1" newline bitfld.long 0x18 2. "TERI,Trailing edge of RI (TERI) indicator bit" "0,1" bitfld.long 0x18 1. "DDSR,Change in DSR indicator bit" "0,1" newline bitfld.long 0x18 0. "DCTS,Change in CTS indicator bit" "0,1" line.long 0x1C "PRUSS_UART_SCRATCH_REGISTER,The Scratch Pad register is intended for programmer's use as a scratch pad" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x1C 0.--7. 1. "SCR,These bits are intended for the programmer's use as a scratch pad in the sense that it temporarily holds the programmer's data without affecting any other UART operation" line.long 0x20 "PRUSS_UART_DIVISOR_REGISTER_LSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x20 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x20 0.--7. 1. "DLL,The 8 least-significant bits (LSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x24 "PRUSS_UART_DIVISOR_REGISTER_MSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x24 0.--7. 1. "DLH,The 8 most-significant bits (MSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x28 "PRUSS_UART_PERIPHERAL_ID_REGISTER,Peripheral Identification register" group.long 0x30++0x07 line.long 0x00 "PRUSS_UART_POWERMANAGEMENT_AND_EMULATION_REGISTER,Power and emulation management register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 14. "UTRST,UART transmitter reset" "UTRST_0,UTRST_1" bitfld.long 0x00 13. "URRST,UART receiver reset" "URRST_0,URRST_1" newline hexmask.long.word 0x00 1.--12. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FREE,Free-running enable mode bit" "FREE_0,FREE_1" line.long 0x04 "PRUSS_UART_MODE_DEFINITION_REGISTER,The Mode definition register determines the over-sampling mode for the UART" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "OSM_SEL,Over-Sampling Mode Select" "OSM_SEL_0,OSM_SEL_1" tree.end tree "PWM1_TARG" base ad:0x4843F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PWM2_TARG" base ad:0x48441000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PWM3_TARG" base ad:0x48443000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "PWMSS1_CFG" base ad:0x4843E000 rgroup.long 0x00++0x0F line.long 0x00 "PWMSS_IDVER,IP Revision Register" line.long 0x04 "PWMSS_SYSCONFIG,This register controls the PWMSSn (where n= 1 to 3) local Idle mode clock management and software reset" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline rbitfld.long 0x04 1. "RESERVED," "0,1" bitfld.long 0x04 0. "SOFTRESET,Software reset" "Software reset is completed,Software reset assertion" line.long 0x08 "PWMSS_CLKCONFIG,The clock configuration register is used in the PWMSSn (where n = 1 to 3) for clkstop req and clk_en control to the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "EPWM_CLKSTOP_REQ,This bit controls the clock stop input to the ePWM/eHRPWM module" "No effect,A request to stop.." newline bitfld.long 0x08 8. "EPWM_CLK_EN,This bit controls the interface clock enable (clk_en) input to the ePWM/eHRPWM module" "No effect,Enables the interface.." rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 5. "EQEP_CLKSTOP_REQ,This bit controls the clock stop input to the eQEP module" "No effect,A request to stop.." bitfld.long 0x08 4. "EQEP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eQEP module" "No effect,Enables the interface.." newline rbitfld.long 0x08 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x08 1. "ECAP_CLKSTOP_REQ,This bit controls the clock stop input to the eCAP module" "No effect,A request to stop.." newline bitfld.long 0x08 0. "ECAP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eCAP module" "No effect,Enables the interface.." line.long 0x0C "PWMSS_CLKSTATUS,The clock status register is used in the PWMSSn (where n = 1 to 3) to indicate clock stop acknowledge (clkstop_ack) and clock enable (clk_en) acknowledge status for the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," bitfld.long 0x0C 9. "EPWM_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the ePWM/eHRPWM module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 8. "EPWM_CLK_EN_ACK,This bit is the clk_en status output of the ePWM/eHRPWM module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." bitfld.long 0x0C 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 5. "EQEP_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the eQEP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." bitfld.long 0x0C 4. "EQEP_CLK_EN_ACK,This bit is the clk_en status output of the eQEP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "ECAP_CLKSTOP_ACK,TThis bit is the clkstop_req_ack status output of the eCAP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 0. "ECAP_CLK_EN_ACK,TThis bit is the clk_en status output of the eCAP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." tree.end tree "PWMSS1_ECAP" base ad:0x4843E100 group.long 0x00++0x17 line.long 0x00 "PWMSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PWMSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PWMSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PWMSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PWMSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PWMSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x0B line.word 0x00 "PWMSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPWMSS_ECAP_CAP1 to PWMSS_ECAP_CAP4 registers on a capture event" "Disable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register..,Enable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PWMSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "0,1" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select TSCNT = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PWMSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PWMSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PWMSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." line.word 0x0A "PWMSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x0A 8.--15. 1. "RESERVED," bitfld.word 0x0A 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the TSCNT=CMP flag bit" newline bitfld.word 0x0A 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the TSCNT=PRD flag bit" bitfld.word 0x0A 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x0A 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x0A 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x0A 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x0A 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x0A 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PWMSS_ECAP_PID,ECAP Revision ID" tree.end tree "PWMSS1_EPWM" base ad:0x4843E200 group.word 0x00++0x0B line.word 0x00 "EPWM_TBCTL," bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Mode Bits" "Stop after the next time-base counter increment..,Stop when counter completes a whole cycle,Free run,Free run" bitfld.word 0x00 13. "PHSDIR,Phase Direction Bit" "Count down after the synchronization event,Count up after the synchronization event" newline bitfld.word 0x00 10.--12. "CLKDIV,Time-base Clock Prescale Bits" "/1..,/2,/4,/8,/16,/32,/64,/128" bitfld.word 0x00 7.--9. "HSPCLKDIV,High-Speed Time-base Clock Prescale Bits" "/1,/2..,/4,/6,/8,/10,/12,/14" newline bitfld.word 0x00 6. "SWFSYNC,Software Forced Synchronization Pulse" "Writing a 0 has no effect and reads always..,Writing a 1 forces a one-time synchronization.." bitfld.word 0x00 4.--5. "SYNCOSEL,Synchronization Output Select" "Time-base counter equal to zero (,TBCNT =,TBCNT = CMPB,Disable EPWMxSYNCO signal" newline bitfld.word 0x00 3. "PRDLD,Active Period Register Load From Shadow Register Select" "The period register (,Load" bitfld.word 0x00 2. "PHSEN,Counter Register Load From Phase Register Enable" "Do not load the time-base counter (,Load the time-base counter with the phase.." newline bitfld.word 0x00 0.--1. "CTRMODE,Counter Mode" "Up-count mode,Down-count mode,Up-down-count mode,Stop-freeze counter operation (default on.." line.word 0x02 "EPWM_TBSTS," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "CTRMAX,Time-Base Counter Max Latched Status Bit" "Reading a 0 indicates the time-base counter..,Reading a 1 on this bit indicates that the.." newline bitfld.word 0x02 1. "SYNCI,Input Synchronization Latched Status Bit" "Writing a 0 will have no effect,Reading a 1 on this bit indicates that an.." bitfld.word 0x02 0. "CTRDIR,Time-Base Counter Direction Status Bit" "Time-Base Counter is currently counting down,Time-Base Counter is currently counting up" line.word 0x04 "HRPWM_TBPHSHR," hexmask.word.byte 0x04 8.--15. 1. "TBPHSH,Time-base phase high-resolution bits" hexmask.word.byte 0x04 0.--7. 1. "RESERVED," line.word 0x06 "EPWM_TBPHS," line.word 0x08 "EPWM_TBCNT," line.word 0x0A "EPWM_TBPRD," group.word 0x0E++0x17 line.word 0x00 "EPWM_CMPCTL," rbitfld.word 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.word 0x00 9. "SHDWBFULL,Counter-compare B ( 0x0 = CMPB shadow FIFO not full yet 0x1 = Indicates the CMPB shadow FIFO is full. A CPU write will overwrite current shadow value" "CMPB shadow FIFO not full yet,Indicates the CMPB shadow FIFO is full" newline bitfld.word 0x00 8. "SHDWAFULL,Counter-compare A ( 0x0 = CMPA shadow FIFO not full yet 0x1 = Indicates the CMPA shadow FIFO is full a CPU write will overwrite the current shadow value" "CMPA shadow FIFO not full yet,Indicates the CMPA shadow FIFO is full a CPU.." rbitfld.word 0x00 7. "RESERVED," "0,1" newline bitfld.word 0x00 6. "SHDWBMODE,Counter-compare B ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare B register is used. All writes and reads directly access the active register.." "Shadow mode,Immediate mode" rbitfld.word 0x00 5. "RESERVED," "0,1" newline bitfld.word 0x00 4. "SHDWAMODE,Counter-compare A ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare register is used. All writes and reads directly access the active register for.." "Shadow mode,Immediate mode" bitfld.word 0x00 2.--3. "LOADBMODE,Active Counter-Compare B (CMPB) Load From Shadow Select Mode" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" newline bitfld.word 0x00 0.--1. "LOADAMODE,Active Counter-Compare A ( 0x0 = Load on TBCNT = 0: Time-base counter equal to zero ( 0x1 = Load on TBCNT = PRD: Time-base counter equal to period ( 0x2 = Load on either TBCNT = 0 or TBCNT = PRD 0x3 = Freeze (no loads possible)" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" line.word 0x02 "HRPWM_CMPAHR," hexmask.word.byte 0x02 8.--15. 1. "CMPAHR,Compare A High-Resolution register bits for MEP step control" hexmask.word.byte 0x02 0.--7. 1. "RESERVED," line.word 0x04 "EPWM_CMPA," line.word 0x06 "EPWM_CMPB," line.word 0x08 "EPWM_AQCTLA," rbitfld.word 0x08 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x08 10.--11. "CBD,Action when the time-base counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" line.word 0x0A "EPWM_AQCTLB," rbitfld.word 0x0A 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0A 10.--11. "CBD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" line.word 0x0C "EPWM_AQSFRC," hexmask.word.byte 0x0C 8.--15. 1. "RESERVED," bitfld.word 0x0C 6.--7. "RLDCSF," "?,Load on event counter equals period,Load on event counter equals zero or counter..,Load immediately (the active register is.." newline bitfld.word 0x0C 5. "OTSFB,One-Time Software Forced Event on Output B" "Writing a 0 (zero) has no effect,Initiates a single s/w forced event" bitfld.word 0x0C 3.--4. "ACTSFB,Action when One-Time Software Force B Is invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" newline bitfld.word 0x0C 2. "OTSFA,One-Time Software Forced Event on Output A" "Writing a 0 (zero) has no effect,Initiates a single software forced event" bitfld.word 0x0C 0.--1. "ACTSFA,Action When One-Time Software Force A Is Invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" line.word 0x0E "EPWM_AQCSFRC," hexmask.word 0x0E 4.--15. 1. "RESERVED," bitfld.word 0x0E 2.--3. "CSFB,Continuous Software Force on Output B" "Forcing disabled that is has no effect,Forces a continuous low on output B,Forces a continuous high on output B,Software forcing is disabled and has no effect" newline bitfld.word 0x0E 0.--1. "CSFA,Continuous Software Force on Output A In immediate mode a continuous force takes effect on the next TBCLK edge" "Forcing disabled that is has no effect,Forces a continuous low on output A,Forces a continuous high on output A,Software forcing is disabled and has no effect" line.word 0x10 "EPWM_DBCTL," hexmask.word 0x10 6.--15. 1. "RESERVED," bitfld.word 0x10 4.--5. "IN_MODE,Dead Band Input Mode Control" "EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the..,EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the.." newline bitfld.word 0x10 2.--3. "POLSEL,Polarity Select Control" "Active high (AH) mode,Active low complementary (ALC) mode,Active high complementary (AHC),Active low (AL) mode" bitfld.word 0x10 0.--1. "OUT_MODE,Dead-band Output Mode Control" "Dead-band generation is bypassed for both output..,Disable rising-edge delay,Disable falling-edge delay,Dead-band is fully enabled for both rising-edge.." line.word 0x12 "EPWM_DBRED," rbitfld.word 0x12 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x12 0.--9. 1. "DEL,Rising Edge Delay Count" line.word 0x14 "EPWM_DBFED," rbitfld.word 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x14 0.--9. 1. "DEL,Falling Edge Delay Count" line.word 0x16 "EPWM_TZSEL," abitfld.word 0x16 8.--15. "OSHTN,Trip-zone n (TZn) select" "0x00=Disable TZn as a one-shot trip source for..,0x01=Enable TZn as a one-shot trip source for.." hexmask.word.byte 0x16 1.--7. 1. "RESERVED," newline bitfld.word 0x16 0. "CBC0,Trip-zone 0 (TZ0) select" "Disable TZ0 as a CBC trip source for this ePWM..,Enable TZ0 as a CBC trip source for this ePWM.." group.word 0x28++0x15 line.word 0x00 "EPWM_TZCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 2.--3. "TZB,When a trip event occurs the following action is taken on output EPWMxB" "High impedance (EPWMxB = High-impedance state),Force EPWMxB to a high state,Force EPWMxB to a low state,Do nothing no action is taken on EPWMxB" newline bitfld.word 0x00 0.--1. "TZA,When a trip event occurs the following action is taken on output EPWMxA" "High impedance (EPWMxA = High-impedance state),Force EPWMxA to a high state,Force EPWMxA to a low state,Do nothing no action is taken on EPWMxA" line.word 0x02 "EPWM_TZEINT," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "OST,Trip-zone One-Shot Interrupt Enable" "Disable one-shot interrupt generation,Enable Interrupt generation; a one-shot trip.." newline bitfld.word 0x02 1. "CBC,Trip-zone Cycle-by-Cycle Interrupt Enable" "Disable cycle-by-cycle interrupt generation,Enable interrupt generation; a cycle-by-cycle.." rbitfld.word 0x02 0. "RESERVED," "0,1" line.word 0x04 "EPWM_TZFLG," hexmask.word 0x04 3.--15. 1. "RESERVED," bitfld.word 0x04 2. "OST,Latched Status Flag for A One-Shot Trip Event" "No one-shot trip event has occurred,Indicates a trip event has occurred on a pin.." newline bitfld.word 0x04 1. "CBC,Latched Status Flag for Cycle-By-Cycle Trip Event" "No cycle-by-cycle trip event has occurred,Indicates a trip event has occurred on a pin.." bitfld.word 0x04 0. "INT,Latched Trip Interrupt Status Flag" "Indicates no interrupt has been generated,Indicates an EPWMxTZINT interrupt was generated.." line.word 0x06 "EPWM_TZCLR," hexmask.word 0x06 3.--15. 1. "RESERVED," bitfld.word 0x06 2. "OST,Clear Flag for One-Shot Trip (OST) Latch" "Has no effect,Clears this Trip (set) condition" newline bitfld.word 0x06 1. "CBC,Clear Flag for Cycle-By-Cycle (CBC) Trip Latch" "Has no effect,Clears this Trip (set) condition" bitfld.word 0x06 0. "INT,Global Interrupt Clear Flag" "Has no effect,Clears the trip-interrupt flag for this ePWM.." line.word 0x08 "EPWM_TZFRC," hexmask.word 0x08 3.--15. 1. "RESERVED," bitfld.word 0x08 2. "OST,Force a One-Shot Trip Event via Software" "Writing of 0 is ignored,Forces a one-shot trip event and sets" newline bitfld.word 0x08 1. "CBC,Force a Cycle-by-Cycle Trip Event via Software" "Writing of 0 is ignored,Forces a cycle-by-cycle trip event and sets" rbitfld.word 0x08 0. "RESERVED," "0,1" line.word 0x0A "EPWM_ETSEL," hexmask.word 0x0A 4.--15. 1. "RESERVED," bitfld.word 0x0A 3. "INTEN,Enable ePWM Interrupt (EPWMx_INT) Generation" "Disable EPWMx_INT generation,Enable EPWMx_INT generation" newline bitfld.word 0x0A 0.--2. "INTSEL,ePWM Interrupt (EPWMx_INT) Selection Options" "Reserved,Enable event time-base counter equal to zero,Enable event time-base counter equal to period..,Reserved,Enable event time-base counter equal to CMPA..,Enable event time-base counter equal to CMPA..,Enable event,Enable event" line.word 0x0C "EPWM_ETPS," hexmask.word 0x0C 4.--15. 1. "RESERVED," bitfld.word 0x0C 2.--3. "INTCNT,ePWM Interrupt Event (EPWMx_INT) Counter Register" "No events have occurred,1 event has occurred,2 events have occurred,3 events have occurred" newline bitfld.word 0x0C 0.--1. "INTPRD,ePWM Interrupt (EPWMx_INT) Period Select" "Disable the interrupt event counter,Generate an interrupt on the first event INTCNT..,Generate interrupt on,Generate interrupt on" line.word 0x0E "EPWM_ETFLG," hexmask.word 0x0E 1.--15. 1. "RESERVED," bitfld.word 0x0E 0. "INT,Latched ePWM Interrupt (EPWMx_INT) Status Flag" "Indicates no event occurred,Indicates that an ePWMx interrupt (EWPMx_INT).." line.word 0x10 "EPWM_ETCLR," hexmask.word 0x10 1.--15. 1. "RESERVED," bitfld.word 0x10 0. "INT,ePWM Interrupt (EPWMx_INT) Flag Clear Bit" "Writing a 0 has no effect,Writing 1 clears" line.word 0x12 "EPWM_ETFRC," hexmask.word 0x12 1.--15. 1. "RESERVED," bitfld.word 0x12 0. "INT,INT Force Bit" "Writing 0 to this bit will be ignored,Writing 1 generates an interrupt on EPWMxINT and.." line.word 0x14 "EPWM_PCCTL," rbitfld.word 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x14 8.--10. "CHPDUTY,Chopping Clock Duty Cycle" "Duty = 1/8 (12.5%),Duty = 2/8 (25.0%),Duty = 3/8 (37.5%),Duty = 4/8 (50.0%),Duty = 5/8 (62.5%),Duty = 6/8 (75.0%),Duty = 7/8 (87.5%),Reserved" newline bitfld.word 0x14 5.--7. "CHPFREQ,Chopping Clock Frequency" "Divide by 1 (no prescale),Divide by 2,Divide by 3,Divide by 4,Divide by 5,Divide by 6,Divide by 7,Divide by 8" bitfld.word 0x14 1.--4. "OSHTWTH,One-Shot Pulse Width" "?,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,?..." newline bitfld.word 0x14 0. "CHPEN,PWM-chopping Enable" "Disable (bypass) PWM chopping function,Enable chopping function" group.word 0xC0++0x01 line.word 0x00 "HRPWM_HRCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 3. "PULSESEL,Pulse select bits" "Select CNT_zero pulse,Select PRD_eq pulse" newline bitfld.word 0x00 2. "DELBUSSEL,Delay Bus Select Bit: Selects which bus is used to select the delay for the PWM pulse" "Select CMPAHR(8) bus from compare module of EPWM..,Select TBPHSHR(8) bus from time base module" bitfld.word 0x00 0.--1. "DELMODE,Delay Mode Bits: Selects which edge of the PWM pulse the delay is inserted" "No delay inserted (default on reset),Delay inserted rising edge,Delay inserted falling edge,Delay inserted on both edges" tree.end tree "PWMSS1_EQEP" base ad:0x4843E180 group.long 0x00++0x23 line.long 0x00 "EQEP_QPOSCNT," line.long 0x04 "EQEP_QPOSINIT," line.long 0x08 "EQEP_QPOSMAX," line.long 0x0C "EQEP_QPOSCMP," line.long 0x10 "EQEP_QPOSILAT," line.long 0x14 "EQEP_QPOSSLAT," line.long 0x18 "EQEP_QPOSLAT," line.long 0x1C "EQEP_QUTMR," line.long 0x20 "EQEP_QUPRD," group.word 0x24++0x1D line.word 0x00 "EQEP_QWDTMR," line.word 0x02 "EQEP_QWDPRD," line.word 0x04 "EQEP_QDECCTL," bitfld.word 0x04 14.--15. "QSRC,Position-counter source selection" "Quadrature count mode (QCLK = iCLK QDIR = iDIR),Direction-count mode (QCLK = xCLK QDIR = xDIR),UP count mode for frequency measurement (QCLK =..,DOWN count mode for frequency measurement (QCLK.." bitfld.word 0x04 13. "SOEN,Sync output-enable" "Disable position-compare sync output,Enable position-compare sync output" newline bitfld.word 0x04 12. "SPSEL,Sync output pin selection" "Index pin is used for sync output,Strobe pin is used for sync output" bitfld.word 0x04 11. "XCR,External clock rate" "2x resolution,1x resolution" newline bitfld.word 0x04 10. "SWAP,Swap quadrature clock inputs" "Quadrature-clock inputs are not swapped,Quadrature-clock inputs are swapped" bitfld.word 0x04 9. "IGATE,Index pulse gating option" "Disable gating of Index pulse,Gate the index pin with strobe" newline bitfld.word 0x04 8. "QAP,QEPA input polarity" "No effect,Negates QEPA input" bitfld.word 0x04 7. "QBP,QEPB input polarity" "No effect,Negates QEPB input" newline bitfld.word 0x04 6. "QIP,QEPI input polarity" "No effect,Negates QEPI input" bitfld.word 0x04 5. "QSP,QEPS input polarity" "No effect,Negates QEPS input" newline rbitfld.word 0x04 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.word 0x06 "EQEP_QEPCTL," bitfld.word 0x06 14.--15. "FREE_SOFT,Emulation Control Bits" "x stops immediately,x continues to count until the rollover,x is unaffected by emulation suspend,x is unaffected by emulation suspend" bitfld.word 0x06 12.--13. "PCRM,Position counter reset mode" "Position counter reset on an index event,Position counter reset on the maximum position,Position counter reset on the first index event,Position counter reset on a unit time event" newline bitfld.word 0x06 10.--11. "SEI,Strobe event initialization of position counter" "Does nothing (action disabled),Does nothing (action disabled),Initializes the position counter on rising edge..,Clockwise Direction" bitfld.word 0x06 8.--9. "IEI,Index event initialization of position counter" "Do nothing (action disabled),Do nothing (action disabled),Initializes the position counter on the rising..,Initializes the position counter on the falling.." newline bitfld.word 0x06 7. "SWI,Software initialization of position counter" "Do nothing (action disabled),Initialize position counter this bit is cleared.." bitfld.word 0x06 6. "SEL,Strobe event latch of position counter" "The position counter is latched on the rising..,Clockwise Direction" newline bitfld.word 0x06 4.--5. "IEL,Index event latch of position counter (software index marker)" "Reserved,Latches position counter on rising edge of the..,Latches position counter on falling edge of the..,Software index marker" bitfld.word 0x06 3. "PHEN,Quadrature position counter enable/software reset" "Reset the eQEP peripheral internal operating..,eQEP position counter is enabled" newline bitfld.word 0x06 2. "QCLM,eQEP capture latch mode" "Latch on position counter read by CPU,Latch on unit time out" bitfld.word 0x06 1. "UTE,eQEP unit timer enable" "Disable eQEP unit timer,Enable unit timer" newline bitfld.word 0x06 0. "WDE,eQEP watchdog enable" "Disable the eQEP watchdog timer,Enable the eQEP watchdog timer" line.word 0x08 "EQEP_QCAPCTL," bitfld.word 0x08 15. "CEN,Enable eQEP capture" "eQEP capture unit is disabled,eQEP capture unit is enabled" hexmask.word.byte 0x08 7.--14. 1. "RESERVED," newline bitfld.word 0x08 4.--6. "CCPS,eQEP capture timer clock prescaler" "CAPCLK = SYSCLKOUT/1,CAPCLK = SYSCLKOUT/2,CAPCLK = SYSCLKOUT/4,CAPCLK = SYSCLKOUT/8,CAPCLK = SYSCLKOUT/16,CAPCLK = SYSCLKOUT/32,CAPCLK = SYSCLKOUT/64,CAPCLK = SYSCLKOUT/128" bitfld.word 0x08 0.--3. "UPPS,Unit position event prescaler" "UPEVNT = QCLK/1,UPEVNT = QCLK/2,UPEVNT = QCLK/4,UPEVNT = QCLK/8,UPEVNT = QCLK/16,UPEVNT = QCLK/32,UPEVNT = QCLK/64,UPEVNT = QCLK/128,UPEVNT = QCLK/256,UPEVNT = QCLK/512,UPEVNT = QCLK/1024,UPEVNT = QCLK/2048,Reserved,Reserved,Reserved,Reserved" line.word 0x0A "EQEP_QPOSCTL," bitfld.word 0x0A 15. "PCSHDW,Position-compare shadow enable" "Shadow disabled load Immediate,Shadow enabled" bitfld.word 0x0A 14. "PCLOAD,Position-compare shadow load mode" "Load on QPOSCNT = 0,Load when QPOSCNT = QPOSCMP" newline bitfld.word 0x0A 13. "PCPOL,Polarity of sync output" "Active HIGH pulse output,Active LOW pulse output" bitfld.word 0x0A 12. "PCE,Position-compare enable/disable" "Disable position compare unit,Enable position compare unit" newline abitfld.word 0x0A 0.--11. "PCSPW,Select-position-compare sync output pulse width" "0x000=1 x 4 x SYSCLKOUT cycles,0x001=2 x 4 x SYSCLKOUT cycles,0x002=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x..,0xFFF=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x.." line.word 0x0C "EQEP_QEINT," rbitfld.word 0x0C 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0C 11. "UTO,Unit time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 10. "IEL,Index event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 9. "SEL,Strobe event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 8. "PCM,Position-compare match interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 7. "PCR,Position-compare ready interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 6. "PCO,Position counter overflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 5. "PCU,Position counter underflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 4. "WTO,Watchdog time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 3. "QDC,Quadrature direction change interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 2. "PHE,Quadrature phase error interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 1. "PCE,Position counter error interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline rbitfld.word 0x0C 0. "RESERVED," "0,1" line.word 0x0E "EQEP_QFLG," bitfld.word 0x0E 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0E 11. "UTO,Unit time out interrupt flag" "No interrupt generated,Set by eQEP unit timer period match" newline bitfld.word 0x0E 10. "IEL,Index event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to.." bitfld.word 0x0E 9. "SEL,Strobe event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to" newline bitfld.word 0x0E 8. "PCM,eQEP compare match event interrupt flag" "No interrupt generated,This bit is set on position-compare match" bitfld.word 0x0E 7. "PCR,Position-compare ready interrupt flag" "No interrupt generated,This bit is set after transferring the shadow.." newline bitfld.word 0x0E 6. "PCO,Position counter overflow interrupt flag" "No interrupt generated,This bit is set on position counter overflow" bitfld.word 0x0E 5. "PCU,Position counter underflow interrupt flag" "No interrupt generated,This bit is set on position counter underflow" newline bitfld.word 0x0E 4. "WTO,Watchdog timeout interrupt flag" "No interrupt generated,Set by watch dog timeout" bitfld.word 0x0E 3. "QDC,Quadrature direction change interrupt flag" "No interrupt generated,This bit is set during change of direction" newline bitfld.word 0x0E 2. "PHE,Quadrature phase error interrupt flag" "No interrupt generated,Set on simultaneous transition of QEPA and QEPB" bitfld.word 0x0E 1. "PCE,Position counter error interrupt flag" "No interrupt generated,Position counter error" newline bitfld.word 0x0E 0. "INT,Global interrupt status flag" "No interrupt generated,Interrupt was generated" line.word 0x10 "EQEP_QCLR," rbitfld.word 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x10 11. "UTO,Clear unit time out interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 10. "IEL,Clear index event latch interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 9. "SEL,Clear strobe event latch interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 8. "PCM,Clear eQEP compare match event interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 7. "PCR,Clear position-compare ready interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 6. "PCO,Clear position counter overflow interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 5. "PCU,Clear position counter underflow interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 4. "WTO,Clear watchdog timeout interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 3. "QDC,Clear quadrature direction change interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 2. "PHE,Clear quadrature phase error interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 1. "PCE,Clear position counter error interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 0. "INT,Global interrupt clear flag" "No effect,Clears the interrupt flag.." line.word 0x12 "EQEP_QFRC," rbitfld.word 0x12 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x12 11. "UTO,Force unit time out interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 10. "IEL,Force index event latch interrupt" "No effect,Force the interrupt" bitfld.word 0x12 9. "SEL,Force strobe event latch interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 8. "PCM,Force position-compare match interrupt" "No effect,Force the interrupt" bitfld.word 0x12 7. "PCR,Force position-compare ready interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 6. "PCO,Force position counter overflow interrupt" "No effect,Force the interrupt" bitfld.word 0x12 5. "PCU,Force position counter underflow interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 4. "WTO,Force watchdog time out interrupt" "No effect,Force the interrupt" bitfld.word 0x12 3. "QDC,Force quadrature direction change interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 2. "PHE,Force quadrature phase error interrupt" "No effect,Force the interrupt" bitfld.word 0x12 1. "PCE,Force position counter error interrupt" "No effect,Force the interrupt" newline rbitfld.word 0x12 0. "RESERVED," "0,1" line.word 0x14 "EQEP_QEPSTS," hexmask.word.byte 0x14 8.--15. 1. "RESERVED," bitfld.word 0x14 7. "UPEVNT,Unit position event flag" "No unit position event detected,Unit position event detected" newline bitfld.word 0x14 6. "FDF,Direction on the first index marker" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on the.." bitfld.word 0x14 5. "QDF,Quadrature direction flag" "Counter-clockwise rotation (or reverse movement),Clockwise rotation (or forward movement)" newline bitfld.word 0x14 4. "QDLF,eQEP direction latch flag" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on.." bitfld.word 0x14 3. "COEF,Capture overflow error flag" "Sticky bit cleared by writing 1,Overflow occurred in eQEP Capture timer (QEPCTMR)" newline bitfld.word 0x14 2. "CDEF,Capture direction error flag" "Sticky bit cleared by writing 1,Direction change occurred between the capture.." bitfld.word 0x14 1. "FIMF,First index marker flag" "Sticky bit cleared by writing 1,Set by first occurrence of index pulse" newline bitfld.word 0x14 0. "PCEF,Position counter error flag" "No error occurred during the last index transition,Position counter error" line.word 0x16 "EQEP_QCTMR," line.word 0x18 "EQEP_QCPRD," line.word 0x1A "EQEP_QCTMRLAT," line.word 0x1C "EQEP_QCPRDLAT," rgroup.long 0x5C++0x03 line.long 0x00 "EQEP_REVID," tree.end tree "PWMSS2_CFG" base ad:0x48440000 rgroup.long 0x00++0x0F line.long 0x00 "PWMSS_IDVER,IP Revision Register" line.long 0x04 "PWMSS_SYSCONFIG,This register controls the PWMSSn (where n= 1 to 3) local Idle mode clock management and software reset" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline rbitfld.long 0x04 1. "RESERVED," "0,1" bitfld.long 0x04 0. "SOFTRESET,Software reset" "Software reset is completed,Software reset assertion" line.long 0x08 "PWMSS_CLKCONFIG,The clock configuration register is used in the PWMSSn (where n = 1 to 3) for clkstop req and clk_en control to the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "EPWM_CLKSTOP_REQ,This bit controls the clock stop input to the ePWM/eHRPWM module" "No effect,A request to stop.." newline bitfld.long 0x08 8. "EPWM_CLK_EN,This bit controls the interface clock enable (clk_en) input to the ePWM/eHRPWM module" "No effect,Enables the interface.." rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 5. "EQEP_CLKSTOP_REQ,This bit controls the clock stop input to the eQEP module" "No effect,A request to stop.." bitfld.long 0x08 4. "EQEP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eQEP module" "No effect,Enables the interface.." newline rbitfld.long 0x08 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x08 1. "ECAP_CLKSTOP_REQ,This bit controls the clock stop input to the eCAP module" "No effect,A request to stop.." newline bitfld.long 0x08 0. "ECAP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eCAP module" "No effect,Enables the interface.." line.long 0x0C "PWMSS_CLKSTATUS,The clock status register is used in the PWMSSn (where n = 1 to 3) to indicate clock stop acknowledge (clkstop_ack) and clock enable (clk_en) acknowledge status for the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," bitfld.long 0x0C 9. "EPWM_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the ePWM/eHRPWM module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 8. "EPWM_CLK_EN_ACK,This bit is the clk_en status output of the ePWM/eHRPWM module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." bitfld.long 0x0C 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 5. "EQEP_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the eQEP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." bitfld.long 0x0C 4. "EQEP_CLK_EN_ACK,This bit is the clk_en status output of the eQEP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "ECAP_CLKSTOP_ACK,TThis bit is the clkstop_req_ack status output of the eCAP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 0. "ECAP_CLK_EN_ACK,TThis bit is the clk_en status output of the eCAP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." tree.end tree "PWMSS2_ECAP" base ad:0x48440100 group.long 0x00++0x17 line.long 0x00 "PWMSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PWMSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PWMSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PWMSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PWMSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PWMSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x0B line.word 0x00 "PWMSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPWMSS_ECAP_CAP1 to PWMSS_ECAP_CAP4 registers on a capture event" "Disable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register..,Enable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PWMSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "0,1" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select TSCNT = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PWMSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PWMSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PWMSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." line.word 0x0A "PWMSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x0A 8.--15. 1. "RESERVED," bitfld.word 0x0A 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the TSCNT=CMP flag bit" newline bitfld.word 0x0A 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the TSCNT=PRD flag bit" bitfld.word 0x0A 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x0A 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x0A 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x0A 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x0A 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x0A 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PWMSS_ECAP_PID,ECAP Revision ID" tree.end tree "PWMSS2_EPWM" base ad:0x48440200 group.word 0x00++0x0B line.word 0x00 "EPWM_TBCTL," bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Mode Bits" "Stop after the next time-base counter increment..,Stop when counter completes a whole cycle,Free run,Free run" bitfld.word 0x00 13. "PHSDIR,Phase Direction Bit" "Count down after the synchronization event,Count up after the synchronization event" newline bitfld.word 0x00 10.--12. "CLKDIV,Time-base Clock Prescale Bits" "/1..,/2,/4,/8,/16,/32,/64,/128" bitfld.word 0x00 7.--9. "HSPCLKDIV,High-Speed Time-base Clock Prescale Bits" "/1,/2..,/4,/6,/8,/10,/12,/14" newline bitfld.word 0x00 6. "SWFSYNC,Software Forced Synchronization Pulse" "Writing a 0 has no effect and reads always..,Writing a 1 forces a one-time synchronization.." bitfld.word 0x00 4.--5. "SYNCOSEL,Synchronization Output Select" "Time-base counter equal to zero (,TBCNT =,TBCNT = CMPB,Disable EPWMxSYNCO signal" newline bitfld.word 0x00 3. "PRDLD,Active Period Register Load From Shadow Register Select" "The period register (,Load" bitfld.word 0x00 2. "PHSEN,Counter Register Load From Phase Register Enable" "Do not load the time-base counter (,Load the time-base counter with the phase.." newline bitfld.word 0x00 0.--1. "CTRMODE,Counter Mode" "Up-count mode,Down-count mode,Up-down-count mode,Stop-freeze counter operation (default on.." line.word 0x02 "EPWM_TBSTS," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "CTRMAX,Time-Base Counter Max Latched Status Bit" "Reading a 0 indicates the time-base counter..,Reading a 1 on this bit indicates that the.." newline bitfld.word 0x02 1. "SYNCI,Input Synchronization Latched Status Bit" "Writing a 0 will have no effect,Reading a 1 on this bit indicates that an.." bitfld.word 0x02 0. "CTRDIR,Time-Base Counter Direction Status Bit" "Time-Base Counter is currently counting down,Time-Base Counter is currently counting up" line.word 0x04 "HRPWM_TBPHSHR," hexmask.word.byte 0x04 8.--15. 1. "TBPHSH,Time-base phase high-resolution bits" hexmask.word.byte 0x04 0.--7. 1. "RESERVED," line.word 0x06 "EPWM_TBPHS," line.word 0x08 "EPWM_TBCNT," line.word 0x0A "EPWM_TBPRD," group.word 0x0E++0x17 line.word 0x00 "EPWM_CMPCTL," rbitfld.word 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.word 0x00 9. "SHDWBFULL,Counter-compare B ( 0x0 = CMPB shadow FIFO not full yet 0x1 = Indicates the CMPB shadow FIFO is full. A CPU write will overwrite current shadow value" "CMPB shadow FIFO not full yet,Indicates the CMPB shadow FIFO is full" newline bitfld.word 0x00 8. "SHDWAFULL,Counter-compare A ( 0x0 = CMPA shadow FIFO not full yet 0x1 = Indicates the CMPA shadow FIFO is full a CPU write will overwrite the current shadow value" "CMPA shadow FIFO not full yet,Indicates the CMPA shadow FIFO is full a CPU.." rbitfld.word 0x00 7. "RESERVED," "0,1" newline bitfld.word 0x00 6. "SHDWBMODE,Counter-compare B ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare B register is used. All writes and reads directly access the active register.." "Shadow mode,Immediate mode" rbitfld.word 0x00 5. "RESERVED," "0,1" newline bitfld.word 0x00 4. "SHDWAMODE,Counter-compare A ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare register is used. All writes and reads directly access the active register for.." "Shadow mode,Immediate mode" bitfld.word 0x00 2.--3. "LOADBMODE,Active Counter-Compare B (CMPB) Load From Shadow Select Mode" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" newline bitfld.word 0x00 0.--1. "LOADAMODE,Active Counter-Compare A ( 0x0 = Load on TBCNT = 0: Time-base counter equal to zero ( 0x1 = Load on TBCNT = PRD: Time-base counter equal to period ( 0x2 = Load on either TBCNT = 0 or TBCNT = PRD 0x3 = Freeze (no loads possible)" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" line.word 0x02 "HRPWM_CMPAHR," hexmask.word.byte 0x02 8.--15. 1. "CMPAHR,Compare A High-Resolution register bits for MEP step control" hexmask.word.byte 0x02 0.--7. 1. "RESERVED," line.word 0x04 "EPWM_CMPA," line.word 0x06 "EPWM_CMPB," line.word 0x08 "EPWM_AQCTLA," rbitfld.word 0x08 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x08 10.--11. "CBD,Action when the time-base counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" line.word 0x0A "EPWM_AQCTLB," rbitfld.word 0x0A 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0A 10.--11. "CBD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" line.word 0x0C "EPWM_AQSFRC," hexmask.word.byte 0x0C 8.--15. 1. "RESERVED," bitfld.word 0x0C 6.--7. "RLDCSF," "?,Load on event counter equals period,Load on event counter equals zero or counter..,Load immediately (the active register is.." newline bitfld.word 0x0C 5. "OTSFB,One-Time Software Forced Event on Output B" "Writing a 0 (zero) has no effect,Initiates a single s/w forced event" bitfld.word 0x0C 3.--4. "ACTSFB,Action when One-Time Software Force B Is invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" newline bitfld.word 0x0C 2. "OTSFA,One-Time Software Forced Event on Output A" "Writing a 0 (zero) has no effect,Initiates a single software forced event" bitfld.word 0x0C 0.--1. "ACTSFA,Action When One-Time Software Force A Is Invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" line.word 0x0E "EPWM_AQCSFRC," hexmask.word 0x0E 4.--15. 1. "RESERVED," bitfld.word 0x0E 2.--3. "CSFB,Continuous Software Force on Output B" "Forcing disabled that is has no effect,Forces a continuous low on output B,Forces a continuous high on output B,Software forcing is disabled and has no effect" newline bitfld.word 0x0E 0.--1. "CSFA,Continuous Software Force on Output A In immediate mode a continuous force takes effect on the next TBCLK edge" "Forcing disabled that is has no effect,Forces a continuous low on output A,Forces a continuous high on output A,Software forcing is disabled and has no effect" line.word 0x10 "EPWM_DBCTL," hexmask.word 0x10 6.--15. 1. "RESERVED," bitfld.word 0x10 4.--5. "IN_MODE,Dead Band Input Mode Control" "EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the..,EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the.." newline bitfld.word 0x10 2.--3. "POLSEL,Polarity Select Control" "Active high (AH) mode,Active low complementary (ALC) mode,Active high complementary (AHC),Active low (AL) mode" bitfld.word 0x10 0.--1. "OUT_MODE,Dead-band Output Mode Control" "Dead-band generation is bypassed for both output..,Disable rising-edge delay,Disable falling-edge delay,Dead-band is fully enabled for both rising-edge.." line.word 0x12 "EPWM_DBRED," rbitfld.word 0x12 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x12 0.--9. 1. "DEL,Rising Edge Delay Count" line.word 0x14 "EPWM_DBFED," rbitfld.word 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x14 0.--9. 1. "DEL,Falling Edge Delay Count" line.word 0x16 "EPWM_TZSEL," abitfld.word 0x16 8.--15. "OSHTN,Trip-zone n (TZn) select" "0x00=Disable TZn as a one-shot trip source for..,0x01=Enable TZn as a one-shot trip source for.." hexmask.word.byte 0x16 1.--7. 1. "RESERVED," newline bitfld.word 0x16 0. "CBC0,Trip-zone 0 (TZ0) select" "Disable TZ0 as a CBC trip source for this ePWM..,Enable TZ0 as a CBC trip source for this ePWM.." group.word 0x28++0x15 line.word 0x00 "EPWM_TZCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 2.--3. "TZB,When a trip event occurs the following action is taken on output EPWMxB" "High impedance (EPWMxB = High-impedance state),Force EPWMxB to a high state,Force EPWMxB to a low state,Do nothing no action is taken on EPWMxB" newline bitfld.word 0x00 0.--1. "TZA,When a trip event occurs the following action is taken on output EPWMxA" "High impedance (EPWMxA = High-impedance state),Force EPWMxA to a high state,Force EPWMxA to a low state,Do nothing no action is taken on EPWMxA" line.word 0x02 "EPWM_TZEINT," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "OST,Trip-zone One-Shot Interrupt Enable" "Disable one-shot interrupt generation,Enable Interrupt generation; a one-shot trip.." newline bitfld.word 0x02 1. "CBC,Trip-zone Cycle-by-Cycle Interrupt Enable" "Disable cycle-by-cycle interrupt generation,Enable interrupt generation; a cycle-by-cycle.." rbitfld.word 0x02 0. "RESERVED," "0,1" line.word 0x04 "EPWM_TZFLG," hexmask.word 0x04 3.--15. 1. "RESERVED," bitfld.word 0x04 2. "OST,Latched Status Flag for A One-Shot Trip Event" "No one-shot trip event has occurred,Indicates a trip event has occurred on a pin.." newline bitfld.word 0x04 1. "CBC,Latched Status Flag for Cycle-By-Cycle Trip Event" "No cycle-by-cycle trip event has occurred,Indicates a trip event has occurred on a pin.." bitfld.word 0x04 0. "INT,Latched Trip Interrupt Status Flag" "Indicates no interrupt has been generated,Indicates an EPWMxTZINT interrupt was generated.." line.word 0x06 "EPWM_TZCLR," hexmask.word 0x06 3.--15. 1. "RESERVED," bitfld.word 0x06 2. "OST,Clear Flag for One-Shot Trip (OST) Latch" "Has no effect,Clears this Trip (set) condition" newline bitfld.word 0x06 1. "CBC,Clear Flag for Cycle-By-Cycle (CBC) Trip Latch" "Has no effect,Clears this Trip (set) condition" bitfld.word 0x06 0. "INT,Global Interrupt Clear Flag" "Has no effect,Clears the trip-interrupt flag for this ePWM.." line.word 0x08 "EPWM_TZFRC," hexmask.word 0x08 3.--15. 1. "RESERVED," bitfld.word 0x08 2. "OST,Force a One-Shot Trip Event via Software" "Writing of 0 is ignored,Forces a one-shot trip event and sets" newline bitfld.word 0x08 1. "CBC,Force a Cycle-by-Cycle Trip Event via Software" "Writing of 0 is ignored,Forces a cycle-by-cycle trip event and sets" rbitfld.word 0x08 0. "RESERVED," "0,1" line.word 0x0A "EPWM_ETSEL," hexmask.word 0x0A 4.--15. 1. "RESERVED," bitfld.word 0x0A 3. "INTEN,Enable ePWM Interrupt (EPWMx_INT) Generation" "Disable EPWMx_INT generation,Enable EPWMx_INT generation" newline bitfld.word 0x0A 0.--2. "INTSEL,ePWM Interrupt (EPWMx_INT) Selection Options" "Reserved,Enable event time-base counter equal to zero,Enable event time-base counter equal to period..,Reserved,Enable event time-base counter equal to CMPA..,Enable event time-base counter equal to CMPA..,Enable event,Enable event" line.word 0x0C "EPWM_ETPS," hexmask.word 0x0C 4.--15. 1. "RESERVED," bitfld.word 0x0C 2.--3. "INTCNT,ePWM Interrupt Event (EPWMx_INT) Counter Register" "No events have occurred,1 event has occurred,2 events have occurred,3 events have occurred" newline bitfld.word 0x0C 0.--1. "INTPRD,ePWM Interrupt (EPWMx_INT) Period Select" "Disable the interrupt event counter,Generate an interrupt on the first event INTCNT..,Generate interrupt on,Generate interrupt on" line.word 0x0E "EPWM_ETFLG," hexmask.word 0x0E 1.--15. 1. "RESERVED," bitfld.word 0x0E 0. "INT,Latched ePWM Interrupt (EPWMx_INT) Status Flag" "Indicates no event occurred,Indicates that an ePWMx interrupt (EWPMx_INT).." line.word 0x10 "EPWM_ETCLR," hexmask.word 0x10 1.--15. 1. "RESERVED," bitfld.word 0x10 0. "INT,ePWM Interrupt (EPWMx_INT) Flag Clear Bit" "Writing a 0 has no effect,Writing 1 clears" line.word 0x12 "EPWM_ETFRC," hexmask.word 0x12 1.--15. 1. "RESERVED," bitfld.word 0x12 0. "INT,INT Force Bit" "Writing 0 to this bit will be ignored,Writing 1 generates an interrupt on EPWMxINT and.." line.word 0x14 "EPWM_PCCTL," rbitfld.word 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x14 8.--10. "CHPDUTY,Chopping Clock Duty Cycle" "Duty = 1/8 (12.5%),Duty = 2/8 (25.0%),Duty = 3/8 (37.5%),Duty = 4/8 (50.0%),Duty = 5/8 (62.5%),Duty = 6/8 (75.0%),Duty = 7/8 (87.5%),Reserved" newline bitfld.word 0x14 5.--7. "CHPFREQ,Chopping Clock Frequency" "Divide by 1 (no prescale),Divide by 2,Divide by 3,Divide by 4,Divide by 5,Divide by 6,Divide by 7,Divide by 8" bitfld.word 0x14 1.--4. "OSHTWTH,One-Shot Pulse Width" "?,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,?..." newline bitfld.word 0x14 0. "CHPEN,PWM-chopping Enable" "Disable (bypass) PWM chopping function,Enable chopping function" group.word 0xC0++0x01 line.word 0x00 "HRPWM_HRCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 3. "PULSESEL,Pulse select bits" "Select CNT_zero pulse,Select PRD_eq pulse" newline bitfld.word 0x00 2. "DELBUSSEL,Delay Bus Select Bit: Selects which bus is used to select the delay for the PWM pulse" "Select CMPAHR(8) bus from compare module of EPWM..,Select TBPHSHR(8) bus from time base module" bitfld.word 0x00 0.--1. "DELMODE,Delay Mode Bits: Selects which edge of the PWM pulse the delay is inserted" "No delay inserted (default on reset),Delay inserted rising edge,Delay inserted falling edge,Delay inserted on both edges" tree.end tree "PWMSS2_EQEP" base ad:0x48440180 group.long 0x00++0x23 line.long 0x00 "EQEP_QPOSCNT," line.long 0x04 "EQEP_QPOSINIT," line.long 0x08 "EQEP_QPOSMAX," line.long 0x0C "EQEP_QPOSCMP," line.long 0x10 "EQEP_QPOSILAT," line.long 0x14 "EQEP_QPOSSLAT," line.long 0x18 "EQEP_QPOSLAT," line.long 0x1C "EQEP_QUTMR," line.long 0x20 "EQEP_QUPRD," group.word 0x24++0x1D line.word 0x00 "EQEP_QWDTMR," line.word 0x02 "EQEP_QWDPRD," line.word 0x04 "EQEP_QDECCTL," bitfld.word 0x04 14.--15. "QSRC,Position-counter source selection" "Quadrature count mode (QCLK = iCLK QDIR = iDIR),Direction-count mode (QCLK = xCLK QDIR = xDIR),UP count mode for frequency measurement (QCLK =..,DOWN count mode for frequency measurement (QCLK.." bitfld.word 0x04 13. "SOEN,Sync output-enable" "Disable position-compare sync output,Enable position-compare sync output" newline bitfld.word 0x04 12. "SPSEL,Sync output pin selection" "Index pin is used for sync output,Strobe pin is used for sync output" bitfld.word 0x04 11. "XCR,External clock rate" "2x resolution,1x resolution" newline bitfld.word 0x04 10. "SWAP,Swap quadrature clock inputs" "Quadrature-clock inputs are not swapped,Quadrature-clock inputs are swapped" bitfld.word 0x04 9. "IGATE,Index pulse gating option" "Disable gating of Index pulse,Gate the index pin with strobe" newline bitfld.word 0x04 8. "QAP,QEPA input polarity" "No effect,Negates QEPA input" bitfld.word 0x04 7. "QBP,QEPB input polarity" "No effect,Negates QEPB input" newline bitfld.word 0x04 6. "QIP,QEPI input polarity" "No effect,Negates QEPI input" bitfld.word 0x04 5. "QSP,QEPS input polarity" "No effect,Negates QEPS input" newline rbitfld.word 0x04 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.word 0x06 "EQEP_QEPCTL," bitfld.word 0x06 14.--15. "FREE_SOFT,Emulation Control Bits" "x stops immediately,x continues to count until the rollover,x is unaffected by emulation suspend,x is unaffected by emulation suspend" bitfld.word 0x06 12.--13. "PCRM,Position counter reset mode" "Position counter reset on an index event,Position counter reset on the maximum position,Position counter reset on the first index event,Position counter reset on a unit time event" newline bitfld.word 0x06 10.--11. "SEI,Strobe event initialization of position counter" "Does nothing (action disabled),Does nothing (action disabled),Initializes the position counter on rising edge..,Clockwise Direction" bitfld.word 0x06 8.--9. "IEI,Index event initialization of position counter" "Do nothing (action disabled),Do nothing (action disabled),Initializes the position counter on the rising..,Initializes the position counter on the falling.." newline bitfld.word 0x06 7. "SWI,Software initialization of position counter" "Do nothing (action disabled),Initialize position counter this bit is cleared.." bitfld.word 0x06 6. "SEL,Strobe event latch of position counter" "The position counter is latched on the rising..,Clockwise Direction" newline bitfld.word 0x06 4.--5. "IEL,Index event latch of position counter (software index marker)" "Reserved,Latches position counter on rising edge of the..,Latches position counter on falling edge of the..,Software index marker" bitfld.word 0x06 3. "PHEN,Quadrature position counter enable/software reset" "Reset the eQEP peripheral internal operating..,eQEP position counter is enabled" newline bitfld.word 0x06 2. "QCLM,eQEP capture latch mode" "Latch on position counter read by CPU,Latch on unit time out" bitfld.word 0x06 1. "UTE,eQEP unit timer enable" "Disable eQEP unit timer,Enable unit timer" newline bitfld.word 0x06 0. "WDE,eQEP watchdog enable" "Disable the eQEP watchdog timer,Enable the eQEP watchdog timer" line.word 0x08 "EQEP_QCAPCTL," bitfld.word 0x08 15. "CEN,Enable eQEP capture" "eQEP capture unit is disabled,eQEP capture unit is enabled" hexmask.word.byte 0x08 7.--14. 1. "RESERVED," newline bitfld.word 0x08 4.--6. "CCPS,eQEP capture timer clock prescaler" "CAPCLK = SYSCLKOUT/1,CAPCLK = SYSCLKOUT/2,CAPCLK = SYSCLKOUT/4,CAPCLK = SYSCLKOUT/8,CAPCLK = SYSCLKOUT/16,CAPCLK = SYSCLKOUT/32,CAPCLK = SYSCLKOUT/64,CAPCLK = SYSCLKOUT/128" bitfld.word 0x08 0.--3. "UPPS,Unit position event prescaler" "UPEVNT = QCLK/1,UPEVNT = QCLK/2,UPEVNT = QCLK/4,UPEVNT = QCLK/8,UPEVNT = QCLK/16,UPEVNT = QCLK/32,UPEVNT = QCLK/64,UPEVNT = QCLK/128,UPEVNT = QCLK/256,UPEVNT = QCLK/512,UPEVNT = QCLK/1024,UPEVNT = QCLK/2048,Reserved,Reserved,Reserved,Reserved" line.word 0x0A "EQEP_QPOSCTL," bitfld.word 0x0A 15. "PCSHDW,Position-compare shadow enable" "Shadow disabled load Immediate,Shadow enabled" bitfld.word 0x0A 14. "PCLOAD,Position-compare shadow load mode" "Load on QPOSCNT = 0,Load when QPOSCNT = QPOSCMP" newline bitfld.word 0x0A 13. "PCPOL,Polarity of sync output" "Active HIGH pulse output,Active LOW pulse output" bitfld.word 0x0A 12. "PCE,Position-compare enable/disable" "Disable position compare unit,Enable position compare unit" newline abitfld.word 0x0A 0.--11. "PCSPW,Select-position-compare sync output pulse width" "0x000=1 x 4 x SYSCLKOUT cycles,0x001=2 x 4 x SYSCLKOUT cycles,0x002=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x..,0xFFF=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x.." line.word 0x0C "EQEP_QEINT," rbitfld.word 0x0C 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0C 11. "UTO,Unit time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 10. "IEL,Index event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 9. "SEL,Strobe event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 8. "PCM,Position-compare match interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 7. "PCR,Position-compare ready interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 6. "PCO,Position counter overflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 5. "PCU,Position counter underflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 4. "WTO,Watchdog time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 3. "QDC,Quadrature direction change interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 2. "PHE,Quadrature phase error interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 1. "PCE,Position counter error interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline rbitfld.word 0x0C 0. "RESERVED," "0,1" line.word 0x0E "EQEP_QFLG," bitfld.word 0x0E 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0E 11. "UTO,Unit time out interrupt flag" "No interrupt generated,Set by eQEP unit timer period match" newline bitfld.word 0x0E 10. "IEL,Index event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to.." bitfld.word 0x0E 9. "SEL,Strobe event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to" newline bitfld.word 0x0E 8. "PCM,eQEP compare match event interrupt flag" "No interrupt generated,This bit is set on position-compare match" bitfld.word 0x0E 7. "PCR,Position-compare ready interrupt flag" "No interrupt generated,This bit is set after transferring the shadow.." newline bitfld.word 0x0E 6. "PCO,Position counter overflow interrupt flag" "No interrupt generated,This bit is set on position counter overflow" bitfld.word 0x0E 5. "PCU,Position counter underflow interrupt flag" "No interrupt generated,This bit is set on position counter underflow" newline bitfld.word 0x0E 4. "WTO,Watchdog timeout interrupt flag" "No interrupt generated,Set by watch dog timeout" bitfld.word 0x0E 3. "QDC,Quadrature direction change interrupt flag" "No interrupt generated,This bit is set during change of direction" newline bitfld.word 0x0E 2. "PHE,Quadrature phase error interrupt flag" "No interrupt generated,Set on simultaneous transition of QEPA and QEPB" bitfld.word 0x0E 1. "PCE,Position counter error interrupt flag" "No interrupt generated,Position counter error" newline bitfld.word 0x0E 0. "INT,Global interrupt status flag" "No interrupt generated,Interrupt was generated" line.word 0x10 "EQEP_QCLR," rbitfld.word 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x10 11. "UTO,Clear unit time out interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 10. "IEL,Clear index event latch interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 9. "SEL,Clear strobe event latch interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 8. "PCM,Clear eQEP compare match event interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 7. "PCR,Clear position-compare ready interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 6. "PCO,Clear position counter overflow interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 5. "PCU,Clear position counter underflow interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 4. "WTO,Clear watchdog timeout interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 3. "QDC,Clear quadrature direction change interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 2. "PHE,Clear quadrature phase error interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 1. "PCE,Clear position counter error interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 0. "INT,Global interrupt clear flag" "No effect,Clears the interrupt flag.." line.word 0x12 "EQEP_QFRC," rbitfld.word 0x12 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x12 11. "UTO,Force unit time out interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 10. "IEL,Force index event latch interrupt" "No effect,Force the interrupt" bitfld.word 0x12 9. "SEL,Force strobe event latch interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 8. "PCM,Force position-compare match interrupt" "No effect,Force the interrupt" bitfld.word 0x12 7. "PCR,Force position-compare ready interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 6. "PCO,Force position counter overflow interrupt" "No effect,Force the interrupt" bitfld.word 0x12 5. "PCU,Force position counter underflow interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 4. "WTO,Force watchdog time out interrupt" "No effect,Force the interrupt" bitfld.word 0x12 3. "QDC,Force quadrature direction change interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 2. "PHE,Force quadrature phase error interrupt" "No effect,Force the interrupt" bitfld.word 0x12 1. "PCE,Force position counter error interrupt" "No effect,Force the interrupt" newline rbitfld.word 0x12 0. "RESERVED," "0,1" line.word 0x14 "EQEP_QEPSTS," hexmask.word.byte 0x14 8.--15. 1. "RESERVED," bitfld.word 0x14 7. "UPEVNT,Unit position event flag" "No unit position event detected,Unit position event detected" newline bitfld.word 0x14 6. "FDF,Direction on the first index marker" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on the.." bitfld.word 0x14 5. "QDF,Quadrature direction flag" "Counter-clockwise rotation (or reverse movement),Clockwise rotation (or forward movement)" newline bitfld.word 0x14 4. "QDLF,eQEP direction latch flag" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on.." bitfld.word 0x14 3. "COEF,Capture overflow error flag" "Sticky bit cleared by writing 1,Overflow occurred in eQEP Capture timer (QEPCTMR)" newline bitfld.word 0x14 2. "CDEF,Capture direction error flag" "Sticky bit cleared by writing 1,Direction change occurred between the capture.." bitfld.word 0x14 1. "FIMF,First index marker flag" "Sticky bit cleared by writing 1,Set by first occurrence of index pulse" newline bitfld.word 0x14 0. "PCEF,Position counter error flag" "No error occurred during the last index transition,Position counter error" line.word 0x16 "EQEP_QCTMR," line.word 0x18 "EQEP_QCPRD," line.word 0x1A "EQEP_QCTMRLAT," line.word 0x1C "EQEP_QCPRDLAT," rgroup.long 0x5C++0x03 line.long 0x00 "EQEP_REVID," tree.end tree "PWMSS3_CFG" base ad:0x48442000 rgroup.long 0x00++0x0F line.long 0x00 "PWMSS_IDVER,IP Revision Register" line.long 0x04 "PWMSS_SYSCONFIG,This register controls the PWMSSn (where n= 1 to 3) local Idle mode clock management and software reset" hexmask.long 0x04 4.--31. 1. "RESERVED," bitfld.long 0x04 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline rbitfld.long 0x04 1. "RESERVED," "0,1" bitfld.long 0x04 0. "SOFTRESET,Software reset" "Software reset is completed,Software reset assertion" line.long 0x08 "PWMSS_CLKCONFIG,The clock configuration register is used in the PWMSSn (where n = 1 to 3) for clkstop req and clk_en control to the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "EPWM_CLKSTOP_REQ,This bit controls the clock stop input to the ePWM/eHRPWM module" "No effect,A request to stop.." newline bitfld.long 0x08 8. "EPWM_CLK_EN,This bit controls the interface clock enable (clk_en) input to the ePWM/eHRPWM module" "No effect,Enables the interface.." rbitfld.long 0x08 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x08 5. "EQEP_CLKSTOP_REQ,This bit controls the clock stop input to the eQEP module" "No effect,A request to stop.." bitfld.long 0x08 4. "EQEP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eQEP module" "No effect,Enables the interface.." newline rbitfld.long 0x08 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x08 1. "ECAP_CLKSTOP_REQ,This bit controls the clock stop input to the eCAP module" "No effect,A request to stop.." newline bitfld.long 0x08 0. "ECAP_CLK_EN,This bit controls the interface clock enable (clk_en) input to the eCAP module" "No effect,Enables the interface.." line.long 0x0C "PWMSS_CLKSTATUS,The clock status register is used in the PWMSSn (where n = 1 to 3) to indicate clock stop acknowledge (clkstop_ack) and clock enable (clk_en) acknowledge status for the ePWM/ eHRPWM. eCAP and eQEP submodules within the PWMSSn subsystem" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," bitfld.long 0x0C 9. "EPWM_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the ePWM/eHRPWM module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 8. "EPWM_CLK_EN_ACK,This bit is the clk_en status output of the ePWM/eHRPWM module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." bitfld.long 0x0C 6.--7. "RESERVED," "0,1,2,3" newline bitfld.long 0x0C 5. "EQEP_CLKSTOP_ACK,This bit is the clkstop_req_ack status output of the eQEP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." bitfld.long 0x0C 4. "EQEP_CLK_EN_ACK,This bit is the clk_en status output of the eQEP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." newline bitfld.long 0x0C 2.--3. "RESERVED," "0,1,2,3" bitfld.long 0x0C 1. "ECAP_CLKSTOP_ACK,TThis bit is the clkstop_req_ack status output of the eCAP module" "No interface clock stop acknowledged,Interface clock stop request is acknowledged for.." newline bitfld.long 0x0C 0. "ECAP_CLK_EN_ACK,TThis bit is the clk_en status output of the eCAP module" "No clock enable request acknowledged,Interface clock enable request is acknowledged.." tree.end tree "PWMSS3_ECAP" base ad:0x48442100 group.long 0x00++0x17 line.long 0x00 "PWMSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PWMSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PWMSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PWMSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PWMSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PWMSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x0B line.word 0x00 "PWMSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPWMSS_ECAP_CAP1 to PWMSS_ECAP_CAP4 registers on a capture event" "Disable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register..,Enable PWMSS_ECAP_CAP1-PWMSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PWMSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "0,1" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select TSCNT = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PWMSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PWMSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PWMSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the TSCNT=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." line.word 0x0A "PWMSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x0A 8.--15. 1. "RESERVED," bitfld.word 0x0A 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the TSCNT=CMP flag bit" newline bitfld.word 0x0A 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the TSCNT=PRD flag bit" bitfld.word 0x0A 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x0A 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x0A 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x0A 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x0A 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x0A 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PWMSS_ECAP_PID,ECAP Revision ID" tree.end tree "PWMSS3_EPWM" base ad:0x48442200 group.word 0x00++0x0B line.word 0x00 "EPWM_TBCTL," bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Mode Bits" "Stop after the next time-base counter increment..,Stop when counter completes a whole cycle,Free run,Free run" bitfld.word 0x00 13. "PHSDIR,Phase Direction Bit" "Count down after the synchronization event,Count up after the synchronization event" newline bitfld.word 0x00 10.--12. "CLKDIV,Time-base Clock Prescale Bits" "/1..,/2,/4,/8,/16,/32,/64,/128" bitfld.word 0x00 7.--9. "HSPCLKDIV,High-Speed Time-base Clock Prescale Bits" "/1,/2..,/4,/6,/8,/10,/12,/14" newline bitfld.word 0x00 6. "SWFSYNC,Software Forced Synchronization Pulse" "Writing a 0 has no effect and reads always..,Writing a 1 forces a one-time synchronization.." bitfld.word 0x00 4.--5. "SYNCOSEL,Synchronization Output Select" "Time-base counter equal to zero (,TBCNT =,TBCNT = CMPB,Disable EPWMxSYNCO signal" newline bitfld.word 0x00 3. "PRDLD,Active Period Register Load From Shadow Register Select" "The period register (,Load" bitfld.word 0x00 2. "PHSEN,Counter Register Load From Phase Register Enable" "Do not load the time-base counter (,Load the time-base counter with the phase.." newline bitfld.word 0x00 0.--1. "CTRMODE,Counter Mode" "Up-count mode,Down-count mode,Up-down-count mode,Stop-freeze counter operation (default on.." line.word 0x02 "EPWM_TBSTS," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "CTRMAX,Time-Base Counter Max Latched Status Bit" "Reading a 0 indicates the time-base counter..,Reading a 1 on this bit indicates that the.." newline bitfld.word 0x02 1. "SYNCI,Input Synchronization Latched Status Bit" "Writing a 0 will have no effect,Reading a 1 on this bit indicates that an.." bitfld.word 0x02 0. "CTRDIR,Time-Base Counter Direction Status Bit" "Time-Base Counter is currently counting down,Time-Base Counter is currently counting up" line.word 0x04 "HRPWM_TBPHSHR," hexmask.word.byte 0x04 8.--15. 1. "TBPHSH,Time-base phase high-resolution bits" hexmask.word.byte 0x04 0.--7. 1. "RESERVED," line.word 0x06 "EPWM_TBPHS," line.word 0x08 "EPWM_TBCNT," line.word 0x0A "EPWM_TBPRD," group.word 0x0E++0x17 line.word 0x00 "EPWM_CMPCTL," rbitfld.word 0x00 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.word 0x00 9. "SHDWBFULL,Counter-compare B ( 0x0 = CMPB shadow FIFO not full yet 0x1 = Indicates the CMPB shadow FIFO is full. A CPU write will overwrite current shadow value" "CMPB shadow FIFO not full yet,Indicates the CMPB shadow FIFO is full" newline bitfld.word 0x00 8. "SHDWAFULL,Counter-compare A ( 0x0 = CMPA shadow FIFO not full yet 0x1 = Indicates the CMPA shadow FIFO is full a CPU write will overwrite the current shadow value" "CMPA shadow FIFO not full yet,Indicates the CMPA shadow FIFO is full a CPU.." rbitfld.word 0x00 7. "RESERVED," "0,1" newline bitfld.word 0x00 6. "SHDWBMODE,Counter-compare B ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare B register is used. All writes and reads directly access the active register.." "Shadow mode,Immediate mode" rbitfld.word 0x00 5. "RESERVED," "0,1" newline bitfld.word 0x00 4. "SHDWAMODE,Counter-compare A ( 0x0 = Shadow mode. Operates as a double buffer. All writes via the CPU access the shadow register. 0x1 = Immediate mode. Only the active compare register is used. All writes and reads directly access the active register for.." "Shadow mode,Immediate mode" bitfld.word 0x00 2.--3. "LOADBMODE,Active Counter-Compare B (CMPB) Load From Shadow Select Mode" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" newline bitfld.word 0x00 0.--1. "LOADAMODE,Active Counter-Compare A ( 0x0 = Load on TBCNT = 0: Time-base counter equal to zero ( 0x1 = Load on TBCNT = PRD: Time-base counter equal to period ( 0x2 = Load on either TBCNT = 0 or TBCNT = PRD 0x3 = Freeze (no loads possible)" "Time-base counter equal to zero (,Load on TBCNT = PRD,Load on either TBCNT = 0 or TBCNT = PRD,Freeze (no loads possible)" line.word 0x02 "HRPWM_CMPAHR," hexmask.word.byte 0x02 8.--15. 1. "CMPAHR,Compare A High-Resolution register bits for MEP step control" hexmask.word.byte 0x02 0.--7. 1. "RESERVED," line.word 0x04 "EPWM_CMPA," line.word 0x06 "EPWM_CMPB," line.word 0x08 "EPWM_AQCTLA," rbitfld.word 0x08 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x08 10.--11. "CBD,Action when the time-base counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" bitfld.word 0x08 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" newline bitfld.word 0x08 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxA output" line.word 0x0A "EPWM_AQCTLB," rbitfld.word 0x0A 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0A 10.--11. "CBD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 8.--9. "CBU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 6.--7. "CAD,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 4.--5. "CAU,Action when the counter equals the active" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" bitfld.word 0x0A 2.--3. "PRD,Action when the counter equals the period" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" newline bitfld.word 0x0A 0.--1. "ZRO,Action when counter equals zero" "Do nothing (action disabled),Clear,Set,Toggle EPWMxB output" line.word 0x0C "EPWM_AQSFRC," hexmask.word.byte 0x0C 8.--15. 1. "RESERVED," bitfld.word 0x0C 6.--7. "RLDCSF," "?,Load on event counter equals period,Load on event counter equals zero or counter..,Load immediately (the active register is.." newline bitfld.word 0x0C 5. "OTSFB,One-Time Software Forced Event on Output B" "Writing a 0 (zero) has no effect,Initiates a single s/w forced event" bitfld.word 0x0C 3.--4. "ACTSFB,Action when One-Time Software Force B Is invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" newline bitfld.word 0x0C 2. "OTSFA,One-Time Software Forced Event on Output A" "Writing a 0 (zero) has no effect,Initiates a single software forced event" bitfld.word 0x0C 0.--1. "ACTSFA,Action When One-Time Software Force A Is Invoked" "Does nothing (action disabled),Clear (low),Set (high),Toggle (Low -> High High -> Low)" line.word 0x0E "EPWM_AQCSFRC," hexmask.word 0x0E 4.--15. 1. "RESERVED," bitfld.word 0x0E 2.--3. "CSFB,Continuous Software Force on Output B" "Forcing disabled that is has no effect,Forces a continuous low on output B,Forces a continuous high on output B,Software forcing is disabled and has no effect" newline bitfld.word 0x0E 0.--1. "CSFA,Continuous Software Force on Output A In immediate mode a continuous force takes effect on the next TBCLK edge" "Forcing disabled that is has no effect,Forces a continuous low on output A,Forces a continuous high on output A,Software forcing is disabled and has no effect" line.word 0x10 "EPWM_DBCTL," hexmask.word 0x10 6.--15. 1. "RESERVED," bitfld.word 0x10 4.--5. "IN_MODE,Dead Band Input Mode Control" "EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the..,EPWMxA In (from the action-qualifier) is the..,EPWMxB In (from the action-qualifier) is the.." newline bitfld.word 0x10 2.--3. "POLSEL,Polarity Select Control" "Active high (AH) mode,Active low complementary (ALC) mode,Active high complementary (AHC),Active low (AL) mode" bitfld.word 0x10 0.--1. "OUT_MODE,Dead-band Output Mode Control" "Dead-band generation is bypassed for both output..,Disable rising-edge delay,Disable falling-edge delay,Dead-band is fully enabled for both rising-edge.." line.word 0x12 "EPWM_DBRED," rbitfld.word 0x12 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x12 0.--9. 1. "DEL,Rising Edge Delay Count" line.word 0x14 "EPWM_DBFED," rbitfld.word 0x14 10.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" hexmask.word 0x14 0.--9. 1. "DEL,Falling Edge Delay Count" line.word 0x16 "EPWM_TZSEL," abitfld.word 0x16 8.--15. "OSHTN,Trip-zone n (TZn) select" "0x00=Disable TZn as a one-shot trip source for..,0x01=Enable TZn as a one-shot trip source for.." hexmask.word.byte 0x16 1.--7. 1. "RESERVED," newline bitfld.word 0x16 0. "CBC0,Trip-zone 0 (TZ0) select" "Disable TZ0 as a CBC trip source for this ePWM..,Enable TZ0 as a CBC trip source for this ePWM.." group.word 0x28++0x15 line.word 0x00 "EPWM_TZCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 2.--3. "TZB,When a trip event occurs the following action is taken on output EPWMxB" "High impedance (EPWMxB = High-impedance state),Force EPWMxB to a high state,Force EPWMxB to a low state,Do nothing no action is taken on EPWMxB" newline bitfld.word 0x00 0.--1. "TZA,When a trip event occurs the following action is taken on output EPWMxA" "High impedance (EPWMxA = High-impedance state),Force EPWMxA to a high state,Force EPWMxA to a low state,Do nothing no action is taken on EPWMxA" line.word 0x02 "EPWM_TZEINT," hexmask.word 0x02 3.--15. 1. "RESERVED," bitfld.word 0x02 2. "OST,Trip-zone One-Shot Interrupt Enable" "Disable one-shot interrupt generation,Enable Interrupt generation; a one-shot trip.." newline bitfld.word 0x02 1. "CBC,Trip-zone Cycle-by-Cycle Interrupt Enable" "Disable cycle-by-cycle interrupt generation,Enable interrupt generation; a cycle-by-cycle.." rbitfld.word 0x02 0. "RESERVED," "0,1" line.word 0x04 "EPWM_TZFLG," hexmask.word 0x04 3.--15. 1. "RESERVED," bitfld.word 0x04 2. "OST,Latched Status Flag for A One-Shot Trip Event" "No one-shot trip event has occurred,Indicates a trip event has occurred on a pin.." newline bitfld.word 0x04 1. "CBC,Latched Status Flag for Cycle-By-Cycle Trip Event" "No cycle-by-cycle trip event has occurred,Indicates a trip event has occurred on a pin.." bitfld.word 0x04 0. "INT,Latched Trip Interrupt Status Flag" "Indicates no interrupt has been generated,Indicates an EPWMxTZINT interrupt was generated.." line.word 0x06 "EPWM_TZCLR," hexmask.word 0x06 3.--15. 1. "RESERVED," bitfld.word 0x06 2. "OST,Clear Flag for One-Shot Trip (OST) Latch" "Has no effect,Clears this Trip (set) condition" newline bitfld.word 0x06 1. "CBC,Clear Flag for Cycle-By-Cycle (CBC) Trip Latch" "Has no effect,Clears this Trip (set) condition" bitfld.word 0x06 0. "INT,Global Interrupt Clear Flag" "Has no effect,Clears the trip-interrupt flag for this ePWM.." line.word 0x08 "EPWM_TZFRC," hexmask.word 0x08 3.--15. 1. "RESERVED," bitfld.word 0x08 2. "OST,Force a One-Shot Trip Event via Software" "Writing of 0 is ignored,Forces a one-shot trip event and sets" newline bitfld.word 0x08 1. "CBC,Force a Cycle-by-Cycle Trip Event via Software" "Writing of 0 is ignored,Forces a cycle-by-cycle trip event and sets" rbitfld.word 0x08 0. "RESERVED," "0,1" line.word 0x0A "EPWM_ETSEL," hexmask.word 0x0A 4.--15. 1. "RESERVED," bitfld.word 0x0A 3. "INTEN,Enable ePWM Interrupt (EPWMx_INT) Generation" "Disable EPWMx_INT generation,Enable EPWMx_INT generation" newline bitfld.word 0x0A 0.--2. "INTSEL,ePWM Interrupt (EPWMx_INT) Selection Options" "Reserved,Enable event time-base counter equal to zero,Enable event time-base counter equal to period..,Reserved,Enable event time-base counter equal to CMPA..,Enable event time-base counter equal to CMPA..,Enable event,Enable event" line.word 0x0C "EPWM_ETPS," hexmask.word 0x0C 4.--15. 1. "RESERVED," bitfld.word 0x0C 2.--3. "INTCNT,ePWM Interrupt Event (EPWMx_INT) Counter Register" "No events have occurred,1 event has occurred,2 events have occurred,3 events have occurred" newline bitfld.word 0x0C 0.--1. "INTPRD,ePWM Interrupt (EPWMx_INT) Period Select" "Disable the interrupt event counter,Generate an interrupt on the first event INTCNT..,Generate interrupt on,Generate interrupt on" line.word 0x0E "EPWM_ETFLG," hexmask.word 0x0E 1.--15. 1. "RESERVED," bitfld.word 0x0E 0. "INT,Latched ePWM Interrupt (EPWMx_INT) Status Flag" "Indicates no event occurred,Indicates that an ePWMx interrupt (EWPMx_INT).." line.word 0x10 "EPWM_ETCLR," hexmask.word 0x10 1.--15. 1. "RESERVED," bitfld.word 0x10 0. "INT,ePWM Interrupt (EPWMx_INT) Flag Clear Bit" "Writing a 0 has no effect,Writing 1 clears" line.word 0x12 "EPWM_ETFRC," hexmask.word 0x12 1.--15. 1. "RESERVED," bitfld.word 0x12 0. "INT,INT Force Bit" "Writing 0 to this bit will be ignored,Writing 1 generates an interrupt on EPWMxINT and.." line.word 0x14 "EPWM_PCCTL," rbitfld.word 0x14 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x14 8.--10. "CHPDUTY,Chopping Clock Duty Cycle" "Duty = 1/8 (12.5%),Duty = 2/8 (25.0%),Duty = 3/8 (37.5%),Duty = 4/8 (50.0%),Duty = 5/8 (62.5%),Duty = 6/8 (75.0%),Duty = 7/8 (87.5%),Reserved" newline bitfld.word 0x14 5.--7. "CHPFREQ,Chopping Clock Frequency" "Divide by 1 (no prescale),Divide by 2,Divide by 3,Divide by 4,Divide by 5,Divide by 6,Divide by 7,Divide by 8" bitfld.word 0x14 1.--4. "OSHTWTH,One-Shot Pulse Width" "?,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,SYSCLKOUT/8 wide,?..." newline bitfld.word 0x14 0. "CHPEN,PWM-chopping Enable" "Disable (bypass) PWM chopping function,Enable chopping function" group.word 0xC0++0x01 line.word 0x00 "HRPWM_HRCTL," hexmask.word 0x00 4.--15. 1. "RESERVED," bitfld.word 0x00 3. "PULSESEL,Pulse select bits" "Select CNT_zero pulse,Select PRD_eq pulse" newline bitfld.word 0x00 2. "DELBUSSEL,Delay Bus Select Bit: Selects which bus is used to select the delay for the PWM pulse" "Select CMPAHR(8) bus from compare module of EPWM..,Select TBPHSHR(8) bus from time base module" bitfld.word 0x00 0.--1. "DELMODE,Delay Mode Bits: Selects which edge of the PWM pulse the delay is inserted" "No delay inserted (default on reset),Delay inserted rising edge,Delay inserted falling edge,Delay inserted on both edges" tree.end tree "PWMSS3_EQEP" base ad:0x48442180 group.long 0x00++0x23 line.long 0x00 "EQEP_QPOSCNT," line.long 0x04 "EQEP_QPOSINIT," line.long 0x08 "EQEP_QPOSMAX," line.long 0x0C "EQEP_QPOSCMP," line.long 0x10 "EQEP_QPOSILAT," line.long 0x14 "EQEP_QPOSSLAT," line.long 0x18 "EQEP_QPOSLAT," line.long 0x1C "EQEP_QUTMR," line.long 0x20 "EQEP_QUPRD," group.word 0x24++0x1D line.word 0x00 "EQEP_QWDTMR," line.word 0x02 "EQEP_QWDPRD," line.word 0x04 "EQEP_QDECCTL," bitfld.word 0x04 14.--15. "QSRC,Position-counter source selection" "Quadrature count mode (QCLK = iCLK QDIR = iDIR),Direction-count mode (QCLK = xCLK QDIR = xDIR),UP count mode for frequency measurement (QCLK =..,DOWN count mode for frequency measurement (QCLK.." bitfld.word 0x04 13. "SOEN,Sync output-enable" "Disable position-compare sync output,Enable position-compare sync output" newline bitfld.word 0x04 12. "SPSEL,Sync output pin selection" "Index pin is used for sync output,Strobe pin is used for sync output" bitfld.word 0x04 11. "XCR,External clock rate" "2x resolution,1x resolution" newline bitfld.word 0x04 10. "SWAP,Swap quadrature clock inputs" "Quadrature-clock inputs are not swapped,Quadrature-clock inputs are swapped" bitfld.word 0x04 9. "IGATE,Index pulse gating option" "Disable gating of Index pulse,Gate the index pin with strobe" newline bitfld.word 0x04 8. "QAP,QEPA input polarity" "No effect,Negates QEPA input" bitfld.word 0x04 7. "QBP,QEPB input polarity" "No effect,Negates QEPB input" newline bitfld.word 0x04 6. "QIP,QEPI input polarity" "No effect,Negates QEPI input" bitfld.word 0x04 5. "QSP,QEPS input polarity" "No effect,Negates QEPS input" newline rbitfld.word 0x04 0.--4. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.word 0x06 "EQEP_QEPCTL," bitfld.word 0x06 14.--15. "FREE_SOFT,Emulation Control Bits" "x stops immediately,x continues to count until the rollover,x is unaffected by emulation suspend,x is unaffected by emulation suspend" bitfld.word 0x06 12.--13. "PCRM,Position counter reset mode" "Position counter reset on an index event,Position counter reset on the maximum position,Position counter reset on the first index event,Position counter reset on a unit time event" newline bitfld.word 0x06 10.--11. "SEI,Strobe event initialization of position counter" "Does nothing (action disabled),Does nothing (action disabled),Initializes the position counter on rising edge..,Clockwise Direction" bitfld.word 0x06 8.--9. "IEI,Index event initialization of position counter" "Do nothing (action disabled),Do nothing (action disabled),Initializes the position counter on the rising..,Initializes the position counter on the falling.." newline bitfld.word 0x06 7. "SWI,Software initialization of position counter" "Do nothing (action disabled),Initialize position counter this bit is cleared.." bitfld.word 0x06 6. "SEL,Strobe event latch of position counter" "The position counter is latched on the rising..,Clockwise Direction" newline bitfld.word 0x06 4.--5. "IEL,Index event latch of position counter (software index marker)" "Reserved,Latches position counter on rising edge of the..,Latches position counter on falling edge of the..,Software index marker" bitfld.word 0x06 3. "PHEN,Quadrature position counter enable/software reset" "Reset the eQEP peripheral internal operating..,eQEP position counter is enabled" newline bitfld.word 0x06 2. "QCLM,eQEP capture latch mode" "Latch on position counter read by CPU,Latch on unit time out" bitfld.word 0x06 1. "UTE,eQEP unit timer enable" "Disable eQEP unit timer,Enable unit timer" newline bitfld.word 0x06 0. "WDE,eQEP watchdog enable" "Disable the eQEP watchdog timer,Enable the eQEP watchdog timer" line.word 0x08 "EQEP_QCAPCTL," bitfld.word 0x08 15. "CEN,Enable eQEP capture" "eQEP capture unit is disabled,eQEP capture unit is enabled" hexmask.word.byte 0x08 7.--14. 1. "RESERVED," newline bitfld.word 0x08 4.--6. "CCPS,eQEP capture timer clock prescaler" "CAPCLK = SYSCLKOUT/1,CAPCLK = SYSCLKOUT/2,CAPCLK = SYSCLKOUT/4,CAPCLK = SYSCLKOUT/8,CAPCLK = SYSCLKOUT/16,CAPCLK = SYSCLKOUT/32,CAPCLK = SYSCLKOUT/64,CAPCLK = SYSCLKOUT/128" bitfld.word 0x08 0.--3. "UPPS,Unit position event prescaler" "UPEVNT = QCLK/1,UPEVNT = QCLK/2,UPEVNT = QCLK/4,UPEVNT = QCLK/8,UPEVNT = QCLK/16,UPEVNT = QCLK/32,UPEVNT = QCLK/64,UPEVNT = QCLK/128,UPEVNT = QCLK/256,UPEVNT = QCLK/512,UPEVNT = QCLK/1024,UPEVNT = QCLK/2048,Reserved,Reserved,Reserved,Reserved" line.word 0x0A "EQEP_QPOSCTL," bitfld.word 0x0A 15. "PCSHDW,Position-compare shadow enable" "Shadow disabled load Immediate,Shadow enabled" bitfld.word 0x0A 14. "PCLOAD,Position-compare shadow load mode" "Load on QPOSCNT = 0,Load when QPOSCNT = QPOSCMP" newline bitfld.word 0x0A 13. "PCPOL,Polarity of sync output" "Active HIGH pulse output,Active LOW pulse output" bitfld.word 0x0A 12. "PCE,Position-compare enable/disable" "Disable position compare unit,Enable position compare unit" newline abitfld.word 0x0A 0.--11. "PCSPW,Select-position-compare sync output pulse width" "0x000=1 x 4 x SYSCLKOUT cycles,0x001=2 x 4 x SYSCLKOUT cycles,0x002=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x..,0xFFF=3 x 4 x SYSCLKOUT cycles to 4096 x 4 x.." line.word 0x0C "EQEP_QEINT," rbitfld.word 0x0C 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0C 11. "UTO,Unit time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 10. "IEL,Index event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 9. "SEL,Strobe event latch interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 8. "PCM,Position-compare match interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 7. "PCR,Position-compare ready interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 6. "PCO,Position counter overflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 5. "PCU,Position counter underflow interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 4. "WTO,Watchdog time out interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 3. "QDC,Quadrature direction change interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline bitfld.word 0x0C 2. "PHE,Quadrature phase error interrupt enable" "Interrupt is disabled,Interrupt is enabled" bitfld.word 0x0C 1. "PCE,Position counter error interrupt enable" "Interrupt is disabled,Interrupt is enabled" newline rbitfld.word 0x0C 0. "RESERVED," "0,1" line.word 0x0E "EQEP_QFLG," bitfld.word 0x0E 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x0E 11. "UTO,Unit time out interrupt flag" "No interrupt generated,Set by eQEP unit timer period match" newline bitfld.word 0x0E 10. "IEL,Index event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to.." bitfld.word 0x0E 9. "SEL,Strobe event latch interrupt flag" "No interrupt generated,This bit is set after latching the QPOSCNT to" newline bitfld.word 0x0E 8. "PCM,eQEP compare match event interrupt flag" "No interrupt generated,This bit is set on position-compare match" bitfld.word 0x0E 7. "PCR,Position-compare ready interrupt flag" "No interrupt generated,This bit is set after transferring the shadow.." newline bitfld.word 0x0E 6. "PCO,Position counter overflow interrupt flag" "No interrupt generated,This bit is set on position counter overflow" bitfld.word 0x0E 5. "PCU,Position counter underflow interrupt flag" "No interrupt generated,This bit is set on position counter underflow" newline bitfld.word 0x0E 4. "WTO,Watchdog timeout interrupt flag" "No interrupt generated,Set by watch dog timeout" bitfld.word 0x0E 3. "QDC,Quadrature direction change interrupt flag" "No interrupt generated,This bit is set during change of direction" newline bitfld.word 0x0E 2. "PHE,Quadrature phase error interrupt flag" "No interrupt generated,Set on simultaneous transition of QEPA and QEPB" bitfld.word 0x0E 1. "PCE,Position counter error interrupt flag" "No interrupt generated,Position counter error" newline bitfld.word 0x0E 0. "INT,Global interrupt status flag" "No interrupt generated,Interrupt was generated" line.word 0x10 "EQEP_QCLR," rbitfld.word 0x10 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x10 11. "UTO,Clear unit time out interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 10. "IEL,Clear index event latch interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 9. "SEL,Clear strobe event latch interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 8. "PCM,Clear eQEP compare match event interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 7. "PCR,Clear position-compare ready interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 6. "PCO,Clear position counter overflow interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 5. "PCU,Clear position counter underflow interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 4. "WTO,Clear watchdog timeout interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 3. "QDC,Clear quadrature direction change interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 2. "PHE,Clear quadrature phase error interrupt flag" "No effect,Clears the interrupt flag" bitfld.word 0x10 1. "PCE,Clear position counter error interrupt flag" "No effect,Clears the interrupt flag" newline bitfld.word 0x10 0. "INT,Global interrupt clear flag" "No effect,Clears the interrupt flag.." line.word 0x12 "EQEP_QFRC," rbitfld.word 0x12 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.word 0x12 11. "UTO,Force unit time out interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 10. "IEL,Force index event latch interrupt" "No effect,Force the interrupt" bitfld.word 0x12 9. "SEL,Force strobe event latch interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 8. "PCM,Force position-compare match interrupt" "No effect,Force the interrupt" bitfld.word 0x12 7. "PCR,Force position-compare ready interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 6. "PCO,Force position counter overflow interrupt" "No effect,Force the interrupt" bitfld.word 0x12 5. "PCU,Force position counter underflow interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 4. "WTO,Force watchdog time out interrupt" "No effect,Force the interrupt" bitfld.word 0x12 3. "QDC,Force quadrature direction change interrupt" "No effect,Force the interrupt" newline bitfld.word 0x12 2. "PHE,Force quadrature phase error interrupt" "No effect,Force the interrupt" bitfld.word 0x12 1. "PCE,Force position counter error interrupt" "No effect,Force the interrupt" newline rbitfld.word 0x12 0. "RESERVED," "0,1" line.word 0x14 "EQEP_QEPSTS," hexmask.word.byte 0x14 8.--15. 1. "RESERVED," bitfld.word 0x14 7. "UPEVNT,Unit position event flag" "No unit position event detected,Unit position event detected" newline bitfld.word 0x14 6. "FDF,Direction on the first index marker" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on the.." bitfld.word 0x14 5. "QDF,Quadrature direction flag" "Counter-clockwise rotation (or reverse movement),Clockwise rotation (or forward movement)" newline bitfld.word 0x14 4. "QDLF,eQEP direction latch flag" "Counter-clockwise rotation (or reverse movement)..,Clockwise rotation (or forward movement) on.." bitfld.word 0x14 3. "COEF,Capture overflow error flag" "Sticky bit cleared by writing 1,Overflow occurred in eQEP Capture timer (QEPCTMR)" newline bitfld.word 0x14 2. "CDEF,Capture direction error flag" "Sticky bit cleared by writing 1,Direction change occurred between the capture.." bitfld.word 0x14 1. "FIMF,First index marker flag" "Sticky bit cleared by writing 1,Set by first occurrence of index pulse" newline bitfld.word 0x14 0. "PCEF,Position counter error flag" "No error occurred during the last index transition,Position counter error" line.word 0x16 "EQEP_QCTMR," line.word 0x18 "EQEP_QCPRD," line.word 0x1A "EQEP_QCTMRLAT," line.word 0x1C "EQEP_QCPRDLAT," rgroup.long 0x5C++0x03 line.long 0x00 "EQEP_REVID," tree.end tree "QSPI" base ad:0x4B300000 rgroup.long 0x00++0x03 line.long 0x00 "QSPI_PID,Revision register" group.long 0x10++0x03 line.long 0x00 "QSPI_SYSCONFIG," hexmask.long 0x00 4.--31. 1. "RESERVED," bitfld.long 0x00 2.--3. "IDLE_MODE,Configuration of the local target state management mode" "Force-idle mode,No-idle mode,Smart-idle mode,Reserved" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x13 line.long 0x00 "QSPI_INTR_STATUS_RAW_SET,This register contains raw interrupt status flags" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "WIRQ_RAW,Word Interrupt Status" "WIRQ_RAW_0,WIRQ_RAW_1" newline bitfld.long 0x00 0. "FIRQ_RAW,Frame Interrupt Status" "FIRQ_RAW_0,FIRQ_RAW_1" line.long 0x04 "QSPI_INTR_STATUS_ENABLED_CLEAR,This register contains status flags of the enabled interrupts" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 1. "WIRQ_ENA,Word Interrupt Enabled Status" "WIRQ_ENA_0,WIRQ_ENA_1" newline bitfld.long 0x04 0. "FIRQ_ENA,Frame Interrupt Enabled Status" "FIRQ_ENA_0,FIRQ_ENA_1" line.long 0x08 "QSPI_INTR_ENABLE_SET_REG,This register enables the interrupts" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 1. "WIRQ_ENA_SET,Word interrupt enable.Read" "WIRQ_ENA_SET_0,WIRQ_ENA_SET_1" newline bitfld.long 0x08 0. "FIRQ_ENA_SET,Frame interrupt enable.Read" "FIRQ_ENA_SET_0,FIRQ_ENA_SET_1" line.long 0x0C "QSPI_INTR_ENABLE_CLEAR_REG,This register disables the interrupts" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 1. "WIRQ_ENA_CLR,Word interrupt disable.Read" "WIRQ_ENA_CLR_0,WIRQ_ENA_CLR_1" newline bitfld.long 0x0C 0. "FIRQ_ENA_CLR,Frame interrupt disable.Read" "FIRQ_ENA_CLR_0,FIRQ_ENA_CLR_1" line.long 0x10 "QSPI_INTC_EOI_REG,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" group.long 0x40++0x33 line.long 0x00 "QSPI_SPI_CLOCK_CNTRL_REG,This register controls the external SPI clock generation" bitfld.long 0x00 31. "CLKEN,External SPI clock (qspi1_sclk) enable" "CLKEN_0,CLKEN_1" hexmask.long.word 0x00 16.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--15. 1. "DCLK_DIV,Divide ratio for the external SPI clock (qspi1_sclk)" line.long 0x04 "QSPI_SPI_DC_REG,This register controls the different modes for each output chip select" rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 27.--28. "DD3,Data delay for chip select 3" "Data is output on the same cycle as the..,Data is output 1 qspi1_sclk cycle after the..,Data is output 2 qspi1_sclk cycles after the..,Data is output 3 qspi1_sclk cycles after the.." newline bitfld.long 0x04 26. "CKPH3,Clock phase for chip select 3" "Data shifted out on rising edge; input on,Data shifted out on falling edge; input on" bitfld.long 0x04 25. "CSP3,Chip select polarity for chip select 3" "CSP3_0,CSP3_1" newline bitfld.long 0x04 24. "CKP3,Clock polarity for chip select 3" "CKP3_0,CKP3_1" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 19.--20. "DD2,Data delay for chip select 2" "Data is output on the same cycle as the..,Data is output 1 qspi1_sclk cycle after the..,Data is output 2 qspi1_sclk cycles after the..,Data is output 3 qspi1_sclk cycles after the.." bitfld.long 0x04 18. "CKPH2,Clock phase for chip select 2" "Data shifted out on rising edge; input on,Data shifted out on falling edge; input on" newline bitfld.long 0x04 17. "CSP2,Chip select polarity for chip select 2" "CSP2_0,CSP2_1" bitfld.long 0x04 16. "CKP2,Clock polarity for chip select 2" "CKP2_0,CKP2_1" newline rbitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 11.--12. "DD1,Data delay for chip select 1" "Data is output on the same cycle as the..,Data is output 1 qspi1_sclk cycle after the..,Data is output 2 qspi1_sclk cycles after the..,Data is output 3 qspi1_sclk cycles after the.." newline bitfld.long 0x04 10. "CKPH1,Clock phase for chip select 1" "Data shifted out on rising edge; input on,Data shifted out on falling edge; input on" bitfld.long 0x04 9. "CSP1,Chip select polarity for chip select 1" "CSP1_0,CSP1_1" newline bitfld.long 0x04 8. "CKP1,Clock polarity for chip select 1" "CKP1_0,CKP1_1" rbitfld.long 0x04 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 3.--4. "DD0,Data delay for chip select 0" "Data is output on the same cycle as the..,Data is output 1 qspi1_sclk cycle after the..,Data is output 2 qspi1_sclk cycles after the..,Data is output 3 qspi1_sclk cycles after the.." bitfld.long 0x04 2. "CKPH0,Clock phase for chip select 0" "Data shifted out on rising edge; input on,Data shifted out on falling edge; input on" newline bitfld.long 0x04 1. "CSP0,Chip select polarity for chip select 0" "CSP0_0,CSP0_1" bitfld.long 0x04 0. "CKP0,Clock polarity for chip select 0" "CKP0_0,CKP0_1" line.long 0x08 "QSPI_SPI_CMD_REG,This register sets up the SPI command" rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x08 28.--29. "CSNUM,Device select" "Chip Select 0 active,Chip Select 1 active,Chip Select 2 active,Chip Select 3 active" newline rbitfld.long 0x08 26.--27. "RESERVED," "0,1,2,3" abitfld.long 0x08 19.--25. "WLEN,Word length" "0x00=1 bit,0x01=2 bits,0x7F=128 bits" newline bitfld.long 0x08 16.--18. "CMD,Transfer command" "Reserved,4-pin Read Single,4-pin Write Single,4-pin Read Dual,Reserved,3-pin Read Single,3-pin Write Single,6-pin Read Quad" bitfld.long 0x08 15. "FIRQ,Frame complete interrupt enable" "FIRQ_0,FIRQ_1" newline bitfld.long 0x08 14. "WIRQ,Word complete interrupt enable" "WIRQ_0,WIRQ_1" rbitfld.long 0x08 12.--13. "RESERVED," "0,1,2,3" newline abitfld.long 0x08 0.--11. "FLEN,Frame Length" "0x000=1 word,0x001=2 words,0xFFF=4096 words" line.long 0x0C "QSPI_SPI_STATUS_REG,This register contains indicators to allow the user to monitor the progression of a frame transfer" bitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 16.--27. 1. "WDCNT,Word count" newline hexmask.long.word 0x0C 3.--15. 1. "RESERVED," bitfld.long 0x0C 2. "FC,Frame complete" "FC_0,FC_1" newline bitfld.long 0x0C 1. "WC,Word complete" "WC_0,WC_1" bitfld.long 0x0C 0. "BUSY,Busy bit" "BUSY_0,BUSY_1" line.long 0x10 "QSPI_SPI_DATA_REG,The data received in this register is shifted to the LSB position and the content of the register is shifted to the left" line.long 0x14 "QSPI_SPI_SETUP0_REG,This register contains the read/write command setup for the memory mapped protocol translator (effecting chip select 0 output)" rbitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 24.--28. "NUM_D_BITS,Number of dummy bits to use if NUM_D_BYTES = 0x0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x14 16.--23. 1. "WCMD,Write command" rbitfld.long 0x14 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x14 12.--13. "READ_TYPE,Determines if the read command is a single dual or quad read mode command" "Normal read (all data input on qspi1_d[1]),Dual read (odd bytes input on qspi1_d[1]; even..,Normal read (all data input on qspi1_d[1]),Quad read (uses also qspi1_d[2] and qspi1_d[3])" bitfld.long 0x14 10.--11. "NUM_D_BYTES,Number of dummy bytes to be used for fast" "No dummy bytes required,Use 8 bits,Use 16 bits,Use 24 bits" newline bitfld.long 0x14 8.--9. "NUM_A_BYTES,Number of address bytes to be sent" "1 byte,2 bytes,3 bytes,4 bytes" hexmask.long.byte 0x14 0.--7. 1. "RCMD,Read Command" line.long 0x18 "QSPI_SPI_SETUP1_REG,This register contains the read/write command setup for the memory mapped protocol translator (effecting chip select 1 output)" rbitfld.long 0x18 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x18 24.--28. "NUM_D_BITS,Number of dummy bits to use if NUM_D_BYTES = 0x0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x18 16.--23. 1. "WCMD,Write command" rbitfld.long 0x18 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x18 12.--13. "READ_TYPE,Determines if the read command is a single dual or quad read mode command" "Normal read (all data input on qspi1_d[1]),Dual read (odd bytes input on qspi1_d[1]; even..,Normal read (all data input on qspi1_d[1]),Quad read (uses also qspi1_d[2] and qspi1_d[3])" bitfld.long 0x18 10.--11. "NUM_D_BYTES,Number of dummy bytes to be used for fast" "No dummy bytes required,Use 8 bits,Use 16 bits,Use 24 bits" newline bitfld.long 0x18 8.--9. "NUM_A_BYTES,Number of address bytes to be sent" "1 byte,2 bytes,3 bytes,4 bytes" hexmask.long.byte 0x18 0.--7. 1. "RCMD,Read Command" line.long 0x1C "QSPI_SPI_SETUP2_REG,This register contains the read/write command setup for the memory mapped protocol translator (effecting chip select 2 output)" rbitfld.long 0x1C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x1C 24.--28. "NUM_D_BITS,Number of dummy bits to use if NUM_D_BYTES = 0x0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x1C 16.--23. 1. "WCMD,Write command" rbitfld.long 0x1C 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x1C 12.--13. "READ_TYPE,Determines if the read command is a single dual or quad read mode command" "Normal read (all data input on qspi1_d[1]),Dual read (odd bytes input on qspi1_d[1]; even..,Normal read (all data input on qspi1_d[1]),Quad read (uses also qspi1_d[2] and qspi1_d[3])" bitfld.long 0x1C 10.--11. "NUM_D_BYTES,Number of dummy bytes to be used for fast" "No dummy bytes required,Use 8 bits,Use 16 bits,Use 24 bits" newline bitfld.long 0x1C 8.--9. "NUM_A_BYTES,Number of address bytes to be sent" "1 byte,2 bytes,3 bytes,4 bytes" hexmask.long.byte 0x1C 0.--7. 1. "RCMD,Read Command" line.long 0x20 "QSPI_SPI_SETUP3_REG,This register contains the read/write command setup for the memory mapped protocol translator (effecting chip select 3 output)" rbitfld.long 0x20 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x20 24.--28. "NUM_D_BITS,Number of dummy bits to use if NUM_D_BYTES = 0x0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.byte 0x20 16.--23. 1. "WCMD,Write command" rbitfld.long 0x20 14.--15. "RESERVED," "0,1,2,3" newline bitfld.long 0x20 12.--13. "READ_TYPE,Determines if the read command is a single dual or quad read mode command" "Normal read (all data input on qspi1_d[1]),Dual read (odd bytes input on qspi1_d[1]; even..,Normal read (all data input on qspi1_d[1]),Quad read (uses also qspi1_d[2] and qspi1_d[3])" bitfld.long 0x20 10.--11. "NUM_D_BYTES,Number of dummy bytes to be used for fast" "No dummy bytes required,Use 8 bits,Use 16 bits,Use 24 bits" newline bitfld.long 0x20 8.--9. "NUM_A_BYTES,Number of address bytes to be sent" "1 byte,2 bytes,3 bytes,4 bytes" hexmask.long.byte 0x20 0.--7. 1. "RCMD,Read Command" line.long 0x24 "QSPI_SPI_SWITCH_REG,This register allows initiators to switch control of the SPI core port between the configuration port and the SFI translator" hexmask.long 0x24 2.--31. 1. "RESERVED," bitfld.long 0x24 1. "MM_INT_EN,Memory mapped mode interrupt enable" "MM_INT_EN_0,MM_INT_EN_1" newline bitfld.long 0x24 0. "MMPT_S,MPT select" "MMPT_S_0,MMPT_S_1" line.long 0x28 "QSPI_SPI_DATA_REG_1,The data received in this register is shifted to the LSB position and the content of the register is shifted to the left" line.long 0x2C "QSPI_SPI_DATA_REG_2,The data received in this register is shifted to the LSB position and the content of the register is shifted to the left" line.long 0x30 "QSPI_SPI_DATA_REG_3,The data received in this register is shifted to the LSB position and the content of the register is shifted to the left" tree.end tree "QSPI_FW" base ad:0x4A179000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "QSPI_FW_CFG_TARG" base ad:0x4A17A000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "QSPI_TARG" base ad:0x44003900 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "RTC_PRM" base ad:0x4AE07C40 group.long 0x20++0x07 line.long 0x00 "PM_RTC_RTCSS_WKDEP,This register controls wakeup dependency based on RTCSS service requests" hexmask.long.word 0x00 20.--31. 1. "RESERVED," bitfld.long 0x00 19. "WKUPDEP_RTC_IRQ2_EVE4,Wakeup dependency from RTCSS module (timer_swakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_EVE4_0,WKUPDEP_RTC_IRQ2_EVE4_1" newline bitfld.long 0x00 18. "WKUPDEP_RTC_IRQ2_EVE3,Wakeup dependency from RTCSS module (timer_swakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_EVE3_0,WKUPDEP_RTC_IRQ2_EVE3_1" bitfld.long 0x00 17. "WKUPDEP_RTC_IRQ2_EVE2,Wakeup dependency from RTCSS module (timer_swakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_EVE2_0,WKUPDEP_RTC_IRQ2_EVE2_1" newline bitfld.long 0x00 16. "WKUPDEP_RTC_IRQ2_EVE1,Wakeup dependency from RTCSS module (timer_swakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_EVE1_0,WKUPDEP_RTC_IRQ2_EVE1_1" bitfld.long 0x00 15. "WKUPDEP_RTC_IRQ2_DSP2,Wakeup dependency from RTCSS module (timer_swakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_DSP2_0,WKUPDEP_RTC_IRQ2_DSP2_1" newline bitfld.long 0x00 14. "WKUPDEP_RTC_IRQ2_IPU1,Wakeup dependency from RTCSS module (timer_swakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_IPU1_0,WKUPDEP_RTC_IRQ2_IPU1_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline bitfld.long 0x00 12. "WKUPDEP_RTC_IRQ2_DSP1,Wakeup dependency from RTCSS module (timer_swakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_DSP1_0,WKUPDEP_RTC_IRQ2_DSP1_1" bitfld.long 0x00 11. "WKUPDEP_RTC_IRQ2_IPU2,Wakeup dependency from RTCSS module (timer_swakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_IPU2_0,WKUPDEP_RTC_IRQ2_IPU2_1" newline bitfld.long 0x00 10. "WKUPDEP_RTC_IRQ2_MPU,Wakeup dependency from RTCSS module (timer_swakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ2_MPU_0,WKUPDEP_RTC_IRQ2_MPU_1" bitfld.long 0x00 9. "WKUPDEP_RTC_IRQ1_EVE4,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_EVE4_0,WKUPDEP_RTC_IRQ1_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_RTC_IRQ1_EVE3,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_EVE3_0,WKUPDEP_RTC_IRQ1_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_RTC_IRQ1_EVE2,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_EVE2_0,WKUPDEP_RTC_IRQ1_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_RTC_IRQ1_EVE1,Wakeup dependency from RTCSS module ( alarm_swakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_EVE1_0,WKUPDEP_RTC_IRQ1_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_RTC_IRQ1_DSP2,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_DSP2_0,WKUPDEP_RTC_IRQ1_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_RTC_IRQ1_IPU1,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_IPU1_0,WKUPDEP_RTC_IRQ1_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_RTC_IRQ1_DSP1,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_DSP1_0,WKUPDEP_RTC_IRQ1_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_RTC_IRQ1_IPU2,Wakeup dependency from RTCSS module ( alarm_swakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_IPU2_0,WKUPDEP_RTC_IRQ1_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_RTC_IRQ1_MPU,Wakeup dependency from RTCSS module (alarm_swakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_RTC_IRQ1_MPU_0,WKUPDEP_RTC_IRQ1_MPU_1" line.long 0x04 "RM_RTC_RTCSS_CONTEXT,This register contains dedicated RTCSS context statuses" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "RTC_SS" base ad:0x48838000 group.long 0x00++0x1B line.long 0x00 "RTC_SECONDS_REG,Used to program the required seconds value of the current time" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 4.--6. "SEC1,Second digit of seconds" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--3. "SEC0,First digit of seconds" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "RTC_MINUTES_REG,Used to program the required minutes value of the current time" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 4.--6. "MIN1,Second digit of minutes Range is 0 to 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--3. "MIN0,First digit of minutes Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "RTC_HOURS_REG,Used to program the hours value of the current time" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 7. "PM_NAM,Only used in PM_AM mode (otherwise 0)" "AM,PM" newline rbitfld.long 0x08 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 4.--5. "HOUR1,Second digit of hours Range is 0 to 2" "0,1,2,3" newline bitfld.long 0x08 0.--3. "HOUR0,First digit of hours Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "RTC_DAYS_REG,Used to program the day of the month value of the current date" hexmask.long 0x0C 6.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 4.--5. "DAY1,Second digit of days Range from 0 to 3" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "DAY0,First digit of days Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "RTC_MONTHS_REG,MONTHS_REG is used to set the month in the year value of the current date" hexmask.long 0x10 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 4. "MONTH1,Second digit of months Range from 0 to 1" "0,1" newline bitfld.long 0x10 0.--3. "MONTH0,First digit of months Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "RTC_YEARS_REG,YEARS_REG is used to program the year value of the current date" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 4.--7. "YEAR1,Second digit of Years Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 0.--3. "YEAR0,First digit of Years Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "RTC_WEEKS_REG,WEEKS_REG is used to program the day of the week value of the current date" hexmask.long 0x18 3.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--2. "WEEK,First digit of Days in a week Range from 0 (Sunday) to 6 (Saturday)" "0,1,2,3,4,5,6,7" group.long 0x20++0x17 line.long 0x00 "RTC_ALARM_SECONDS_REG,ALARM_SECONDS_REG is used to program the seconds value for the alarm interrupt" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 4.--6. "ALARM_SEC1,Second digit of seconds Range is 0 to 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--3. "ALARM_SEC0,First digit of seconds Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "RTC_ALARM_MINUTES_REG,ALARM_MINUTES_REG is used to program the minute value for the alarm interrupt" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 4.--6. "ALARM_MIN1,Second digit of minutes Range is 0 to 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 0.--3. "ALARM_MIN0,First digit of minutes Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "RTC_ALARM_HOURS_REG,ALARM_HOURS_REG is used to program the hour value for the alarm interrupt" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 7. "ALARM_PM_NAM,Only used in PM_AM mode (otherwise 0)" "AM,PM" newline rbitfld.long 0x08 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 4.--5. "ALARM_HOUR1,Second digit of hours" "0,1,2,3" newline bitfld.long 0x08 0.--3. "ALARM_HOUR0,First digit of hours" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x0C "RTC_ALARM_DAYS_REG,ALARM_DAYS_REG is used to program the day of the month value for the alarm interrupt" hexmask.long 0x0C 6.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 4.--5. "ALARM_DAY1,Second digit for days" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "ALARM_DAY0,First digit for days" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "RTC_ALARM_MONTHS_REG,ALARM_MONTHS_REG is used to program the month in the year value for the alarm interrupt" hexmask.long 0x10 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x10 4. "ALARM_MONTH1,Second digit of months" "0,1" newline bitfld.long 0x10 0.--3. "ALARM_MONTH0,First digit of months" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "RTC_ALARM_YEARS_REG,ALARM_YEARS_REG is used to program the year for the alarm interrupt" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 4.--7. "ALARM_YEAR1,Second digit of years" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x14 0.--3. "ALARM_YEAR0,First digit of years" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x40++0x17 line.long 0x00 "RTC_CTRL_REG,CTRL_REG contains the controls to enable/disable RTC" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 6. "RTC_DISABLE," "0,1" newline bitfld.long 0x00 5. "SET_32_COUNTER," "0,1" newline bitfld.long 0x00 4. "TEST_MODE," "0,1" newline bitfld.long 0x00 3. "MODE_12_24," "0,1" newline bitfld.long 0x00 2. "AUTO_COMP," "0,1" newline bitfld.long 0x00 1. "ROUND_30S," "0,1" newline bitfld.long 0x00 0. "STOP_RTC," "0,1" line.long 0x04 "RTC_STATUS_REG,RTC STATUS_REG contains bits that signal the status of interrupts. events to the processor" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 7. "ALARM2,Indicates that an alarm2 interrupt has been generated" "0,1" newline bitfld.long 0x04 6. "ALARM,Indicates that an alarm interrupt has been generated" "0,1" newline rbitfld.long 0x04 5. "EVENT_1D,One day has occurred" "0,1" newline rbitfld.long 0x04 4. "EVENT_1H,One hour has occurred" "0,1" newline rbitfld.long 0x04 3. "EVENT_1M,One minute has occurred" "0,1" newline rbitfld.long 0x04 2. "EVENT_1S,One second has occurred" "0,1" newline rbitfld.long 0x04 1. "RUN," "0,1" newline rbitfld.long 0x04 0. "BUSY," "0,1" line.long 0x08 "RTC_INTERRUPTS_REG,INTERRUPTS_REG is used to enable or disable RTC from generating interrupts" hexmask.long 0x08 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x08 4. "IT_ALARM2,Enable one interrupt when the alarm value is reached (TC ALARM2 registers) by the TC registers" "0,1" newline bitfld.long 0x08 3. "IT_ALARM,Enable one interrupt when the alarm value is reached (TC ALARM registers) by the TC registers" "0,1" newline bitfld.long 0x08 2. "IT_TIMER,Enable periodic interrupt" "interrupt disabled,interrupt enabled" newline bitfld.long 0x08 0.--1. "EVERY,Interrupt period" "every second,every minute,every hour,every day" line.long 0x0C "RTC_COMP_LSB_REG,COMP_LSB_REG is used to program the LSB value of the 32-kHz periods to be added to the 32-kHz counter every hour" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x0C 0.--7. 1. "RTC_COMP_LSB,Indicates number of 32-kHz periods to be added into the 32-kHz counter every hour" line.long 0x10 "RTC_COMP_MSB_REG,COMP_MSB_REG is used to program the MSB value of the 32-kHz periods to be added to the 32-kHz counter every hour" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "RTC_COMP_MSB,Indicates number of 32-kHz periods to be added into the 32-kHz counter every hour" line.long 0x14 "RTC_OSC_REG,OSC_REG is used to program the oscillator resistance value. and to select and enable the clock source" hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x14 6. "K32CLK_EN,32-kHz clock enable post clock mux of RTC_32K_AUX_CLK and RTC_32K_CLK" "0,1" newline rbitfld.long 0x14 5. "RESERVED,Reserved" "0,1" newline bitfld.long 0x14 4. "OSC32K_GZ,Disable the oscillator and applies high impedance to the output" "Enable,Disabled and.." newline bitfld.long 0x14 3. "K32CLK_SEL,32-kHz clock source select" "Selects internal clock source namely..,Selects external clock source namely RTC_32K_CLK.." newline bitfld.long 0x14 2. "RES_SELECT,External feedback resistor selection" "Internal,External" newline bitfld.long 0x14 1. "SW2,Inverter size adjustment" "0,1" newline bitfld.long 0x14 0. "SW1,Inverter size adjustment" "0,1" group.long 0x60++0x3F line.long 0x00 "RTC_SCRATCH0_REG,Used to hold some required values for the RTC register" line.long 0x04 "RTC_SCRATCH1_REG,Used to hold some required values for the RTC register" line.long 0x08 "RTC_SCRATCH2_REG,Used to hold some required values for the RTC register" line.long 0x0C "RTC_KICK0_REG,The KICK0 register allows writing to unlock the kick0 data" line.long 0x10 "RTC_KICK1_REG,Kick1 data" line.long 0x14 "RTC_REVISION_REG," line.long 0x18 "RTC_SYSCONFIG_REG," hexmask.long 0x18 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x18 0.--1. "IDLEMODE,Configuration of the local target state management mode By definition target can handle read/write transaction as long as it is out of IDLE state" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" line.long 0x1C "RTC_IRQWAKEEN," hexmask.long 0x1C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 1. "ALARM_WAKEEN,Wakeup generation for event Alarm" "Wakeup disabled,Wakeup enable" newline bitfld.long 0x1C 0. "TIMMER_WAKEEN,Wakeup generation for event Timer" "Wakeup disabled,Wakeup enable Timer wakeup should not get.." line.long 0x20 "RTC_ALARM2_SECONDS_REG,ALARM2_SECONDS_REG is used to program the seconds value of the ALARM2 time" hexmask.long 0x20 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 4.--6. "ALARM2_SEC1,Second digit of seconds Range is 0 to 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 0.--3. "ALARM2_SEC0,First digit of seconds Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x24 "RTC_ALARM2_MINUTES_REG," hexmask.long 0x24 7.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x24 4.--6. "ALARM2_MIN1,Second digit of minutes Range is 0 to 5" "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0.--3. "ALARM2_MIN0,First digit of minutes Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x28 "RTC_ALARM2_HOURS_REG," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x28 7. "ALARM2_PM_NAM,Only used in PM_AM mode (otherwise 0)" "AM,PM" newline rbitfld.long 0x28 6. "RESERVED,Reserved" "0,1" newline bitfld.long 0x28 4.--5. "ALARM2_HOUR1,Second digit of hours Range is 0 to 2" "0,1,2,3" newline bitfld.long 0x28 0.--3. "ALARM2_HOUR0,First digit of hours Range is 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x2C "RTC_ALARM2_DAYS_REG," hexmask.long 0x2C 6.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 4.--5. "ALARM_DAY1,Second digit for days Range from 0 to 3" "0,1,2,3" newline bitfld.long 0x2C 0.--3. "ALARM_DAY0,First digit for days Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x30 "RTC_ALARM2_MONTHS_REG," hexmask.long 0x30 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x30 4. "ALARM2_MONTH1,Second digit of months Range from 0 to 1" "0,1" newline bitfld.long 0x30 0.--3. "ALARM2_MONTH0,First digit of months Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x34 "RTC_ALARM2_YEARS_REG," hexmask.long.tbyte 0x34 8.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x34 4.--7. "ALARM2_YEAR1,Second digit of Years Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x34 0.--3. "ALARM2_YEAR0,First digit of Years Range from 0 to 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x38 "RTC_PMIC_REG," hexmask.long.word 0x38 23.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x38 19.--22. "EXT_WAKEUP_POL_HL,External wakeup inputs polarity enable for Active High and Active Low" "Disabled,Enabled Active High..,?..." newline bitfld.long 0x38 17.--18. "PWR_ENABLE_SM,Power State Machine state" "Idle/Default,Shutdown (ALARM2 and pwr_enable enable is set..,Time based wakeup (ALARM status is set),External event based wakeup (one or more bit set.." newline bitfld.long 0x38 16. "PWR_ENABLE_EN,pwr_enable enable" "Disable When Disabled PMIC_PWR_ENABLE will..,Enable When enabled" newline bitfld.long 0x38 12.--15. "EXT_WAKEUP_STATUS,External wakeup status" "External wakeup event has not occurred,External wakeup event has occurred Wrt 1 to..,?..." newline bitfld.long 0x38 8.--11. "EXT_WAKEUP_DB_EN,External wakeup debounce enabled" "Disable,Enable..,?..." newline bitfld.long 0x38 4.--7. "EXT_WAKEUP_POL,External wakeup inputs polarity" "Active High,Active Low EXT_WAKEUP_POL[0]..,?..." newline bitfld.long 0x38 0.--3. "EXT_WAKEUP_EN,Enable External wakeup inputs" "Ext Wakeup disabled,Ext Wakeup enable EXT_WAKEUP_EN[0] controls..,?..." line.long 0x3C "RTC_RTL_DEBOUNCE_REG," hexmask.long.tbyte 0x3C 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x3C 0.--7. 1. "DEBOUNCE_REG,Debounce time see for details" tree.end tree "RTC_TARG" base ad:0x48839000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "SATAMAC_wrapper" base ad:0x4A141100 group.long 0x00++0x07 line.long 0x00 "SATA_SYSCONFIG,This register controls the idle and standby modes of Highlander 08 modules" hexmask.long.word 0x00 17.--31. 1. "RESERVED," bitfld.long 0x00 16. "OVERRIDE0,Override for clock stopping" "OVERRIDE0_0,OVERRIDE0_1" hexmask.long.word 0x00 6.--15. 1. "RESERVED," bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator-state management mode" "STANDBYMODE_0,STANDBYMODE_1,STANDBYMODE_2,STANDBYMODE_3" bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" line.long 0x04 "SATA_CDRLOCK,Programmable delay for CDR lock indication" hexmask.long.tbyte 0x04 12.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--11. 1. "CDR_LOCK_DELAY,CDR lock delay in parallel (10-bit) serdes interface clock cycles" tree.end tree "SCP1_TARG" base ad:0x4A088000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "SCP2_TARG" base ad:0x4A0A8000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "SCP3_TARG" base ad:0x4A098000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 2. (list 1. 2. )(list ad:0x48484D80 ad:0x48484DC0 ) tree "SL$1" base $2 rgroup.long 0x00++0x2B line.long 0x00 "SL_IDVER,CPGMAC_SL revision register" line.long 0x04 "SL_MACCONTROL,CPGMAC_SL MAC control register" hexmask.long.byte 0x04 25.--31. 1. "RESERVED," bitfld.long 0x04 24. "RX_CMF_EN,RX Copy MAC Control Frames Enable - Enables MAC control frames to be transferred to memory" "MAC control frames are filtered (but acted upon..,MAC control frames are transferred to memory" newline bitfld.long 0x04 23. "RX_CSF_EN,RX Copy Short Frames Enable - Enables frames or fragments shorter than 64 bytes to be copied to memory" "Short frames are filtered,Short frames are transferred to memory" bitfld.long 0x04 22. "RX_CEF_EN,RX Copy Error Frames Enable - Enables frames containing errors to be transferred to memory" "Frames containing errors are filtered,Frames containing errors are transferred to memory" newline bitfld.long 0x04 21. "TX_SHORT_GAP_LIM_EN,Transmit Short Gap Limit Enable When set this bit limits the number of short gap packets transmitted to 100ppm" "0,1" rbitfld.long 0x04 19.--20. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 18. "EXT_EN,Control Enable - Enables the fullduplex and gigabit mode to be selected from the FULLDUPLEX_IN and GIG_IN input signals and not from the FULLDUPLEX and GIG bits in this register" "Use this setting for RMII/GMII mode,Use this setting for RGMII mode" bitfld.long 0x04 17. "GIG_FORCE,Gigabit Mode Force - This bit is used to force the CPGMAC_SL into gigabit mode if the input GMII_MTCLK has been stopped by the PHY" "0,1" newline bitfld.long 0x04 16. "IFCTL_B,Interface Control B (NOT FUNCTIONAL)" "0,1" bitfld.long 0x04 15. "IFCTL_A,Interface Control A" "0,1" newline rbitfld.long 0x04 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 11. "CMD_IDLE,Command Idle" "Idle not commanded,Idle Commanded (read IDLE in SL_MACSTATUS)" newline bitfld.long 0x04 10. "TX_SHORT_GAP_EN,Transmit Short Gap Enable" "Transmit with a short IPG is disabled,Transmit with a short IPG (when TX_SHORT_GAP.." rbitfld.long 0x04 8.--9. "RESERVED," "0,1,2,3" newline bitfld.long 0x04 7. "GIG,Gigabit Mode" "0,1" bitfld.long 0x04 6. "TX_PACE,Transmit Pacing Enable" "Transmit Pacing Disabled,Transmit Pacing Enabled" newline bitfld.long 0x04 5. "GMII_EN,GMII Enable" "GMII RX and TX held in reset,GMII RX and TX released from reset" bitfld.long 0x04 4. "TX_FLOW_EN,Transmit Flow Control Enable - Determines if incoming pause frames are acted upon in full-duplex mode" "Transmit Flow Control Disabled,Transmit Flow Control Enabled" newline bitfld.long 0x04 3. "RX_FLOW_EN,Receive Flow Control Enable" "Receive Flow Control Disabled Half-duplex mode -..,Receive Flow Control Enabled Half-duplex mode -.." bitfld.long 0x04 2. "MTEST,Manufacturing Test mode - This bit must be set to allow writes to theSL_BOFFTEST and SL_RX_PAUSE/SL_TX_PAUSE registers" "0,1" newline bitfld.long 0x04 1. "LOOPBACK,Loop Back Mode - Loopback mode forces internal fullduplex mode regardless of whether the FULLDUPLEX bit is set or not" "Not looped back,Loop Back Mode enabled" bitfld.long 0x04 0. "FULLDUPLEX,Full Duplex mode - Gigabit mode forces fullduplex mode regardless of whether the FULLDUPLEX bit is set or not" "half duplex mode,full duplex mode" line.long 0x08 "SL_MACSTATUS,CPGMAC_SL MAC status register" bitfld.long 0x08 31. "IDLE,CPGMAC_SL IDLE - The CPGMAC_SL is in the idle state (valid after an idle command)" "The CPGMAC_SL is not in the idle state,The CPGMAC_SL is in the idle state" hexmask.long 0x08 5.--30. 1. "RESERVED," newline bitfld.long 0x08 4. "EXT_GIG,External GIG - This is the value of the EXT_GIG input bit" "0,1" bitfld.long 0x08 3. "EXT_FULLDUPLEX,External Fullduplex - This is the value of the EXT_FULLDUPLEX input bit" "0,1" newline bitfld.long 0x08 2. "RESERVED," "0,1" bitfld.long 0x08 1. "RX_FLOW_ACT,Receive Flow Control Active - When asserted indicates that receive flow control is enabled and triggered" "0,1" newline bitfld.long 0x08 0. "TX_FLOW_ACT,Transmit Flow Control Active - When asserted this bit indicates that the pause time period is being observed for a received pause frame" "0,1" line.long 0x0C "SL_SOFT_RESET,CPGMAC_SL soft reset register" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "SOFT_RESET,Software reset - Writing a one to this bit causes the CPGMAC_SL logic to be reset" "0,1" line.long 0x10 "SL_RX_MAXLEN,CPGMAC_SL RX Maximum length register" hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," hexmask.long.word 0x10 0.--13. 1. "RX_MAXLEN,RX Maximum Frame Length - This field determines the maximum length of a received frame" line.long 0x14 "SL_BOFFTEST,CPGMAC_SL backoff test register" rbitfld.long 0x14 31. "RESERVED," "0,1" bitfld.long 0x14 26.--30. "PACEVAL,Pacing Register Current Value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x14 16.--25. 1. "RNDNUM,Backoff Random Number Generator - This field allows the Backoff Random Number Generator to be read (or written in test mode only)" rbitfld.long 0x14 12.--15. "COLL_COUNT,Collision Count - The number of collisions the current frame has experienced" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x14 10.--11. "RESERVED," "0,1,2,3" hexmask.long.word 0x14 0.--9. 1. "TX_BACKOFF,Backoff Count - This field allows the current value of the backoff counter to be observed for test purposes" line.long 0x18 "SL_RX_PAUSE,CPGMAC_SL receive pause timer register" hexmask.long.word 0x18 16.--31. 1. "RESERVED," hexmask.long.word 0x18 0.--15. 1. "RX_PAUSETIMER,RX Pause Timer Value - This field allows the contents of the receive pause timer to be observed (and written in test mode)" line.long 0x1C "SL_TX_PAUSE,CPGMAC_SL transmit pause timer register" hexmask.long.word 0x1C 16.--31. 1. "RESERVED," hexmask.long.word 0x1C 0.--15. 1. "TX_PAUSETIMER,TX Pause Timer Value - This field allows the contents of the transmit pause timer to be observed (and written in test mode)" line.long 0x20 "SL_EMCONTROL,CPGMAC_SL emulation control register" hexmask.long 0x20 2.--31. 1. "RESERVED," bitfld.long 0x20 1. "SOFT,Emulation Soft Bit" "0,1" newline bitfld.long 0x20 0. "FREE,Emulation Free Bit" "0,1" line.long 0x24 "SL_RX_PRI_MAP,CPGMAC_SL RX packet priority to header priority mapping register" rbitfld.long 0x24 31. "RESERVED," "0,1" bitfld.long 0x24 28.--30. "PRI7,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 27. "RESERVED," "0,1" bitfld.long 0x24 24.--26. "PRI6,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 23. "RESERVED," "0,1" bitfld.long 0x24 20.--22. "PRI5,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 19. "RESERVED," "0,1" bitfld.long 0x24 16.--18. "PRI4,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 15. "RESERVED," "0,1" bitfld.long 0x24 12.--14. "PRI3,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 11. "RESERVED," "0,1" bitfld.long 0x24 8.--10. "PRI2,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 7. "RESERVED," "0,1" bitfld.long 0x24 4.--6. "PRI1,Priority" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 3. "RESERVED," "0,1" bitfld.long 0x24 0.--2. "PRI0,Priority" "0,1,2,3,4,5,6,7" line.long 0x28 "SL_TX_GAP,Transmit inter-packet gap register" hexmask.long.tbyte 0x28 9.--31. 1. "RESERVED," hexmask.long.word 0x28 0.--8. 1. "TX_GAP,Transmit Inter-Packet Gap" tree.end repeat.end repeat 2. (list 1. 2. )(list ad:0x48485C00 ad:0x48485E00 ) tree "SPF$1" base $2 rgroup.long 0x00++0x17 line.long 0x00 "SPF_IDVER,SPF revision register" line.long 0x04 "SPF_STATUS,Status register" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "SPF_BUSY,SPF is Busy/Idle Busy Packet processing or logging in progress" "0,1" line.long 0x08 "SPF_CONTROL,SPF control register" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "SPF_LOGOW_EN,SPF Log Overwrite Enable" "0,1" bitfld.long 0x08 8. "SPF_LOG_EN,SPF Log Enable" "0,1" rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 3. "SPF_RULE_LOG,SPF Rule Engine Log Enable" "0,1" bitfld.long 0x08 2. "SPF_EXT_BYPASS,SPF Extractor Bypass Enable" "0,1" newline bitfld.long 0x08 1. "SPF_DROP,SPF Drop Enable" "0,1" bitfld.long 0x08 0. "SPF_ENABLE,SPF Enable" "0,1" line.long 0x0C "SPF_DROPCOUNT,Drop Count Register" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," hexmask.long.tbyte 0x0C 0.--23. 1. "SPF_DROPCNT,SPF Drop counter indicates the number of packets dropped so far" line.long 0x10 "SPF_SWRESET,Software Reset Register" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "SPF_SWRST,SPF Software reset bit can be set to initiate a software reset" "0,1" line.long 0x14 "SPF_PRESCALE,Rate Limit Prescale Register" hexmask.long.word 0x14 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x14 0.--19. 1. "SPF_PRESCALE,The MAIN clock is divided by this value for use in Rate Limiters" group.long 0x50++0x27 line.long 0x00 "SPF_INSTRW2,Instruction Word 2 Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--13. 1. "SPF_INSTR_W2,SPF Rule Engine Instruction Word [75:64] is read from or written to this field" line.long 0x04 "SPF_INSTRW1,Instruction Word 1 Register" line.long 0x08 "SPF_INSTRW0,Instruction Word 0 Register" line.long 0x0C "SPF_INSTR_CTL,Instruction Control Register" bitfld.long 0x0C 31. "SPF_INSTR_WEN,SPF Write enable bit specifies whether a write operation is to be performed" "0,1" bitfld.long 0x0C 30. "SPF_INSTR_REN,SPF Read enable bit specifies whether a read operation is to be performed" "0,1" hexmask.long.tbyte 0x0C 6.--29. 1. "RESERVED," bitfld.long 0x0C 0.--5. "SPF_INSTR_PTR,The address in the instruction memory that is to be accessed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "SPF_LOG_BEGIN,Log Begin Address Register" line.long 0x14 "SPF_LOG_END,Log End Address Register" line.long 0x18 "SPF_LOG_HWPTR,Log Hardware Pointer Register" line.long 0x1C "SPF_LOG_SWPTR,Log Software Pointer Register" line.long 0x20 "SPF_LOG_MAP0,Filter Code Map Register 0" hexmask.long.byte 0x20 24.--31. 1. "SPF_LOGMAP3,Mapping of drop code 3 to log threshold 3" hexmask.long.byte 0x20 16.--23. 1. "SPF_LOGMAP2,Mapping of drop code 2 to log threshold 2" hexmask.long.byte 0x20 8.--15. 1. "SPF_LOGMAP1,Mapping of drop code 1 to log threshold 1" hexmask.long.byte 0x20 0.--7. 1. "SPF_LOGMAP0,Mapping of drop code 0 to log threshold 0" line.long 0x24 "SPF_LOG_MAP1,Filter Code Map Register 1" hexmask.long.byte 0x24 24.--31. 1. "SPF_LOGMAP7,Mapping of drop code 7 to log threshold 7" hexmask.long.byte 0x24 16.--23. 1. "SPF_LOGMAP6,Mapping of drop code 6 to log threshold 6" hexmask.long.byte 0x24 8.--15. 1. "SPF_LOGMAP5,Mapping of drop code 5 to log threshold 5" hexmask.long.byte 0x24 0.--7. 1. "SPF_LOGMAP4,Mapping of drop code 4 to log threshold 4" group.long 0x9C++0x13 line.long 0x00 "SPF_INTCNT,Interrupt Frequency Control Register" hexmask.long 0x00 5.--31. 1. "RESERVED," bitfld.long 0x00 0.--4. "SPF_INTCNT,Number of time thresholds must be met before a drop interrupt is triggered" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "SPF_INT_RAW,Raw Interrupt Status register" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "SPF_INT_RAW,Status of Raw interrupt signal" "0,1" line.long 0x08 "SPF_INT_MASKED,Interrupt Status register" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "SPF_INT_MASKED,Status of interrupt signal with mask" "0,1" line.long 0x0C "SPF_MASK_SET,Interrupt Mask Set Register" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "SPF_MASKSET,Write a 1 to this bit to enable the interrupt" "0,1" line.long 0x10 "SPF_MASK_CLR,Interrupt Mask Clear Register" hexmask.long 0x10 1.--31. 1. "RESERVED," bitfld.long 0x10 0. "SPF_MASKCLR,Write a 1 to this bit to disable the interrupt" "0,1" repeat 9. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 ) group.long ($2+0x78)++0x03 line.long 0x00 "SPF_LOG_THRESHk_$1,Log Threshold and Count Register" hexmask.long.word 0x00 16.--31. 1. "SPF_COUNT,Number of packets dropped for drop code k (8 is default)" hexmask.long.word 0x00 0.--15. 1. "SPF_THRESH,Number of packets to be dropped before logging starts" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x1C)++0x03 line.long 0x00 "SPF_CONSTj_$1,Constant Register" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x18)++0x03 line.long 0x00 "SPF_RATELIMi_$1,Rate Limit Register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "SPF_RATELIM,SPF Rate Limit Register" repeat.end tree.end repeat.end tree "Spinlock" base ad:0x4A0F6000 rgroup.long 0x00++0x03 line.long 0x00 "SPINLOCK_REVISION,This register contains the IP revision code" group.long 0x10++0x07 line.long 0x00 "SPINLOCK_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3.--4. "SIDLEMODE,Slave interface power management (IDLE request/acknowledgement control)" "SIDLEMODE_0_r,SIDLEMODE_1_r,SIDLEMODE_2_r,SIDLEMODE_3_r" rbitfld.long 0x00 2. "ENWAKEUP,Asynchronous wakeup gereration" "ENWAKEUP_0_r,ENWAKEUP_1_r" bitfld.long 0x00 1. "SOFTRESET,Module software reset" "SOFTRESET_0_w,SOFTRESET_1_w" rbitfld.long 0x00 0. "AUTOGATING,Internal interface clock gating strategy" "AUTOGATING_0_r,AUTOGATING_1_r" line.long 0x04 "SPINLOCK_SYSTATUS,This register provides status information about this instance of the Spinlock module" hexmask.long.byte 0x04 24.--31. 1. "NUMLOCKS,Number of lock registers implemeted" hexmask.long.byte 0x04 16.--23. 1. "RESERVED,Reserved" bitfld.long 0x04 15. "IU7,In-Use flag 0 covering lock registers" "IU7_0_r,IU7_1_r" bitfld.long 0x04 14. "IU6,In-Use flag 0 covering lock registers" "IU6_0_r,IU6_1_r" bitfld.long 0x04 13. "IU5,In-Use flag 0 covering lock registers" "IU5_0_r,IU5_1_r" newline bitfld.long 0x04 12. "IU4,In-Use flag 0 covering lock registers" "IU4_0_r,IU4_1_r" bitfld.long 0x04 11. "IU3,In-Use flag 0 covering lock registers" "IU3_0_r,IU3_1_r" bitfld.long 0x04 10. "IU2,In-Use flag 0 covering lock registers" "IU2_0_r,IU2_1_r" bitfld.long 0x04 9. "IU1,In-Use flag 0 covering lock registers" "IU1_0_r,IU1_1_r" bitfld.long 0x04 8. "IU0,In-Use flag 0 covering lock registers" "IU0_0_r,IU0_1_r" newline hexmask.long.byte 0x04 1.--7. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "RESETDONE,Reset done status" "RESETDONE_0_r,RESETDONE_1_r" repeat 16. (list 240. 241. 242. 243. 244. 245. 246. 247. 248. 249. 250. 251. 252. 253. 254. 255. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xBC0)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 224. 225. 226. 227. 228. 229. 230. 231. 232. 233. 234. 235. 236. 237. 238. 239. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xB80)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 208. 209. 210. 211. 212. 213. 214. 215. 216. 217. 218. 219. 220. 221. 222. 223. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xB40)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 192. 193. 194. 195. 196. 197. 198. 199. 200. 201. 202. 203. 204. 205. 206. 207. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xB00)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 176. 177. 178. 179. 180. 181. 182. 183. 184. 185. 186. 187. 188. 189. 190. 191. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xAC0)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 160. 161. 162. 163. 164. 165. 166. 167. 168. 169. 170. 171. 172. 173. 174. 175. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xA80)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 144. 145. 146. 147. 148. 149. 150. 151. 152. 153. 154. 155. 156. 157. 158. 159. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xA40)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 128. 129. 130. 131. 132. 133. 134. 135. 136. 137. 138. 139. 140. 141. 142. 143. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xA00)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x9C0)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x980)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x940)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x900)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x8C0)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x880)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x840)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x800)++0x03 line.long 0x00 "SPINLOCK_LOCK_REG_i_$1,This register contains the state of one lock" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "TAKEN,Lock State" "TAKEN_0_w,TAKEN_1_w" repeat.end tree.end tree "SPINLOCK_TARG" base ad:0x4A0F7000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_H,Error reporting" line.long 0x14 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x14 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x14 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x14 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 0. "OCP_RESET,L3 Reset" "0,1" tree.end tree "SS" base ad:0x48484000 rgroup.long 0x00++0x37 line.long 0x00 "CPSW_ID_VER,CPSW_3G ID version register" line.long 0x04 "CPSW_CONTROL,Switch control register" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "EEE_EN,EEE (Energy Efficient Ethernet) enable 0 - EEE is disabled" "0,1" newline bitfld.long 0x04 3. "DLR_EN,DLR enable" "DLR is disabled,DLR is disabled" bitfld.long 0x04 2. "RX_VLAN_ENCAP,Port 0 VLAN Encapsulation (egress)" "Port 0 receive packets (from CPSW_3G) are not..,Port 0 receive packets (from CPSW_3G) are VLAN.." newline bitfld.long 0x04 1. "VLAN_AWARE,VLAN Aware Mode" "CPSW_3G is in the VLAN unaware mode,CPSW_3G is in the VLAN aware mode" bitfld.long 0x04 0. "FIFO_LOOPBACK,FIFO Loopback Mode" "Loopback is disabled,FIFO Loopback mode enabled" line.long 0x08 "CPSW_SOFT_RESET,Soft reset register" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "SOFT_RESET,Software reset - Writing a one to this bit causes the 3G logic (INT REGS CPPI and SPF modules) to be reset" "0,1" line.long 0x0C "CPSW_STAT_PORT_EN,Statistics port enable register" hexmask.long 0x0C 3.--31. 1. "RESERVED," bitfld.long 0x0C 2. "P2_STAT_EN,Port 2 (GMII2 and Port 2 FIFO) Statistics Enable" "Port 2 statistics are not enabled,Port 2 statistics are enabled" newline bitfld.long 0x0C 1. "P1_STAT_EN,Port 1 (GMII1 and Port 1 FIFO) Statistics Enable" "Port 1 statistics are not enabled,Port 1 statistics are enabled" bitfld.long 0x0C 0. "P0_STAT_EN,Port 0 Statistics Enable" "Port 0 statistics are not enabled,Port 0 statistics are enabled" line.long 0x10 "CPSW_PTYPE,Transmit priority type register" hexmask.long.word 0x10 22.--31. 1. "RESERVED," bitfld.long 0x10 21. "P2_PRI3_SHAPE_EN,Port 2 Queue Priority 3 Transmit Shape Enable - If there is only one shaping queue then it must be priority 3" "0,1" newline bitfld.long 0x10 20. "P2_PRI2_SHAPE_EN,Port 2 Queue Priority 2 Transmit Shape Enable - If there are two shaping queues then they must be priorities 3 and 2" "0,1" bitfld.long 0x10 19. "P2_PRI1_SHAPE_EN,Port 2 Queue Priority 1 Transmit Shape Enable - If there are three shaping queues all three bits should be set" "0,1" newline bitfld.long 0x10 18. "P1_PRI3_SHAPE_EN,Port 1 Queue Priority 3 Transmit Shape Enable - If there is only one shaping queue then it must be priority 3" "0,1" bitfld.long 0x10 17. "P1_PRI2_SHAPE_EN,Port 1 Queue Priority 2 Transmit Shape Enable- If there are two shaping queues then they must be priorities 3 and 2" "0,1" newline bitfld.long 0x10 16. "P1_PRI1_SHAPE_EN,Port 1 Queue Priority 1 Transmit Shape Enable- If there are three shaping queues all three bits should be set" "0,1" rbitfld.long 0x10 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x10 10. "P2_PTYPE_ESC,Port 2 Priority Type Escalate" "Port 2 priority type fixed,Port 2 priority type escalate Escalate should.." bitfld.long 0x10 9. "P1_PTYPE_ESC,Port 1 Priority Type Escalate" "Port 1 priority type fixed,Port 1 priority type escalate Escalate should.." newline bitfld.long 0x10 8. "P0_PTYPE_ESC,Port 0 Priority Type Escalate" "Port 0 priority type fixed,Port 0 priority type escalate Escalate should.." rbitfld.long 0x10 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0.--4. "ESC_PRI_LD_VAL,Escalate Priority Load Value When a port is in escalate priority this is the number of higher priority packets sent before the next lower priority is allowed to send a packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x14 "CPSW_SOFT_IDLE,Software idle" hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "SOFT_IDLE,Software Idle - Setting this bit causes the switch fabric to stop forwarding packets at the next start of packet" "0,1" line.long 0x18 "CPSW_THRU_RATE,Throughput rate" hexmask.long.word 0x18 16.--31. 1. "RESERVED," bitfld.long 0x18 12.--15. "SL_RX_THRU_RATE,CPGMAC_SL Switch FIFO receive through rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.byte 0x18 4.--11. 1. "RESERVED," bitfld.long 0x18 0.--3. "CPDMA_THRU_RATE,CPDMA Switch FIFO receive through rate" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "CPSW_GAP_THRESH,CPGMAC_SL short gap threshold" hexmask.long 0x1C 5.--31. 1. "RESERVED," bitfld.long 0x1C 0.--4. "GAP_THRESH,CPGMAC_SL Short Gap Threshold - This is the CPGMAC_SL associated FIFO transmit block usage value for triggering TX_SHORT_GAP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x20 "CPSW_TX_START_WDS,Transmit start words" hexmask.long.tbyte 0x20 11.--31. 1. "RESERVED," hexmask.long.word 0x20 0.--10. 1. "TX_START_WDS,FIFO Packet Transmit (egress) Start Words" line.long 0x24 "CPSW_FLOW_CONTROL,Flow control" hexmask.long 0x24 3.--31. 1. "RESERVED," bitfld.long 0x24 2. "P2_FLOW_EN,Port 2 Receive flow control enable" "0,1" newline bitfld.long 0x24 1. "P1_FLOW_EN,Port 1 Receive flow control enable" "0,1" bitfld.long 0x24 0. "P0_FLOW_EN,Port 0 Receive flow control enable" "0,1" line.long 0x28 "CPSW_VLAN_LTYPE,LTYPE1 and LTYPE 2 Register" hexmask.long.word 0x28 16.--31. 1. "VLAN_LTYPE2,Time Sync VLAN LTYPE2 This VLAN LTYPE value is used for tx and rx" hexmask.long.word 0x28 0.--15. 1. "VLAN_LTYPE1,Time Sync VLAN LTYPE1 This VLAN LTYPE value is used for tx and rx" line.long 0x2C "CPSW_TS_LTYPE,VLAN_LTYPE1 and VLAN_LTYPE2 Register" hexmask.long.word 0x2C 16.--31. 1. "TS_LTYPE2,Time Sync LTYPE2 This is an Ethertype value to match for tx and rx time sync packets" hexmask.long.word 0x2C 0.--15. 1. "TS_LTYPE1,Time Sync LTYPE1 This is an ethertype value to match for tx and rx time sync packets" line.long 0x30 "CPSW_DLR_LTYPE,DLR LTYPE register" hexmask.long.word 0x30 16.--31. 1. "RESERVED," hexmask.long.word 0x30 0.--15. 1. "DLR_LTYPE,DLR LTYPE" line.long 0x34 "CPSW_EEE_PRESCALE,EEE Pre-scale Counter Load Value Register" hexmask.long.tbyte 0x34 12.--31. 1. "RESERVED," hexmask.long.word 0x34 0.--11. 1. "EEE_PRESCALE,Energy Efficient Ethernet Pre-scale count load value - This value is loaded into the EEE pre-scale counter each time the pre-scale count decrements to zero" tree.end tree "STATERAM" base ad:0x48484A00 group.long 0x00++0x7F line.long 0x00 "TX0_HDP,CPDMA_STATERAM TX channel 0 head descriptor pointer" line.long 0x04 "TX1_HDP,CPDMA_STATERAM TX channel 1 head descriptor pointer" line.long 0x08 "TX2_HDP,CPDMA_STATERAM TX channel 2 head descriptor pointer" line.long 0x0C "TX3_HDP,CPDMA_STATERAM TX channel 3 head descriptor pointer" line.long 0x10 "TX4_HDP,CPDMA_STATERAM TX channel 4 head descriptor pointer" line.long 0x14 "TX5_HDP,CPDMA_STATERAM TX channel 5 head descriptor pointer" line.long 0x18 "TX6_HDP,CPDMA_STATERAM TX channel 6 head descriptor pointer" line.long 0x1C "TX7_HDP,CPDMA_STATERAM TX channel 7 head descriptor pointer" line.long 0x20 "RX0_HDP,CPDMA_STATERAM RX 0 channel 0 head descriptor pointer" line.long 0x24 "RX1_HDP,CPDMA_STATERAM RX 1 channel 1 head descriptor pointer" line.long 0x28 "RX2_HDP,CPDMA_STATERAM RX 2 channel 2 head descriptor pointer" line.long 0x2C "RX3_HDP,CPDMA_STATERAM RX 3 channel 3 head descriptor pointer" line.long 0x30 "RX4_HDP,CPDMA_STATERAM RX 4 channel 4 head descriptor pointer" line.long 0x34 "RX5_HDP,CPDMA_STATERAM RX 5 channel 5 head descriptor pointer" line.long 0x38 "RX6_HDP,CPDMA_STATERAM RX 6 channel 6 head desc pointer" line.long 0x3C "RX7_HDP,CPDMA_STATERAM RX 7 channel 7 head desc pointer" line.long 0x40 "TX0_CP,CPDMA_STATERAM TX channel 0 completion pointer register" line.long 0x44 "TX1_CP,CPDMA_STATERAM TX channel 1 completion pointer register" line.long 0x48 "TX2_CP,CPDMA_STATERAM TX channel 2 completion pointer register" line.long 0x4C "TX3_CP,CPDMA_STATERAM TX channel 3 completion pointer register" line.long 0x50 "TX4_CP,CPDMA_STATERAM TX channel 4 completion pointer register" line.long 0x54 "TX5_CP,CPDMA_STATERAM TX channel 5 completion pointer register" line.long 0x58 "TX6_CP,CPDMA_STATERAM TX channel 6 completion pointer register" line.long 0x5C "TX7_CP,CPDMA_STATERAM TX channel 7 completion pointer register" line.long 0x60 "RX0_CP,CPDMA_STATERAM RX channel 0 completion pointer register" line.long 0x64 "RX1_CP,CPDMA_STATERAM RX channel 1 completion pointer register" line.long 0x68 "RX2_CP,CPDMA_STATERAM RX channel 2 completion pointer register" line.long 0x6C "RX3_CP,CPDMA_STATERAM RX channel 3 completion pointer register" line.long 0x70 "RX4_CP,CPDMA_STATERAM RX channel 4 completion pointer register" line.long 0x74 "RX5_CP,CPDMA_STATERAM RX channel 5 completion pointer register" line.long 0x78 "RX6_CP,CPDMA_STATERAM RX channel 6 completion pointer register" line.long 0x7C "RX7_CP,CPDMA_STATERAM RX channel 7 completion pointer register" tree.end tree "STATS" base ad:0x48484900 group.long 0x00++0x27 line.long 0x00 "GOOD_RX_FRAMES,The total number of good frames received on the port" line.long 0x04 "BROADCAST_RX_FRAMES,The total number of good broadcast frames received on the port" line.long 0x08 "MULTICAST_RX_FRAMES,The total number of good multicast frames received on the port" line.long 0x0C "PAUSE_RX_FRAMES,The total number of IEEE 802.3X pause frames received by the port (whether acted upon or not)" line.long 0x10 "RX_CRC_ERRORS,The total number of frames received on the port that experienced a CRC error" line.long 0x14 "RX_ALIGN_CODE_ERRORS,The total number of frames received on the port that experienced an alignment error or code error" line.long 0x18 "OVERSIZE_RX_FRAMES,The total number of oversized frames received on the port" line.long 0x1C "RX_JABBERS,The total number of jabber frames received on the port" line.long 0x20 "UNDERSIZE_RX_FRAMES,The total number of undersized frames received on the port" line.long 0x24 "RX_FRAGMENTS,The total number of frame fragments received on the port" group.long 0x30++0x5F line.long 0x00 "RX_OCTETS,The total number of bytes in all good frames received on the port" line.long 0x04 "GOOD_TX_FRAMES,The total number of good frames received on the port" line.long 0x08 "BROADCAST_TX_FRAMES,The total number of good broadcast frames received on the port" line.long 0x0C "MULTICAST_TX_FRAMES,The total number of good multicast frames received on the port" line.long 0x10 "PAUSE_TX_FRAMES,This statistic indicates the number of IEEE 802.3X pause frames transmitted by the port" line.long 0x14 "DEFERRED_TX_FRAMES,The total number of frames transmitted on the port that first experienced deferment" line.long 0x18 "COLLISIONS,This statistic records the total number of times that the port experienced a collision" line.long 0x1C "SINGLE_COLLISION_TX_FRAMES,The total number of frames transmitted on the port that experienced exactly one collision" line.long 0x20 "MULTIPLE_COLLISION_TX_FRAMES,The total number of frames transmitted on the port that experienced multiple collisions" line.long 0x24 "EXCESSIVE_COLLISIONS,The total number of frames for which transmission was abandoned due to excessive collisions" line.long 0x28 "LATE_COLLISIONS,The total number of frames on the port for which transmission was abandoned because they experienced a late collision" line.long 0x2C "TX_UNDERRUN,There should be no transmitted frames that experience underrun" line.long 0x30 "CARRIER_SENSE_ERRORS,The total number of frames received on the port that had a CPDMA middle of frame (MOF) overrun" line.long 0x34 "TX_OCTETS,The total number of bytes in all good frames transmitted on the port" line.long 0x38 "RX_TX_64_OCTET_FRAMES,The total number of 64-byte frames received and transmitted on the port" line.long 0x3C "RX_TX_65_127_OCTET_FRAMES,The total number of frames of size 65 to 127 bytes received and transmitted on the port" line.long 0x40 "RX_TX_128_255_OCTET_FRAMES,The total number of frames of size 128 to 255 bytes received and transmitted on the port" line.long 0x44 "RX_TX_256_511_OCTET_FRAMES,The total number of frames of size 256 to 511 bytes received and transmitted on the port" line.long 0x48 "RX_TX_512_1023_OCTET_FRAMES,The total number of frames of size 512 to 1023 bytes received and transmitted on the port" line.long 0x4C "RX_TX_1024_UP_OCTET_FRAMES,The total number of frames of size 1024 to[13:0] RX_MAXLEN bytes for receive or 1024 up for transmit on the port" line.long 0x50 "NET_OCTETS,The total number of bytes of frame data received and transmitted on the port" line.long 0x54 "RX_START_OF_FRAME_OVERRUNS,The total number of frames received on the port that had a CPDMA start of frame (SOF) overrun or were dropped by due to FIFO resource limitations. or were dropped by the SPF" line.long 0x58 "RX_MIDDLE_OF_FRAME_OVERRUNS,The total number of frames received on the port that had a CPDMA middle of frame (MOF) overrun" line.long 0x5C "RX_DMA_OVERRUNS,The total number of frames received on the port that had either a DMA start of frame (SOF) overrun or a DMA MOF overrun" tree.end tree "SYS_EDMA_TPCC" base ad:0x43300000 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPCC_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPCC_CCCFG,CC Configuration Register" bitfld.long 0x04 26.--31. "RESERVED,Reads return 0's" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 25. "MPEXIST,Memory Protection Existence" "MPEXIST_0,MPEXIST_1" bitfld.long 0x04 24. "CHMAPEXIST,Channel Mapping Existence" "CHMAPEXIST_0,CHMAPEXIST_1" newline bitfld.long 0x04 22.--23. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 20.--21. "NUMREGN,Number of MP and Shadow regions" "NUMREGN_0,NUMREGN_1,NUMREGN_2,NUMREGN_3" bitfld.long 0x04 19. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 16.--18. "NUMTC,Number of Queues/Number of TCs" "NUMTC_0,NUMTC_1,NUMTC_2,NUMTC_3,NUMTC_4,NUMTC_5,NUMTC_6,NUMTC_7" bitfld.long 0x04 15. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 12.--14. "NUMPAENTRY,Number of PaRAM entries" "NUMPAENTRY_0,NUMPAENTRY_1,NUMPAENTRY_2,NUMPAENTRY_3,NUMPAENTRY_4,NUMPAENTRY_5,?,?" newline bitfld.long 0x04 11. "RESERVED,Reads return 0's" "0,1" bitfld.long 0x04 8.--10. "NUMINTCH,Number of Interrupt Channels" "?,NUMINTCH_1,NUMINTCH_2,NUMINTCH_3,NUMINTCH_4,?,?,?" bitfld.long 0x04 7. "RESERVED,reads return 0's" "0,1" newline bitfld.long 0x04 4.--6. "NUMQDMACH,Number of QDMA Channels" "NUMQDMACH_0,NUMQDMACH_1,NUMQDMACH_2,NUMQDMACH_3,NUMQDMACH_4,?,?,?" bitfld.long 0x04 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x04 0.--2. "NUMDMACH,Number of DMA Channels" "NUMDMACH_0,NUMDMACH_1,NUMDMACH_2,NUMDMACH_3,NUMDMACH_4,NUMDMACH_5,?,?" group.long 0xFC++0x03 line.long 0x00 "EDMA_TPCC_CLKGDIS,Auto Clock Gate Disable" hexmask.long 0x00 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "CLKGDIS,Auto Clock Gate Disable" "0,1" group.long 0x260++0x03 line.long 0x00 "EDMA_TPCC_QDMAQNUM,QDMA Queue Number Register Contains the Event queue number to be used for the corresponding QDMA Channel" rbitfld.long 0x00 31. "RESERVED," "0,1" bitfld.long 0x00 28.--30. "E7,QDMA Queue Number for event #7" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 27. "RESERVED," "0,1" newline bitfld.long 0x00 24.--26. "E6,QDMA Queue Number for event #6" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED," "0,1" bitfld.long 0x00 20.--22. "E5,QDMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "E4,QDMA Queue Number for event #4" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 15. "RESERVED," "0,1" newline bitfld.long 0x00 12.--14. "E3,QDMA Queue Number for event #3" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 11. "RESERVED," "0,1" bitfld.long 0x00 8.--10. "E2,QDMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED," "0,1" bitfld.long 0x00 4.--6. "E1,QDMA Queue Number for event #1" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 0.--2. "E0,QDMA Queue Number for event #0" "0,1,2,3,4,5,6,7" group.long 0x280++0x07 line.long 0x00 "EDMA_TPCC_QUETCMAP,Queue to TC Mapping" hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 4.--6. "TCNUMQ1,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 0.--2. "TCNUMQ0,TC Number for Queue N: Defines the TC number that Event Queue N TRs are written to" "0,1,2,3,4,5,6,7" line.long 0x04 "EDMA_TPCC_QUEPRI,Queue Priority" hexmask.long 0x04 7.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 4.--6. "PRIQ1,Priority Level for Queue 1 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rbitfld.long 0x04 3. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 0.--2. "PRIQ0,Priority Level for Queue 0 Dictates the priority level used for the OPTIONS field programmation for Qn TRs" "0,1,2,3,4,5,6,7" rgroup.long 0x300++0x23 line.long 0x00 "EDMA_TPCC_EMR,Event Missed Register: The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x00 31. "E31,Event Missed #31" "0,1" bitfld.long 0x00 30. "E30,Event Missed #30" "0,1" bitfld.long 0x00 29. "E29,Event Missed #29" "0,1" newline bitfld.long 0x00 28. "E28,Event Missed #28" "0,1" bitfld.long 0x00 27. "E27,Event Missed #27" "0,1" bitfld.long 0x00 26. "E26,Event Missed #26" "0,1" newline bitfld.long 0x00 25. "E25,Event Missed #25" "0,1" bitfld.long 0x00 24. "E24,Event Missed #24" "0,1" bitfld.long 0x00 23. "E23,Event Missed #23" "0,1" newline bitfld.long 0x00 22. "E22,Event Missed #22" "0,1" bitfld.long 0x00 21. "E21,Event Missed #21" "0,1" bitfld.long 0x00 20. "E20,Event Missed #20" "0,1" newline bitfld.long 0x00 19. "E19,Event Missed #19" "0,1" bitfld.long 0x00 18. "E18,Event Missed #18" "0,1" bitfld.long 0x00 17. "E17,Event Missed #17" "0,1" newline bitfld.long 0x00 16. "E16,Event Missed #16" "0,1" bitfld.long 0x00 15. "E15,Event Missed #15" "0,1" bitfld.long 0x00 14. "E14,Event Missed #14" "0,1" newline bitfld.long 0x00 13. "E13,Event Missed #13" "0,1" bitfld.long 0x00 12. "E12,Event Missed #12" "0,1" bitfld.long 0x00 11. "E11,Event Missed #11" "0,1" newline bitfld.long 0x00 10. "E10,Event Missed #10" "0,1" bitfld.long 0x00 9. "E9,Event Missed #9" "0,1" bitfld.long 0x00 8. "E8,Event Missed #8" "0,1" newline bitfld.long 0x00 7. "E7,Event Missed #7" "0,1" bitfld.long 0x00 6. "E6,Event Missed #6" "0,1" bitfld.long 0x00 5. "E5,Event Missed #5" "0,1" newline bitfld.long 0x00 4. "E4,Event Missed #4" "0,1" bitfld.long 0x00 3. "E3,Event Missed #3" "0,1" bitfld.long 0x00 2. "E2,Event Missed #2" "0,1" newline bitfld.long 0x00 1. "E1,Event Missed #1" "0,1" bitfld.long 0x00 0. "E0,Event Missed #0" "0,1" line.long 0x04 "EDMA_TPCC_EMRH,Event Missed Register (High Part): The Event Missed register is set if 2 events are received without the first event being cleared or if a Null TR is serviced" bitfld.long 0x04 31. "E63,Event Missed #63" "0,1" bitfld.long 0x04 30. "E62,Event Missed #62" "0,1" bitfld.long 0x04 29. "E61,Event Missed #61" "0,1" newline bitfld.long 0x04 28. "E60,Event Missed #60" "0,1" bitfld.long 0x04 27. "E59,Event Missed #59" "0,1" bitfld.long 0x04 26. "E58,Event Missed #58" "0,1" newline bitfld.long 0x04 25. "E57,Event Missed #57" "0,1" bitfld.long 0x04 24. "E56,Event Missed #56" "0,1" bitfld.long 0x04 23. "E55,Event Missed #55" "0,1" newline bitfld.long 0x04 22. "E54,Event Missed #54" "0,1" bitfld.long 0x04 21. "E53,Event Missed #53" "0,1" bitfld.long 0x04 20. "E52,Event Missed #52" "0,1" newline bitfld.long 0x04 19. "E51,Event Missed #51" "0,1" bitfld.long 0x04 18. "E50,Event Missed #50" "0,1" bitfld.long 0x04 17. "E49,Event Missed #49" "0,1" newline bitfld.long 0x04 16. "E48,Event Missed #48" "0,1" bitfld.long 0x04 15. "E47,Event Missed #47" "0,1" bitfld.long 0x04 14. "E46,Event Missed #46" "0,1" newline bitfld.long 0x04 13. "E45,Event Missed #45" "0,1" bitfld.long 0x04 12. "E44,Event Missed #44" "0,1" bitfld.long 0x04 11. "E43,Event Missed #43" "0,1" newline bitfld.long 0x04 10. "E42,Event Missed #42" "0,1" bitfld.long 0x04 9. "E41,Event Missed #41" "0,1" bitfld.long 0x04 8. "E40,Event Missed #40" "0,1" newline bitfld.long 0x04 7. "E39,Event Missed #39" "0,1" bitfld.long 0x04 6. "E38,Event Missed #38" "0,1" bitfld.long 0x04 5. "E37,Event Missed #37" "0,1" newline bitfld.long 0x04 4. "E36,Event Missed #36" "0,1" bitfld.long 0x04 3. "E35,Event Missed #35" "0,1" bitfld.long 0x04 2. "E34,Event Missed #34" "0,1" newline bitfld.long 0x04 1. "E33,Event Missed #33" "0,1" bitfld.long 0x04 0. "E32,Event Missed #32" "0,1" line.long 0x08 "EDMA_TPCC_EMCR,Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event Missed Clear #31" "0,1" bitfld.long 0x08 30. "E30,Event Missed Clear #30" "0,1" bitfld.long 0x08 29. "E29,Event Missed Clear #29" "0,1" newline bitfld.long 0x08 28. "E28,Event Missed Clear #28" "0,1" bitfld.long 0x08 27. "E27,Event Missed Clear #27" "0,1" bitfld.long 0x08 26. "E26,Event Missed Clear #26" "0,1" newline bitfld.long 0x08 25. "E25,Event Missed Clear #25" "0,1" bitfld.long 0x08 24. "E24,Event Missed Clear #24" "0,1" bitfld.long 0x08 23. "E23,Event Missed Clear #23" "0,1" newline bitfld.long 0x08 22. "E22,Event Missed Clear #22" "0,1" bitfld.long 0x08 21. "E21,Event Missed Clear #21" "0,1" bitfld.long 0x08 20. "E20,Event Missed Clear #20" "0,1" newline bitfld.long 0x08 19. "E19,Event Missed Clear #19" "0,1" bitfld.long 0x08 18. "E18,Event Missed Clear #18" "0,1" bitfld.long 0x08 17. "E17,Event Missed Clear #17" "0,1" newline bitfld.long 0x08 16. "E16,Event Missed Clear #16" "0,1" bitfld.long 0x08 15. "E15,Event Missed Clear #15" "0,1" bitfld.long 0x08 14. "E14,Event Missed Clear #14" "0,1" newline bitfld.long 0x08 13. "E13,Event Missed Clear #13" "0,1" bitfld.long 0x08 12. "E12,Event Missed Clear #12" "0,1" bitfld.long 0x08 11. "E11,Event Missed Clear #11" "0,1" newline bitfld.long 0x08 10. "E10,Event Missed Clear #10" "0,1" bitfld.long 0x08 9. "E9,Event Missed Clear #9" "0,1" bitfld.long 0x08 8. "E8,Event Missed Clear #8" "0,1" newline bitfld.long 0x08 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x08 6. "E6,Event Missed Clear #6" "0,1" bitfld.long 0x08 5. "E5,Event Missed Clear #5" "0,1" newline bitfld.long 0x08 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x08 3. "E3,Event Missed Clear #3" "0,1" bitfld.long 0x08 2. "E2,Event Missed Clear #2" "0,1" newline bitfld.long 0x08 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x08 0. "E0,Event Missed Clear #0" "0,1" line.long 0x0C "EDMA_TPCC_EMCRH,Event Missed Clear Register (High Part): CPU write of '1' to the.En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event Missed Clear #63" "0,1" bitfld.long 0x0C 30. "E62,Event Missed Clear #62" "0,1" bitfld.long 0x0C 29. "E61,Event Missed Clear #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event Missed Clear #60" "0,1" bitfld.long 0x0C 27. "E59,Event Missed Clear #59" "0,1" bitfld.long 0x0C 26. "E58,Event Missed Clear #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event Missed Clear #57" "0,1" bitfld.long 0x0C 24. "E56,Event Missed Clear #56" "0,1" bitfld.long 0x0C 23. "E55,Event Missed Clear #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event Missed Clear #54" "0,1" bitfld.long 0x0C 21. "E53,Event Missed Clear #53" "0,1" bitfld.long 0x0C 20. "E52,Event Missed Clear #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event Missed Clear #51" "0,1" bitfld.long 0x0C 18. "E50,Event Missed Clear #50" "0,1" bitfld.long 0x0C 17. "E49,Event Missed Clear #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event Missed Clear #48" "0,1" bitfld.long 0x0C 15. "E47,Event Missed Clear #47" "0,1" bitfld.long 0x0C 14. "E46,Event Missed Clear #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event Missed Clear #45" "0,1" bitfld.long 0x0C 12. "E44,Event Missed Clear #44" "0,1" bitfld.long 0x0C 11. "E43,Event Missed Clear #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event Missed Clear #42" "0,1" bitfld.long 0x0C 9. "E41,Event Missed Clear #41" "0,1" bitfld.long 0x0C 8. "E40,Event Missed Clear #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event Missed Clear #39" "0,1" bitfld.long 0x0C 6. "E38,Event Missed Clear #38" "0,1" bitfld.long 0x0C 5. "E37,Event Missed Clear #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event Missed Clear #36" "0,1" bitfld.long 0x0C 3. "E35,Event Missed Clear #35" "0,1" bitfld.long 0x0C 2. "E34,Event Missed Clear #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event Missed Clear #33" "0,1" bitfld.long 0x0C 0. "E32,Event Missed Clear #32" "0,1" line.long 0x10 "EDMA_TPCC_QEMR,QDMA Event Missed Register: The QDMA Event Missed register is set if 2 QDMA events are detected without the first event being cleared or if a Null TR is serviced" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 7. "E7,Event Missed #7" "0,1" bitfld.long 0x10 6. "E6,Event Missed #6" "0,1" newline bitfld.long 0x10 5. "E5,Event Missed #5" "0,1" bitfld.long 0x10 4. "E4,Event Missed #4" "0,1" bitfld.long 0x10 3. "E3,Event Missed #3" "0,1" newline bitfld.long 0x10 2. "E2,Event Missed #2" "0,1" bitfld.long 0x10 1. "E1,Event Missed #1" "0,1" bitfld.long 0x10 0. "E0,Event Missed #0" "0,1" line.long 0x14 "EDMA_TPCC_QEMCR,QDMA Event Missed Clear Register: CPU write of '1' to the.En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event Missed Clear #7" "0,1" bitfld.long 0x14 6. "E6,Event Missed Clear #6" "0,1" newline bitfld.long 0x14 5. "E5,Event Missed Clear #5" "0,1" bitfld.long 0x14 4. "E4,Event Missed Clear #4" "0,1" bitfld.long 0x14 3. "E3,Event Missed Clear #3" "0,1" newline bitfld.long 0x14 2. "E2,Event Missed Clear #2" "0,1" bitfld.long 0x14 1. "E1,Event Missed Clear #1" "0,1" bitfld.long 0x14 0. "E0,Event Missed Clear #0" "0,1" line.long 0x18 "EDMA_TPCC_CCERR,CC Error Register" hexmask.long.word 0x18 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 16. "TCERR,Transfer Completion Code ErrorTCERR can be cleared by writing a '1' to corresponding bit in register" "TCERR_0,TCERR_1" hexmask.long.byte 0x18 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x18 7. "QTHRXCD7,Queue Threshold Error for Q7QTHRXCD7 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD7_0,QTHRXCD7_1" bitfld.long 0x18 6. "QTHRXCD6,Queue Threshold Error for Q6QTHRXCD6 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD6_0,QTHRXCD6_1" bitfld.long 0x18 5. "QTHRXCD5,Queue Threshold Error for Q5QTHRXCD5 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD5_0,QTHRXCD5_1" newline bitfld.long 0x18 4. "QTHRXCD4,Queue Threshold Error for Q4QTHRXCD4 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD4_0,QTHRXCD4_1" bitfld.long 0x18 3. "QTHRXCD3,Queue Threshold Error for Q3QTHRXCD3 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD3_0,QTHRXCD3_1" bitfld.long 0x18 2. "QTHRXCD2,Queue Threshold Error for Q2QTHRXCD2 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD2_0,QTHRXCD2_1" newline bitfld.long 0x18 1. "QTHRXCD1,Queue Threshold Error for Q1QTHRXCD1 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD1_0,QTHRXCD1_1" bitfld.long 0x18 0. "QTHRXCD0,Queue Threshold Error for Q0:QTHRXCD0 can be cleared by writing a '1' to corresponding bit in register" "QTHRXCD0_0,QTHRXCD0_1" line.long 0x1C "EDMA_TPCC_CCERRCLR,CC Error Clear Register" hexmask.long.word 0x1C 17.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 16. "TCERR,Clear Error forEDMA_TPCC_CCERR[16] TR.Write 0x1 to clear the value of[16] TCERR" "0,1" hexmask.long.byte 0x1C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x1C 7. "QTHRXCD7,Clear error forEDMA_TPCC_CCERR[7]QTHRXCD7Write 0x0 have no affect" "0,1" bitfld.long 0x1C 6. "QTHRXCD6,Clear error forEDMA_TPCC_CCERR[6] QTHRXCD6Write 0x0 have no affect" "0,1" bitfld.long 0x1C 5. "QTHRXCD5,Clear error forEDMA_TPCC_CCERR[5] QTHRXCD5Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 4. "QTHRXCD4,Clear error forEDMA_TPCC_CCERR[4] QTHRXCD4:Write 0x0 have no affect" "0,1" bitfld.long 0x1C 3. "QTHRXCD3,Clear error forEDMA_TPCC_CCERR[3] QTHRXCD3Write 0x1 to clear the values of QSTAT3.WM QSTAT3.THRXCD [3] QTHRXCD3" "0,1" bitfld.long 0x1C 2. "QTHRXCD2,Clear error forEDMA_TPCC_CCERR[2] QTHRXCD2Write 0x0 have no affect" "0,1" newline bitfld.long 0x1C 1. "QTHRXCD1,Clear error forEDMA_TPCC_CCERR[1] QTHRXCD1Write 0x1 to clear the values of QSTAT1.WM QSTAT1.THRXCD [1] QTHRXCD1" "0,1" bitfld.long 0x1C 0. "QTHRXCD0,Clear error forEDMA_TPCC_CCERR[0] QTHRXCD0Write 0x0 have no affect" "0,1" line.long 0x20 "EDMA_TPCC_EEVAL,Error Eval Register" hexmask.long 0x20 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x20 1. "SET,Error Interrupt SetCPU writes 0x0 has no effect" "0,1" bitfld.long 0x20 0. "EVAL,Error Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" group.long 0x620++0x07 line.long 0x00 "EDMA_TPCC_QWMTHRA,Queue Threshold A. for Q[3:0]:.QTHRXCDn and QSTATn[24] THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by .Qn" rbitfld.long 0x00 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "Q3,Queue Threshold for Q3 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16.--20. "Q2,Queue Threshold for Q2 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "Q1,Queue Threshold for Q1 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "Q0,Queue Threshold for Q0 value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "EDMA_TPCC_QWMTHRB,Queue Threshold B. for Q[7:4]:.QTHRXCDn and QSTATn[24]THRXCD error bit is set when the number of Events in QueueN at an instant in time (visible via QSTATn[12:8] NUMVAL) equals or exceeds the value specified by QWMTHRB.Qn" rbitfld.long 0x04 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 24.--28. "Q7,Queue Threshold for Q7 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 16.--20. "Q6,Queue Threshold for Q6 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 8.--12. "Q5,Queue Threshold for Q5 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x04 0.--4. "Q4,Queue Threshold for Q4 value (unused in the context of IVAHD)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x640++0x03 line.long 0x00 "EDMA_TPCC_CCSTAT,CC Status Register" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,reads return 0's" rbitfld.long 0x00 23. "QUEACTV7,Queue 7 Active" "QUEACTV7_0,QUEACTV7_1" rbitfld.long 0x00 22. "QUEACTV6,Queue 6 Active" "QUEACTV6_0,QUEACTV6_1" newline rbitfld.long 0x00 21. "QUEACTV5,Queue 5 Active" "QUEACTV5_0,QUEACTV5_1" rbitfld.long 0x00 20. "QUEACTV4,Queue 4 Active" "QUEACTV4_0,QUEACTV4_1" rbitfld.long 0x00 19. "QUEACTV3,Queue 3 Active" "QUEACTV3_0,QUEACTV3_1" newline bitfld.long 0x00 18. "QUEACTV2,Queue 2 Active QUEACTV2 =" "No Evts are queued in Q2,At least one TR is queued in Q2" rbitfld.long 0x00 17. "QUEACTV1,Queue 1 Active" "QUEACTV1_0,QUEACTV1_1" rbitfld.long 0x00 16. "QUEACTV0,Queue 0 Active" "QUEACTV0_0,QUEACTV0_1" newline bitfld.long 0x00 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8.--13. "COMPACTV,Completion Request Active: Counter that tracks the total number of completion requests submitted to the TC" "COMPACTV_0,COMPACTV_1,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,COMPACTV_63" rbitfld.long 0x00 5.--7. "RESERVED,reads return 0's" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4. "ACTV,Channel Controller Active Channel Controller Active is a logical-OR of each of the *ACTV signals" "ACTV_0,ACTV_1" rbitfld.long 0x00 3. "RESERVED,reads return 0's" "0,1" bitfld.long 0x00 2. "TRACTV,Transfer Request Active TRACTV =" "Transfer Request processing/submission logic,Transfer Request processing/submission logic" newline rbitfld.long 0x00 1. "QEVTACTV,QDMA Event Active" "QEVTACTV_0,QEVTACTV_1" rbitfld.long 0x00 0. "EVTACTV,DMA Event Active" "EVTACTV_0,EVTACTV_1" group.long 0x700++0x0B line.long 0x00 "EDMA_TPCC_AETCTL,Advanced Event Trigger Control" bitfld.long 0x00 31. "EN,AET Enable" "EN_0,EN_1" hexmask.long.tbyte 0x00 14.--30. 1. "RESERVED,Reserved" bitfld.long 0x00 8.--13. "ENDINT,AET End Interrupt: Dictates the completion interrupt number that will force the tpcc_aet signal to be deasserted (low)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 6. "TYPE,AET Event Type" "TYPE_0,TYPE_1" bitfld.long 0x00 0.--5. "STRTEVT,AET Start Event: Dictates the Event Number that will force the tpcc_aet signal to be asserted (high)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "EDMA_TPCC_AETSTAT,Advanced Event Trigger Stat" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 0. "STAT,AET Status" "STAT_0,STAT_1" line.long 0x08 "EDMA_TPCC_AETCMD,AET Command" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "CLR,AET Clear commandCPU writes 0x0 has no effect" "0,1" rgroup.long 0x800++0x0F line.long 0x00 "EDMA_TPCC_MPFAR,MMemory Protection Fault Address" line.long 0x04 "EDMA_TPCC_MPFSR,Memory Protection Fault Status Register" hexmask.long.tbyte 0x04 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 9.--12. "FID,Faulted ID: FID register contains valid info if any of the MP error bits (UXE UWE URE SXE SWE SRE) are non-zero (i.e. if an error has been detected.) The FID field contains the VBus PrivID for the specific request/requestor that resulted in a MP Error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 5. "SRE,Supervisor Read Error" "SRE_0,SRE_1" rbitfld.long 0x04 4. "SWE,Supervisor Write Error" "SWE_0,SWE_1" rbitfld.long 0x04 3. "SXE,Supervisor Execute Error" "SXE_0,SXE_1" newline rbitfld.long 0x04 2. "URE,User Read Error" "URE_0,URE_1" rbitfld.long 0x04 1. "UWE,User Write Error" "UWE_0,UWE_1" rbitfld.long 0x04 0. "UXE,User Execute Error" "UXE_0,UXE_1" line.long 0x08 "EDMA_TPCC_MPFCR,Memory Protection Fault Command Register" hexmask.long 0x08 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "MPFCLR,Fault Clear register" "MPFCLR_0_w,MPFCLR_1_w" line.long 0x0C "EDMA_TPCC_MPPAG,Memory Protection Page Attribute for Global registers" hexmask.long.word 0x0C 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" bitfld.long 0x0C 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" newline bitfld.long 0x0C 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" bitfld.long 0x0C 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x0C 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x0C 10. "AID0,Allowed ID 0" "AID0_0,AID0_1" bitfld.long 0x0C 9. "EXT,External Allowed ID" "EXT_0,EXT_1" rbitfld.long 0x0C 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 5. "SR,Supervisor Read permission" "SR_0,SR_1" bitfld.long 0x0C 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x0C 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x0C 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x0C 1. "UW,User Write permission" "UW_0,UW_1" bitfld.long 0x0C 0. "UX,User Execute permission" "UX_0,UX_1" rgroup.long 0x1000++0x47 line.long 0x00 "EDMA_TPCC_ER,Event Register: If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" bitfld.long 0x00 29. "E29,Event #29" "0,1" newline bitfld.long 0x00 28. "E28,Event #28" "0,1" bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" bitfld.long 0x00 23. "E23,Event #23" "0,1" newline bitfld.long 0x00 22. "E22,Event #22" "0,1" bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" bitfld.long 0x00 17. "E17,Event #17" "0,1" newline bitfld.long 0x00 16. "E16,Event #16" "0,1" bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" bitfld.long 0x00 11. "E11,Event #11" "0,1" newline bitfld.long 0x00 10. "E10,Event #10" "0,1" bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_ERH,Event Register (High Part): If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x04 31. "E63,Event #63" "0,1" bitfld.long 0x04 30. "E62,Event #62" "0,1" bitfld.long 0x04 29. "E61,Event #61" "0,1" newline bitfld.long 0x04 28. "E60,Event #60" "0,1" bitfld.long 0x04 27. "E59,Event #59" "0,1" bitfld.long 0x04 26. "E58,Event #58" "0,1" newline bitfld.long 0x04 25. "E57,Event #57" "0,1" bitfld.long 0x04 24. "E56,Event #56" "0,1" bitfld.long 0x04 23. "E55,Event #55" "0,1" newline bitfld.long 0x04 22. "E54,Event #54" "0,1" bitfld.long 0x04 21. "E53,Event #53" "0,1" bitfld.long 0x04 20. "E52,Event #52" "0,1" newline bitfld.long 0x04 19. "E51,Event #51" "0,1" bitfld.long 0x04 18. "E50,Event #50" "0,1" bitfld.long 0x04 17. "E49,Event #49" "0,1" newline bitfld.long 0x04 16. "E48,Event #48" "0,1" bitfld.long 0x04 15. "E47,Event #47" "0,1" bitfld.long 0x04 14. "E46,Event #46" "0,1" newline bitfld.long 0x04 13. "E45,Event #45" "0,1" bitfld.long 0x04 12. "E44,Event #44" "0,1" bitfld.long 0x04 11. "E43,Event #43" "0,1" newline bitfld.long 0x04 10. "E42,Event #42" "0,1" bitfld.long 0x04 9. "E41,Event #41" "0,1" bitfld.long 0x04 8. "E40,Event #40" "0,1" newline bitfld.long 0x04 7. "E39,Event #39" "0,1" bitfld.long 0x04 6. "E38,Event #38" "0,1" bitfld.long 0x04 5. "E37,Event #37" "0,1" newline bitfld.long 0x04 4. "E36,Event #36" "0,1" bitfld.long 0x04 3. "E35,Event #35" "0,1" bitfld.long 0x04 2. "E34,Event #34" "0,1" newline bitfld.long 0x04 1. "E33,Event #33" "0,1" bitfld.long 0x04 0. "E32,Event #32" "0,1" line.long 0x08 "EDMA_TPCC_ECR,Event Clear Register: CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x08 31. "E31,Event #31" "0,1" bitfld.long 0x08 30. "E30,Event #30" "0,1" bitfld.long 0x08 29. "E29,Event #29" "0,1" newline bitfld.long 0x08 28. "E28,Event #28" "0,1" bitfld.long 0x08 27. "E27,Event #27" "0,1" bitfld.long 0x08 26. "E26,Event #26" "0,1" newline bitfld.long 0x08 25. "E25,Event #25" "0,1" bitfld.long 0x08 24. "E24,Event #24" "0,1" bitfld.long 0x08 23. "E23,Event #23" "0,1" newline bitfld.long 0x08 22. "E22,Event #22" "0,1" bitfld.long 0x08 21. "E21,Event #21" "0,1" bitfld.long 0x08 20. "E20,Event #20" "0,1" newline bitfld.long 0x08 19. "E19,Event #19" "0,1" bitfld.long 0x08 18. "E18,Event #18" "0,1" bitfld.long 0x08 17. "E17,Event #17" "0,1" newline bitfld.long 0x08 16. "E16,Event #16" "0,1" bitfld.long 0x08 15. "E15,Event #15" "0,1" bitfld.long 0x08 14. "E14,Event #14" "0,1" newline bitfld.long 0x08 13. "E13,Event #13" "0,1" bitfld.long 0x08 12. "E12,Event #12" "0,1" bitfld.long 0x08 11. "E11,Event #11" "0,1" newline bitfld.long 0x08 10. "E10,Event #10" "0,1" bitfld.long 0x08 9. "E9,Event #9" "0,1" bitfld.long 0x08 8. "E8,Event #8" "0,1" newline bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" bitfld.long 0x08 5. "E5,Event #5" "0,1" newline bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" bitfld.long 0x08 2. "E2,Event #2" "0,1" newline bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_ECRH,Event Clear Register (High Part): CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x0C 31. "E63,Event #63" "0,1" bitfld.long 0x0C 30. "E62,Event #62" "0,1" bitfld.long 0x0C 29. "E61,Event #61" "0,1" newline bitfld.long 0x0C 28. "E60,Event #60" "0,1" bitfld.long 0x0C 27. "E59,Event #59" "0,1" bitfld.long 0x0C 26. "E58,Event #58" "0,1" newline bitfld.long 0x0C 25. "E57,Event #57" "0,1" bitfld.long 0x0C 24. "E56,Event #56" "0,1" bitfld.long 0x0C 23. "E55,Event #55" "0,1" newline bitfld.long 0x0C 22. "E54,Event #54" "0,1" bitfld.long 0x0C 21. "E53,Event #53" "0,1" bitfld.long 0x0C 20. "E52,Event #52" "0,1" newline bitfld.long 0x0C 19. "E51,Event #51" "0,1" bitfld.long 0x0C 18. "E50,Event #50" "0,1" bitfld.long 0x0C 17. "E49,Event #49" "0,1" newline bitfld.long 0x0C 16. "E48,Event #48" "0,1" bitfld.long 0x0C 15. "E47,Event #47" "0,1" bitfld.long 0x0C 14. "E46,Event #46" "0,1" newline bitfld.long 0x0C 13. "E45,Event #45" "0,1" bitfld.long 0x0C 12. "E44,Event #44" "0,1" bitfld.long 0x0C 11. "E43,Event #43" "0,1" newline bitfld.long 0x0C 10. "E42,Event #42" "0,1" bitfld.long 0x0C 9. "E41,Event #41" "0,1" bitfld.long 0x0C 8. "E40,Event #40" "0,1" newline bitfld.long 0x0C 7. "E39,Event #39" "0,1" bitfld.long 0x0C 6. "E38,Event #38" "0,1" bitfld.long 0x0C 5. "E37,Event #37" "0,1" newline bitfld.long 0x0C 4. "E36,Event #36" "0,1" bitfld.long 0x0C 3. "E35,Event #35" "0,1" bitfld.long 0x0C 2. "E34,Event #34" "0,1" newline bitfld.long 0x0C 1. "E33,Event #33" "0,1" bitfld.long 0x0C 0. "E32,Event #32" "0,1" line.long 0x10 "EDMA_TPCC_ESR,Event Set Register: CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x10 31. "E31,Event #31" "0,1" bitfld.long 0x10 30. "E30,Event #30" "0,1" bitfld.long 0x10 29. "E29,Event #29" "0,1" newline bitfld.long 0x10 28. "E28,Event #28" "0,1" bitfld.long 0x10 27. "E27,Event #27" "0,1" bitfld.long 0x10 26. "E26,Event #26" "0,1" newline bitfld.long 0x10 25. "E25,Event #25" "0,1" bitfld.long 0x10 24. "E24,Event #24" "0,1" bitfld.long 0x10 23. "E23,Event #23" "0,1" newline bitfld.long 0x10 22. "E22,Event #22" "0,1" bitfld.long 0x10 21. "E21,Event #21" "0,1" bitfld.long 0x10 20. "E20,Event #20" "0,1" newline bitfld.long 0x10 19. "E19,Event #19" "0,1" bitfld.long 0x10 18. "E18,Event #18" "0,1" bitfld.long 0x10 17. "E17,Event #17" "0,1" newline bitfld.long 0x10 16. "E16,Event #16" "0,1" bitfld.long 0x10 15. "E15,Event #15" "0,1" bitfld.long 0x10 14. "E14,Event #14" "0,1" newline bitfld.long 0x10 13. "E13,Event #13" "0,1" bitfld.long 0x10 12. "E12,Event #12" "0,1" bitfld.long 0x10 11. "E11,Event #11" "0,1" newline bitfld.long 0x10 10. "E10,Event #10" "0,1" bitfld.long 0x10 9. "E9,Event #9" "0,1" bitfld.long 0x10 8. "E8,Event #8" "0,1" newline bitfld.long 0x10 7. "E7,Event #7" "0,1" bitfld.long 0x10 6. "E6,Event #6" "0,1" bitfld.long 0x10 5. "E5,Event #5" "0,1" newline bitfld.long 0x10 4. "E4,Event #4" "0,1" bitfld.long 0x10 3. "E3,Event #3" "0,1" bitfld.long 0x10 2. "E2,Event #2" "0,1" newline bitfld.long 0x10 1. "E1,Event #1" "0,1" bitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_ESRH,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x14 31. "E63,Event #63" "0,1" bitfld.long 0x14 30. "E62,Event #62" "0,1" bitfld.long 0x14 29. "E61,Event #61" "0,1" newline bitfld.long 0x14 28. "E60,Event #60" "0,1" bitfld.long 0x14 27. "E59,Event #59" "0,1" bitfld.long 0x14 26. "E58,Event #58" "0,1" newline bitfld.long 0x14 25. "E57,Event #57" "0,1" bitfld.long 0x14 24. "E56,Event #56" "0,1" bitfld.long 0x14 23. "E55,Event #55" "0,1" newline bitfld.long 0x14 22. "E54,Event #54" "0,1" bitfld.long 0x14 21. "E53,Event #53" "0,1" bitfld.long 0x14 20. "E52,Event #52" "0,1" newline bitfld.long 0x14 19. "E51,Event #51" "0,1" bitfld.long 0x14 18. "E50,Event #50" "0,1" bitfld.long 0x14 17. "E49,Event #49" "0,1" newline bitfld.long 0x14 16. "E48,Event #48" "0,1" bitfld.long 0x14 15. "E47,Event #47" "0,1" bitfld.long 0x14 14. "E46,Event #46" "0,1" newline bitfld.long 0x14 13. "E45,Event #45" "0,1" bitfld.long 0x14 12. "E44,Event #44" "0,1" bitfld.long 0x14 11. "E43,Event #43" "0,1" newline bitfld.long 0x14 10. "E42,Event #42" "0,1" bitfld.long 0x14 9. "E41,Event #41" "0,1" bitfld.long 0x14 8. "E40,Event #40" "0,1" newline bitfld.long 0x14 7. "E39,Event #39" "0,1" bitfld.long 0x14 6. "E38,Event #38" "0,1" bitfld.long 0x14 5. "E37,Event #37" "0,1" newline bitfld.long 0x14 4. "E36,Event #36" "0,1" bitfld.long 0x14 3. "E35,Event #35" "0,1" bitfld.long 0x14 2. "E34,Event #34" "0,1" newline bitfld.long 0x14 1. "E33,Event #33" "0,1" bitfld.long 0x14 0. "E32,Event #32" "0,1" line.long 0x18 "EDMA_TPCC_CER,Chained Event Register: If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x18 31. "E31,Event #31" "0,1" bitfld.long 0x18 30. "E30,Event #30" "0,1" bitfld.long 0x18 29. "E29,Event #29" "0,1" newline bitfld.long 0x18 28. "E28,Event #28" "0,1" bitfld.long 0x18 27. "E27,Event #27" "0,1" bitfld.long 0x18 26. "E26,Event #26" "0,1" newline bitfld.long 0x18 25. "E25,Event #25" "0,1" bitfld.long 0x18 24. "E24,Event #24" "0,1" bitfld.long 0x18 23. "E23,Event #23" "0,1" newline bitfld.long 0x18 22. "E22,Event #22" "0,1" bitfld.long 0x18 21. "E21,Event #21" "0,1" bitfld.long 0x18 20. "E20,Event #20" "0,1" newline bitfld.long 0x18 19. "E19,Event #19" "0,1" bitfld.long 0x18 18. "E18,Event #18" "0,1" bitfld.long 0x18 17. "E17,Event #17" "0,1" newline bitfld.long 0x18 16. "E16,Event #16" "0,1" bitfld.long 0x18 15. "E15,Event #15" "0,1" bitfld.long 0x18 14. "E14,Event #14" "0,1" newline bitfld.long 0x18 13. "E13,Event #13" "0,1" bitfld.long 0x18 12. "E12,Event #12" "0,1" bitfld.long 0x18 11. "E11,Event #11" "0,1" newline bitfld.long 0x18 10. "E10,Event #10" "0,1" bitfld.long 0x18 9. "E9,Event #9" "0,1" bitfld.long 0x18 8. "E8,Event #8" "0,1" newline bitfld.long 0x18 7. "E7,Event #7" "0,1" bitfld.long 0x18 6. "E6,Event #6" "0,1" bitfld.long 0x18 5. "E5,Event #5" "0,1" newline bitfld.long 0x18 4. "E4,Event #4" "0,1" bitfld.long 0x18 3. "E3,Event #3" "0,1" bitfld.long 0x18 2. "E2,Event #2" "0,1" newline bitfld.long 0x18 1. "E1,Event #1" "0,1" bitfld.long 0x18 0. "E0,Event #0" "0,1" line.long 0x1C "EDMA_TPCC_CERH,Chained Event Register (High Part): If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x1C 31. "E63,Event #63" "0,1" bitfld.long 0x1C 30. "E62,Event #62" "0,1" bitfld.long 0x1C 29. "E61,Event #61" "0,1" newline bitfld.long 0x1C 28. "E60,Event #60" "0,1" bitfld.long 0x1C 27. "E59,Event #59" "0,1" bitfld.long 0x1C 26. "E58,Event #58" "0,1" newline bitfld.long 0x1C 25. "E57,Event #57" "0,1" bitfld.long 0x1C 24. "E56,Event #56" "0,1" bitfld.long 0x1C 23. "E55,Event #55" "0,1" newline bitfld.long 0x1C 22. "E54,Event #54" "0,1" bitfld.long 0x1C 21. "E53,Event #53" "0,1" bitfld.long 0x1C 20. "E52,Event #52" "0,1" newline bitfld.long 0x1C 19. "E51,Event #51" "0,1" bitfld.long 0x1C 18. "E50,Event #50" "0,1" bitfld.long 0x1C 17. "E49,Event #49" "0,1" newline bitfld.long 0x1C 16. "E48,Event #48" "0,1" bitfld.long 0x1C 15. "E47,Event #47" "0,1" bitfld.long 0x1C 14. "E46,Event #46" "0,1" newline bitfld.long 0x1C 13. "E45,Event #45" "0,1" bitfld.long 0x1C 12. "E44,Event #44" "0,1" bitfld.long 0x1C 11. "E43,Event #43" "0,1" newline bitfld.long 0x1C 10. "E42,Event #42" "0,1" bitfld.long 0x1C 9. "E41,Event #41" "0,1" bitfld.long 0x1C 8. "E40,Event #40" "0,1" newline bitfld.long 0x1C 7. "E39,Event #39" "0,1" bitfld.long 0x1C 6. "E38,Event #38" "0,1" bitfld.long 0x1C 5. "E37,Event #37" "0,1" newline bitfld.long 0x1C 4. "E36,Event #36" "0,1" bitfld.long 0x1C 3. "E35,Event #35" "0,1" bitfld.long 0x1C 2. "E34,Event #34" "0,1" newline bitfld.long 0x1C 1. "E33,Event #33" "0,1" bitfld.long 0x1C 0. "E32,Event #32" "0,1" line.long 0x20 "EDMA_TPCC_EER,Event Enable Register: Enables DMA transfers for.En pending events" bitfld.long 0x20 31. "E31,Event #31" "0,1" bitfld.long 0x20 30. "E30,Event #30" "0,1" bitfld.long 0x20 29. "E29,Event #29" "0,1" newline bitfld.long 0x20 28. "E28,Event #28" "0,1" bitfld.long 0x20 27. "E27,Event #27" "0,1" bitfld.long 0x20 26. "E26,Event #26" "0,1" newline bitfld.long 0x20 25. "E25,Event #25" "0,1" bitfld.long 0x20 24. "E24,Event #24" "0,1" bitfld.long 0x20 23. "E23,Event #23" "0,1" newline bitfld.long 0x20 22. "E22,Event #22" "0,1" bitfld.long 0x20 21. "E21,Event #21" "0,1" bitfld.long 0x20 20. "E20,Event #20" "0,1" newline bitfld.long 0x20 19. "E19,Event #19" "0,1" bitfld.long 0x20 18. "E18,Event #18" "0,1" bitfld.long 0x20 17. "E17,Event #17" "0,1" newline bitfld.long 0x20 16. "E16,Event #16" "0,1" bitfld.long 0x20 15. "E15,Event #15" "0,1" bitfld.long 0x20 14. "E14,Event #14" "0,1" newline bitfld.long 0x20 13. "E13,Event #13" "0,1" bitfld.long 0x20 12. "E12,Event #12" "0,1" bitfld.long 0x20 11. "E11,Event #11" "0,1" newline bitfld.long 0x20 10. "E10,Event #10" "0,1" bitfld.long 0x20 9. "E9,Event #9" "0,1" bitfld.long 0x20 8. "E8,Event #8" "0,1" newline bitfld.long 0x20 7. "E7,Event #7" "0,1" bitfld.long 0x20 6. "E6,Event #6" "0,1" bitfld.long 0x20 5. "E5,Event #5" "0,1" newline bitfld.long 0x20 4. "E4,Event #4" "0,1" bitfld.long 0x20 3. "E3,Event #3" "0,1" bitfld.long 0x20 2. "E2,Event #2" "0,1" newline bitfld.long 0x20 1. "E1,Event #1" "0,1" bitfld.long 0x20 0. "E0,Event #0" "0,1" line.long 0x24 "EDMA_TPCC_EERH,Event Enable Register (High Part): Enables DMA transfers for .En pending events" bitfld.long 0x24 31. "E63,Event #63" "0,1" bitfld.long 0x24 30. "E62,Event #62" "0,1" bitfld.long 0x24 29. "E61,Event #61" "0,1" newline bitfld.long 0x24 28. "E60,Event #60" "0,1" bitfld.long 0x24 27. "E59,Event #59" "0,1" bitfld.long 0x24 26. "E58,Event #58" "0,1" newline bitfld.long 0x24 25. "E57,Event #57" "0,1" bitfld.long 0x24 24. "E56,Event #56" "0,1" bitfld.long 0x24 23. "E55,Event #55" "0,1" newline bitfld.long 0x24 22. "E54,Event #54" "0,1" bitfld.long 0x24 21. "E53,Event #53" "0,1" bitfld.long 0x24 20. "E52,Event #52" "0,1" newline bitfld.long 0x24 19. "E51,Event #51" "0,1" bitfld.long 0x24 18. "E50,Event #50" "0,1" bitfld.long 0x24 17. "E49,Event #49" "0,1" newline bitfld.long 0x24 16. "E48,Event #48" "0,1" bitfld.long 0x24 15. "E47,Event #47" "0,1" bitfld.long 0x24 14. "E46,Event #46" "0,1" newline bitfld.long 0x24 13. "E45,Event #45" "0,1" bitfld.long 0x24 12. "E44,Event #44" "0,1" bitfld.long 0x24 11. "E43,Event #43" "0,1" newline bitfld.long 0x24 10. "E42,Event #42" "0,1" bitfld.long 0x24 9. "E41,Event #41" "0,1" bitfld.long 0x24 8. "E40,Event #40" "0,1" newline bitfld.long 0x24 7. "E39,Event #39" "0,1" bitfld.long 0x24 6. "E38,Event #38" "0,1" bitfld.long 0x24 5. "E37,Event #37" "0,1" newline bitfld.long 0x24 4. "E36,Event #36" "0,1" bitfld.long 0x24 3. "E35,Event #35" "0,1" bitfld.long 0x24 2. "E34,Event #34" "0,1" newline bitfld.long 0x24 1. "E33,Event #33" "0,1" bitfld.long 0x24 0. "E32,Event #32" "0,1" line.long 0x28 "EDMA_TPCC_EECR,Event Enable Clear Register CPU writes of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x28 31. "E31,Event #31" "0,1" bitfld.long 0x28 30. "E30,Event #30" "0,1" bitfld.long 0x28 29. "E29,Event #29" "0,1" newline bitfld.long 0x28 28. "E28,Event #28" "0,1" bitfld.long 0x28 27. "E27,Event #27" "0,1" bitfld.long 0x28 26. "E26,Event #26" "0,1" newline bitfld.long 0x28 25. "E25,Event #25" "0,1" bitfld.long 0x28 24. "E24,Event #24" "0,1" bitfld.long 0x28 23. "E23,Event #23" "0,1" newline bitfld.long 0x28 22. "E22,Event #22" "0,1" bitfld.long 0x28 21. "E21,Event #21" "0,1" bitfld.long 0x28 20. "E20,Event #20" "0,1" newline bitfld.long 0x28 19. "E19,Event #19" "0,1" bitfld.long 0x28 18. "E18,Event #18" "0,1" bitfld.long 0x28 17. "E17,Event #17" "0,1" newline bitfld.long 0x28 16. "E16,Event #16" "0,1" bitfld.long 0x28 15. "E15,Event #15" "0,1" bitfld.long 0x28 14. "E14,Event #14" "0,1" newline bitfld.long 0x28 13. "E13,Event #13" "0,1" bitfld.long 0x28 12. "E12,Event #12" "0,1" bitfld.long 0x28 11. "E11,Event #11" "0,1" newline bitfld.long 0x28 10. "E10,Event #10" "0,1" bitfld.long 0x28 9. "E9,Event #9" "0,1" bitfld.long 0x28 8. "E8,Event #8" "0,1" newline bitfld.long 0x28 7. "E7,Event #7" "0,1" bitfld.long 0x28 6. "E6,Event #6" "0,1" bitfld.long 0x28 5. "E5,Event #5" "0,1" newline bitfld.long 0x28 4. "E4,Event #4" "0,1" bitfld.long 0x28 3. "E3,Event #3" "0,1" bitfld.long 0x28 2. "E2,Event #2" "0,1" newline bitfld.long 0x28 1. "E1,Event #1" "0,1" bitfld.long 0x28 0. "E0,Event #0" "0,1" line.long 0x2C "EDMA_TPCC_EECRH,Event Enable Clear Register (High Part) CPU writes of '1' to the .En bit causes the EERH.En bit to be cleared" bitfld.long 0x2C 31. "E63,Event #63" "0,1" bitfld.long 0x2C 30. "E62,Event #62" "0,1" bitfld.long 0x2C 29. "E61,Event #61" "0,1" newline bitfld.long 0x2C 28. "E60,Event #60" "0,1" bitfld.long 0x2C 27. "E59,Event #59" "0,1" bitfld.long 0x2C 26. "E58,Event #58" "0,1" newline bitfld.long 0x2C 25. "E57,Event #57" "0,1" bitfld.long 0x2C 24. "E56,Event #56" "0,1" bitfld.long 0x2C 23. "E55,Event #55" "0,1" newline bitfld.long 0x2C 22. "E54,Event #54" "0,1" bitfld.long 0x2C 21. "E53,Event #53" "0,1" bitfld.long 0x2C 20. "E52,Event #52" "0,1" newline bitfld.long 0x2C 19. "E51,Event #51" "0,1" bitfld.long 0x2C 18. "E50,Event #50" "0,1" bitfld.long 0x2C 17. "E49,Event #49" "0,1" newline bitfld.long 0x2C 16. "E48,Event #48" "0,1" bitfld.long 0x2C 15. "E47,Event #47" "0,1" bitfld.long 0x2C 14. "E46,Event #46" "0,1" newline bitfld.long 0x2C 13. "E45,Event #45" "0,1" bitfld.long 0x2C 12. "E44,Event #44" "0,1" bitfld.long 0x2C 11. "E43,Event #43" "0,1" newline bitfld.long 0x2C 10. "E42,Event #42" "0,1" bitfld.long 0x2C 9. "E41,Event #41" "0,1" bitfld.long 0x2C 8. "E40,Event #40" "0,1" newline bitfld.long 0x2C 7. "E39,Event #39" "0,1" bitfld.long 0x2C 6. "E38,Event #38" "0,1" bitfld.long 0x2C 5. "E37,Event #37" "0,1" newline bitfld.long 0x2C 4. "E36,Event #36" "0,1" bitfld.long 0x2C 3. "E35,Event #35" "0,1" bitfld.long 0x2C 2. "E34,Event #34" "0,1" newline bitfld.long 0x2C 1. "E33,Event #33" "0,1" bitfld.long 0x2C 0. "E32,Event #32" "0,1" line.long 0x30 "EDMA_TPCC_EESR,Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x30 31. "E31,Event #31" "0,1" bitfld.long 0x30 30. "E30,Event #30" "0,1" bitfld.long 0x30 29. "E29,Event #29" "0,1" newline bitfld.long 0x30 28. "E28,Event #28" "0,1" bitfld.long 0x30 27. "E27,Event #27" "0,1" bitfld.long 0x30 26. "E26,Event #26" "0,1" newline bitfld.long 0x30 25. "E25,Event #25" "0,1" bitfld.long 0x30 24. "E24,Event #24" "0,1" bitfld.long 0x30 23. "E23,Event #23" "0,1" newline bitfld.long 0x30 22. "E22,Event #22" "0,1" bitfld.long 0x30 21. "E21,Event #21" "0,1" bitfld.long 0x30 20. "E20,Event #20" "0,1" newline bitfld.long 0x30 19. "E19,Event #19" "0,1" bitfld.long 0x30 18. "E18,Event #18" "0,1" bitfld.long 0x30 17. "E17,Event #17" "0,1" newline bitfld.long 0x30 16. "E16,Event #16" "0,1" bitfld.long 0x30 15. "E15,Event #15" "0,1" bitfld.long 0x30 14. "E14,Event #14" "0,1" newline bitfld.long 0x30 13. "E13,Event #13" "0,1" bitfld.long 0x30 12. "E12,Event #12" "0,1" bitfld.long 0x30 11. "E11,Event #11" "0,1" newline bitfld.long 0x30 10. "E10,Event #10" "0,1" bitfld.long 0x30 9. "E9,Event #9" "0,1" bitfld.long 0x30 8. "E8,Event #8" "0,1" newline bitfld.long 0x30 7. "E7,Event #7" "0,1" bitfld.long 0x30 6. "E6,Event #6" "0,1" bitfld.long 0x30 5. "E5,Event #5" "0,1" newline bitfld.long 0x30 4. "E4,Event #4" "0,1" bitfld.long 0x30 3. "E3,Event #3" "0,1" bitfld.long 0x30 2. "E2,Event #2" "0,1" newline bitfld.long 0x30 1. "E1,Event #1" "0,1" bitfld.long 0x30 0. "E0,Event #0" "0,1" line.long 0x34 "EDMA_TPCC_EESRH,Event Enable Set Register (High Part) CPU writes of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x34 31. "E63,Event #63" "0,1" bitfld.long 0x34 30. "E62,Event #62" "0,1" bitfld.long 0x34 29. "E61,Event #61" "0,1" newline bitfld.long 0x34 28. "E60,Event #60" "0,1" bitfld.long 0x34 27. "E59,Event #59" "0,1" bitfld.long 0x34 26. "E58,Event #58" "0,1" newline bitfld.long 0x34 25. "E57,Event #57" "0,1" bitfld.long 0x34 24. "E56,Event #56" "0,1" bitfld.long 0x34 23. "E55,Event #55" "0,1" newline bitfld.long 0x34 22. "E54,Event #54" "0,1" bitfld.long 0x34 21. "E53,Event #53" "0,1" bitfld.long 0x34 20. "E52,Event #52" "0,1" newline bitfld.long 0x34 19. "E51,Event #51" "0,1" bitfld.long 0x34 18. "E50,Event #50" "0,1" bitfld.long 0x34 17. "E49,Event #49" "0,1" newline bitfld.long 0x34 16. "E48,Event #48" "0,1" bitfld.long 0x34 15. "E47,Event #47" "0,1" bitfld.long 0x34 14. "E46,Event #46" "0,1" newline bitfld.long 0x34 13. "E45,Event #45" "0,1" bitfld.long 0x34 12. "E44,Event #44" "0,1" bitfld.long 0x34 11. "E43,Event #43" "0,1" newline bitfld.long 0x34 10. "E42,Event #42" "0,1" bitfld.long 0x34 9. "E41,Event #41" "0,1" bitfld.long 0x34 8. "E40,Event #40" "0,1" newline bitfld.long 0x34 7. "E39,Event #39" "0,1" bitfld.long 0x34 6. "E38,Event #38" "0,1" bitfld.long 0x34 5. "E37,Event #37" "0,1" newline bitfld.long 0x34 4. "E36,Event #36" "0,1" bitfld.long 0x34 3. "E35,Event #35" "0,1" bitfld.long 0x34 2. "E34,Event #34" "0,1" newline bitfld.long 0x34 1. "E33,Event #33" "0,1" bitfld.long 0x34 0. "E32,Event #32" "0,1" line.long 0x38 "EDMA_TPCC_SER,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x38 31. "E31,Event #31" "0,1" bitfld.long 0x38 30. "E30,Event #30" "0,1" bitfld.long 0x38 29. "E29,Event #29" "0,1" newline bitfld.long 0x38 28. "E28,Event #28" "0,1" bitfld.long 0x38 27. "E27,Event #27" "0,1" bitfld.long 0x38 26. "E26,Event #26" "0,1" newline bitfld.long 0x38 25. "E25,Event #25" "0,1" bitfld.long 0x38 24. "E24,Event #24" "0,1" bitfld.long 0x38 23. "E23,Event #23" "0,1" newline bitfld.long 0x38 22. "E22,Event #22" "0,1" bitfld.long 0x38 21. "E21,Event #21" "0,1" bitfld.long 0x38 20. "E20,Event #20" "0,1" newline bitfld.long 0x38 19. "E19,Event #19" "0,1" bitfld.long 0x38 18. "E18,Event #18" "0,1" bitfld.long 0x38 17. "E17,Event #17" "0,1" newline bitfld.long 0x38 16. "E16,Event #16" "0,1" bitfld.long 0x38 15. "E15,Event #15" "0,1" bitfld.long 0x38 14. "E14,Event #14" "0,1" newline bitfld.long 0x38 13. "E13,Event #13" "0,1" bitfld.long 0x38 12. "E12,Event #12" "0,1" bitfld.long 0x38 11. "E11,Event #11" "0,1" newline bitfld.long 0x38 10. "E10,Event #10" "0,1" bitfld.long 0x38 9. "E9,Event #9" "0,1" bitfld.long 0x38 8. "E8,Event #8" "0,1" newline bitfld.long 0x38 7. "E7,Event #7" "0,1" bitfld.long 0x38 6. "E6,Event #6" "0,1" bitfld.long 0x38 5. "E5,Event #5" "0,1" newline bitfld.long 0x38 4. "E4,Event #4" "0,1" bitfld.long 0x38 3. "E3,Event #3" "0,1" bitfld.long 0x38 2. "E2,Event #2" "0,1" newline bitfld.long 0x38 1. "E1,Event #1" "0,1" bitfld.long 0x38 0. "E0,Event #0" "0,1" line.long 0x3C "EDMA_TPCC_SERH,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x3C 31. "E63,Event #63" "0,1" bitfld.long 0x3C 30. "E62,Event #62" "0,1" bitfld.long 0x3C 29. "E61,Event #61" "0,1" newline bitfld.long 0x3C 28. "E60,Event #60" "0,1" bitfld.long 0x3C 27. "E59,Event #59" "0,1" bitfld.long 0x3C 26. "E58,Event #58" "0,1" newline bitfld.long 0x3C 25. "E57,Event #57" "0,1" bitfld.long 0x3C 24. "E56,Event #56" "0,1" bitfld.long 0x3C 23. "E55,Event #55" "0,1" newline bitfld.long 0x3C 22. "E54,Event #54" "0,1" bitfld.long 0x3C 21. "E53,Event #53" "0,1" bitfld.long 0x3C 20. "E52,Event #52" "0,1" newline bitfld.long 0x3C 19. "E51,Event #51" "0,1" bitfld.long 0x3C 18. "E50,Event #50" "0,1" bitfld.long 0x3C 17. "E49,Event #49" "0,1" newline bitfld.long 0x3C 16. "E48,Event #48" "0,1" bitfld.long 0x3C 15. "E47,Event #47" "0,1" bitfld.long 0x3C 14. "E46,Event #46" "0,1" newline bitfld.long 0x3C 13. "E45,Event #45" "0,1" bitfld.long 0x3C 12. "E44,Event #44" "0,1" bitfld.long 0x3C 11. "E43,Event #43" "0,1" newline bitfld.long 0x3C 10. "E42,Event #42" "0,1" bitfld.long 0x3C 9. "E41,Event #41" "0,1" bitfld.long 0x3C 8. "E40,Event #40" "0,1" newline bitfld.long 0x3C 7. "E39,Event #39" "0,1" bitfld.long 0x3C 6. "E38,Event #38" "0,1" bitfld.long 0x3C 5. "E37,Event #37" "0,1" newline bitfld.long 0x3C 4. "E36,Event #36" "0,1" bitfld.long 0x3C 3. "E35,Event #35" "0,1" bitfld.long 0x3C 2. "E34,Event #34" "0,1" newline bitfld.long 0x3C 1. "E33,Event #33" "0,1" bitfld.long 0x3C 0. "E32,Event #32" "0,1" line.long 0x40 "EDMA_TPCC_SECR,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x40 31. "E31,Event #31" "0,1" bitfld.long 0x40 30. "E30,Event #30" "0,1" bitfld.long 0x40 29. "E29,Event #29" "0,1" newline bitfld.long 0x40 28. "E28,Event #28" "0,1" bitfld.long 0x40 27. "E27,Event #27" "0,1" bitfld.long 0x40 26. "E26,Event #26" "0,1" newline bitfld.long 0x40 25. "E25,Event #25" "0,1" bitfld.long 0x40 24. "E24,Event #24" "0,1" bitfld.long 0x40 23. "E23,Event #23" "0,1" newline bitfld.long 0x40 22. "E22,Event #22" "0,1" bitfld.long 0x40 21. "E21,Event #21" "0,1" bitfld.long 0x40 20. "E20,Event #20" "0,1" newline bitfld.long 0x40 19. "E19,Event #19" "0,1" bitfld.long 0x40 18. "E18,Event #18" "0,1" bitfld.long 0x40 17. "E17,Event #17" "0,1" newline bitfld.long 0x40 16. "E16,Event #16" "0,1" bitfld.long 0x40 15. "E15,Event #15" "0,1" bitfld.long 0x40 14. "E14,Event #14" "0,1" newline bitfld.long 0x40 13. "E13,Event #13" "0,1" bitfld.long 0x40 12. "E12,Event #12" "0,1" bitfld.long 0x40 11. "E11,Event #11" "0,1" newline bitfld.long 0x40 10. "E10,Event #10" "0,1" bitfld.long 0x40 9. "E9,Event #9" "0,1" bitfld.long 0x40 8. "E8,Event #8" "0,1" newline bitfld.long 0x40 7. "E7,Event #7" "0,1" bitfld.long 0x40 6. "E6,Event #6" "0,1" bitfld.long 0x40 5. "E5,Event #5" "0,1" newline bitfld.long 0x40 4. "E4,Event #4" "0,1" bitfld.long 0x40 3. "E3,Event #3" "0,1" bitfld.long 0x40 2. "E2,Event #2" "0,1" newline bitfld.long 0x40 1. "E1,Event #1" "0,1" bitfld.long 0x40 0. "E0,Event #0" "0,1" line.long 0x44 "EDMA_TPCC_SECRH,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x44 31. "E63,Event #63" "0,1" bitfld.long 0x44 30. "E62,Event #62" "0,1" bitfld.long 0x44 29. "E61,Event #61" "0,1" newline bitfld.long 0x44 28. "E60,Event #60" "0,1" bitfld.long 0x44 27. "E59,Event #59" "0,1" bitfld.long 0x44 26. "E58,Event #58" "0,1" newline bitfld.long 0x44 25. "E57,Event #57" "0,1" bitfld.long 0x44 24. "E56,Event #56" "0,1" bitfld.long 0x44 23. "E55,Event #55" "0,1" newline bitfld.long 0x44 22. "E54,Event #54" "0,1" bitfld.long 0x44 21. "E53,Event #53" "0,1" bitfld.long 0x44 20. "E52,Event #52" "0,1" newline bitfld.long 0x44 19. "E51,Event #51" "0,1" bitfld.long 0x44 18. "E50,Event #50" "0,1" bitfld.long 0x44 17. "E49,Event #49" "0,1" newline bitfld.long 0x44 16. "E48,Event #48" "0,1" bitfld.long 0x44 15. "E47,Event #47" "0,1" bitfld.long 0x44 14. "E46,Event #46" "0,1" newline bitfld.long 0x44 13. "E45,Event #45" "0,1" bitfld.long 0x44 12. "E44,Event #44" "0,1" bitfld.long 0x44 11. "E43,Event #43" "0,1" newline bitfld.long 0x44 10. "E42,Event #42" "0,1" bitfld.long 0x44 9. "E41,Event #41" "0,1" bitfld.long 0x44 8. "E40,Event #40" "0,1" newline bitfld.long 0x44 7. "E39,Event #39" "0,1" bitfld.long 0x44 6. "E38,Event #38" "0,1" bitfld.long 0x44 5. "E37,Event #37" "0,1" newline bitfld.long 0x44 4. "E36,Event #36" "0,1" bitfld.long 0x44 3. "E35,Event #35" "0,1" bitfld.long 0x44 2. "E34,Event #34" "0,1" newline bitfld.long 0x44 1. "E33,Event #33" "0,1" bitfld.long 0x44 0. "E32,Event #32" "0,1" rgroup.long 0x1050++0x2B line.long 0x00 "EDMA_TPCC_IER,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x04 "EDMA_TPCC_IERH,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x04 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x04 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x04 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x04 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x04 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x04 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x04 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x04 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x04 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x04 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x04 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x04 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x04 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x04 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x04 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x04 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x04 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x04 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x04 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x04 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x04 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x04 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x04 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x04 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x04 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x04 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x04 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x04 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x04 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x04 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x04 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x04 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x08 "EDMA_TPCC_IECR,Int Enable Clear Register CPU writes of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x08 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x08 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x08 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x08 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x08 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x08 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x08 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x08 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x08 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x08 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x08 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x08 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x08 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x08 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x08 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x08 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x08 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x08 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x08 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x08 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x08 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x08 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x08 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x08 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x08 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x08 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x08 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x08 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x08 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x08 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x08 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x08 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x0C "EDMA_TPCC_IECRH,Int Enable Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x0C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x0C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x0C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x0C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x0C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x0C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x0C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x0C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x0C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x0C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x0C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x0C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x0C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x0C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x0C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x0C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x0C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x0C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x0C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x0C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x0C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x0C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x0C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x0C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x0C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x0C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x0C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x0C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x0C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x0C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x0C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x0C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x10 "EDMA_TPCC_IESR,Int Enable Set Register CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x10 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x10 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x10 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x10 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x10 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x10 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x10 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x10 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x10 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x10 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x10 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x10 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x10 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x10 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x10 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x10 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x10 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x10 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x10 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x10 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x10 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x10 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x10 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x10 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x10 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x10 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x10 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x10 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x10 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x10 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x10 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x10 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x14 "EDMA_TPCC_IESRH,Int Enable Set Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be set" bitfld.long 0x14 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x14 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x14 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x14 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x14 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x14 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x14 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x14 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x14 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x14 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x14 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x14 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x14 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x14 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x14 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x14 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x14 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x14 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x14 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x14 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x14 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x14 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x14 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x14 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x14 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x14 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x14 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x14 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x14 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x14 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x14 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x14 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x18 "EDMA_TPCC_IPR,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x18 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x18 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x18 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x18 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x18 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x18 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x18 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x18 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x18 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x18 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x18 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x18 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x18 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x18 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x18 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x18 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x18 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x18 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x18 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x18 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x18 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x18 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x18 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x18 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x18 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x18 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x18 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x18 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x18 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x18 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x18 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x18 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x1C "EDMA_TPCC_IPRH,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x1C 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x1C 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x1C 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x1C 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x1C 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x1C 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x1C 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x1C 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x1C 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x1C 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x1C 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x1C 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x1C 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x1C 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x1C 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x1C 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x1C 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x1C 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x1C 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x1C 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x1C 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x1C 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x1C 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x1C 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x1C 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x1C 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x1C 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x1C 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x1C 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x1C 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x1C 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x1C 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x20 "EDMA_TPCC_ICR,Interrupt Clear Register CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x20 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x20 30. "I30,Interrupt associated with TCC #30" "0,1" bitfld.long 0x20 29. "I29,Interrupt associated with TCC #29" "0,1" newline bitfld.long 0x20 28. "I28,Interrupt associated with TCC #28" "0,1" bitfld.long 0x20 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x20 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x20 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x20 24. "I24,Interrupt associated with TCC #24" "0,1" bitfld.long 0x20 23. "I23,Interrupt associated with TCC #23" "0,1" newline bitfld.long 0x20 22. "I22,Interrupt associated with TCC #22" "0,1" bitfld.long 0x20 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x20 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x20 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x20 18. "I18,Interrupt associated with TCC #18" "0,1" bitfld.long 0x20 17. "I17,Interrupt associated with TCC #17" "0,1" newline bitfld.long 0x20 16. "I16,Interrupt associated with TCC #16" "0,1" bitfld.long 0x20 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x20 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x20 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x20 12. "I12,Interrupt associated with TCC #12" "0,1" bitfld.long 0x20 11. "I11,Interrupt associated with TCC #11" "0,1" newline bitfld.long 0x20 10. "I10,Interrupt associated with TCC #10" "0,1" bitfld.long 0x20 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x20 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x20 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x20 6. "I6,Interrupt associated with TCC #6" "0,1" bitfld.long 0x20 5. "I5,Interrupt associated with TCC #5" "0,1" newline bitfld.long 0x20 4. "I4,Interrupt associated with TCC #4" "0,1" bitfld.long 0x20 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x20 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x20 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x20 0. "I0,Interrupt associated with TCC #0" "0,1" line.long 0x24 "EDMA_TPCC_ICRH,Interrupt Clear Register (High Part) CPU write of '1' to the .In bit causes the .In bit to be cleared" bitfld.long 0x24 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x24 30. "I62,Interrupt associated with TCC #62" "0,1" bitfld.long 0x24 29. "I61,Interrupt associated with TCC #61" "0,1" newline bitfld.long 0x24 28. "I60,Interrupt associated with TCC #60" "0,1" bitfld.long 0x24 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x24 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x24 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x24 24. "I56,Interrupt associated with TCC #56" "0,1" bitfld.long 0x24 23. "I55,Interrupt associated with TCC #55" "0,1" newline bitfld.long 0x24 22. "I54,Interrupt associated with TCC #54" "0,1" bitfld.long 0x24 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x24 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x24 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x24 18. "I50,Interrupt associated with TCC #50" "0,1" bitfld.long 0x24 17. "I49,Interrupt associated with TCC #49" "0,1" newline bitfld.long 0x24 16. "I48,Interrupt associated with TCC #48" "0,1" bitfld.long 0x24 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x24 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x24 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x24 12. "I44,Interrupt associated with TCC #44" "0,1" bitfld.long 0x24 11. "I43,Interrupt associated with TCC #43" "0,1" newline bitfld.long 0x24 10. "I42,Interrupt associated with TCC #42" "0,1" bitfld.long 0x24 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x24 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x24 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x24 6. "I38,Interrupt associated with TCC #38" "0,1" bitfld.long 0x24 5. "I37,Interrupt associated with TCC #37" "0,1" newline bitfld.long 0x24 4. "I36,Interrupt associated with TCC #36" "0,1" bitfld.long 0x24 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x24 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x24 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x24 0. "I32,Interrupt associated with TCC #32" "0,1" line.long 0x28 "EDMA_TPCC_IEVAL,Interrupt Eval Register" hexmask.long 0x28 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 1. "SET,Interrupt Set: CPU write of '1' to the SETn bit causes the tpcc_intN output signal to be pulsed egardless of state of interrupts enable (IERn) and status (EDMA_TPCC_IPRn)" "0,1" bitfld.long 0x28 0. "EVAL,Interrupt Evaluate: CPU write of '1' to the EVALn bit causes the tpcc_intN output signal to be pulsed if any enabled interrupts (IERn) are still pending (EDMA_TPCC_IPRn)" "0,1" rgroup.long 0x1080++0x17 line.long 0x00 "EDMA_TPCC_QER,QDMA Event Register: If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" line.long 0x04 "EDMA_TPCC_QEER,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 7. "E7,Event #7" "0,1" rbitfld.long 0x04 6. "E6,Event #6" "0,1" newline rbitfld.long 0x04 5. "E5,Event #5" "0,1" rbitfld.long 0x04 4. "E4,Event #4" "0,1" rbitfld.long 0x04 3. "E3,Event #3" "0,1" newline rbitfld.long 0x04 2. "E2,Event #2" "0,1" rbitfld.long 0x04 1. "E1,Event #1" "0,1" rbitfld.long 0x04 0. "E0,Event #0" "0,1" line.long 0x08 "EDMA_TPCC_QEECR,QDMA Event Enable Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 7. "E7,Event #7" "0,1" bitfld.long 0x08 6. "E6,Event #6" "0,1" newline bitfld.long 0x08 5. "E5,Event #5" "0,1" bitfld.long 0x08 4. "E4,Event #4" "0,1" bitfld.long 0x08 3. "E3,Event #3" "0,1" newline bitfld.long 0x08 2. "E2,Event #2" "0,1" bitfld.long 0x08 1. "E1,Event #1" "0,1" bitfld.long 0x08 0. "E0,Event #0" "0,1" line.long 0x0C "EDMA_TPCC_QEESR,QDMA Event Enable Set Register CPU write of '1' to the .En bit causes the .En bit to be set" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "E7,Event #7" "0,1" bitfld.long 0x0C 6. "E6,Event #6" "0,1" newline bitfld.long 0x0C 5. "E5,Event #5" "0,1" bitfld.long 0x0C 4. "E4,Event #4" "0,1" bitfld.long 0x0C 3. "E3,Event #3" "0,1" newline bitfld.long 0x0C 2. "E2,Event #2" "0,1" bitfld.long 0x0C 1. "E1,Event #1" "0,1" bitfld.long 0x0C 0. "E0,Event #0" "0,1" line.long 0x10 "EDMA_TPCC_QSER,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x10 7. "E7,Event #7" "0,1" rbitfld.long 0x10 6. "E6,Event #6" "0,1" newline rbitfld.long 0x10 5. "E5,Event #5" "0,1" rbitfld.long 0x10 4. "E4,Event #4" "0,1" rbitfld.long 0x10 3. "E3,Event #3" "0,1" newline rbitfld.long 0x10 2. "E2,Event #2" "0,1" rbitfld.long 0x10 1. "E1,Event #1" "0,1" rbitfld.long 0x10 0. "E0,Event #0" "0,1" line.long 0x14 "EDMA_TPCC_QSECR,QDMA Secondary Event Clear Register The secondary event clear register is used to clear the status of the and register (note that this is slightly different than the operation. which does not clear the .En register)" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "E7,Event #7" "0,1" bitfld.long 0x14 6. "E6,Event #6" "0,1" newline bitfld.long 0x14 5. "E5,Event #5" "0,1" bitfld.long 0x14 4. "E4,Event #4" "0,1" bitfld.long 0x14 3. "E3,Event #3" "0,1" newline bitfld.long 0x14 2. "E2,Event #2" "0,1" bitfld.long 0x14 1. "E1,Event #1" "0,1" bitfld.long 0x14 0. "E0,Event #0" "0,1" repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A1C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x481C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x461C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x441C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x421C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x401C)++0x03 line.long 0x00 "EDMA_TPCC_CCNT_n_$1,C byte count" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CCNT,CCNT: Count for 3rd Dimension: CCNT is a 16-bit unsigned value that specifies the number of frames in a block" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A18)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4818)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4618)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4418)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4218)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4018)++0x03 line.long 0x00 "EDMA_TPCC_CIDX_n_$1,Source and destination frame indexes" hexmask.long.word 0x00 16.--31. 1. "DCIDX,Destination Frame Index: DCIDX is a 16-bit signed value (2's complement) used for destination address modification for the 3rd dimension" hexmask.long.word 0x00 0.--15. 1. "SCIDX,Source Frame Index: SCIDX is a 16-bit signed value (2's complement) used for source address modification for the 3rd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A14)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4814)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4614)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4414)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4214)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4014)++0x03 line.long 0x00 "EDMA_TPCC_LNK_n_$1,Link and Reload parameters" hexmask.long.word 0x00 16.--31. 1. "BCNTRLD,BCNT Reload: BCNTRLD is a 16-bit unsigned value used to reload the BCNT field once the last array in the 2nd dimension is transferred" hexmask.long.word 0x00 0.--15. 1. "LINK,Link Address: The CC provides a mechanism to reload the current PaRAM Entry upon its natural termination (i.e. after count fields are decremented to '0') with a new PaRAM Entry" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A10)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4810)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4610)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4410)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4210)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4010)++0x03 line.long 0x00 "EDMA_TPCC_BIDX_n_$1," hexmask.long.word 0x00 16.--31. 1. "DBIDX,Destination 2nd Dimension Index: DBIDX is a 16-bit signed value (2's complement) used for destination address modification in between each array in the 2nd dimension" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Source 2nd Dimension Index: SBIDX is a 16-bit signed value (2's complement) used for source address modification in between each array in the 2nd dimension" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A0C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x480C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x460C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x440C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x420C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x400C)++0x03 line.long 0x00 "EDMA_TPCC_DST_n_$1,Destination Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A08)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4808)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4608)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4408)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4208)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4008)++0x03 line.long 0x00 "EDMA_TPCC_ABCNT_n_$1,A and B byte count" hexmask.long.word 0x00 16.--31. 1. "BCNT,BCNT: Count for 2nd Dimension: BCNT is a 16-bit unsigned value that specifies the number of arrays of length ACNT" hexmask.long.word 0x00 0.--15. 1. "ACNT,ACNT: number of bytes in 1st dimension: ACNT represents the number of bytes within the first dimension of a transfer" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A04)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4804)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4604)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4404)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4204)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4004)++0x03 line.long 0x00 "EDMA_TPCC_SRC_n_$1,Source Address" repeat.end repeat 16. (list 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4E00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4C00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4A00)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4800)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4600)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4400)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4200)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x20 0x40 0x60 0x80 0xA0 0xC0 0xE0 0x100 0x120 0x140 0x160 0x180 0x1A0 0x1C0 0x1E0 ) group.long ($2+0x4000)++0x03 line.long 0x00 "EDMA_TPCC_OPT_n_$1,Options Parameter" rbitfld.long 0x00 31. "PRIV,Privilege level privilege level (supervisor vs. user) for the host/cpu/dma that programmed this PaRAM Entry" "PRIV_0,PRIV_1" rbitfld.long 0x00 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 24.--27. "PRIVID,Privilege ID Privilege ID for the external host/cpu/dma that programmed this PaRAM Entry" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 23. "ITCCHEN,Intermediate transfer completion chaining enable" "ITCCHEN_0,ITCCHEN_1" newline bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "ITCINTEN,Intermediate transfer completion interrupt enable" "ITCINTEN_0,ITCINTEN_1" newline bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 19. "WIMODE,Backward compatibility mode" "WIMODE_0,WIMODE_1" newline rbitfld.long 0x00 18. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER (bit EDMA_TPCC_CER[TCC]) for chaining or in EDMA_TPCC_IER (bit EDMA_TPCC_IER[TCC]) for interrupts" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "TCCMODE,Transfer complete code mode: Indicates the point at which a transfer is considered completed" "TCCMODE_0,TCCMODE_1" bitfld.long 0x00 8.--10. "FWID,FIFO width: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 3. "STATIC,Static Entry" "STATIC_0,STATIC_1" newline bitfld.long 0x00 2. "SYNCDIM,Transfer Synchronization Dimension" "SYNCDIM_0,SYNCDIM_1" bitfld.long 0x00 1. "DAM,Destination Address Mode: Destination Address Mode within an array" "DAM_0,DAM_1" newline bitfld.long 0x00 0. "SAM,Source Address Mode: Source Address Mode within an array" "SAM_0,SAM_1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2094)++0x03 line.long 0x00 "EDMA_TPCC_QSECR_RN_k_$1,QDMA Secondary Event Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,write 0's for future compatibility" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2090)++0x03 line.long 0x00 "EDMA_TPCC_QSER_RN_k_$1,QDMA Secondary Event Register The QDMA secondary event register is used along with the QDMA Event Register () to provide information on the state of a QDMA Event" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208C)++0x03 line.long 0x00 "EDMA_TPCC_QEESR_RN_k_$1,QDMA Event Enable Set Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2088)++0x03 line.long 0x00 "EDMA_TPCC_QEECR_RN_k_$1,QDMA Event Enable Clear Register CPU write of '0' has no effect" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,Event #7" "0,1" newline bitfld.long 0x00 6. "E6,Event #6" "0,1" bitfld.long 0x00 5. "E5,Event #5" "0,1" newline bitfld.long 0x00 4. "E4,Event #4" "0,1" bitfld.long 0x00 3. "E3,Event #3" "0,1" newline bitfld.long 0x00 2. "E2,Event #2" "0,1" bitfld.long 0x00 1. "E1,Event #1" "0,1" newline bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2084)++0x03 line.long 0x00 "EDMA_TPCC_QEER_RN_k_$1,QDMA Event Enable Register Enabled/disabled QDMA address comparator for QDMA Channel N" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2080)++0x03 line.long 0x00 "EDMA_TPCC_QER_RN_k_$1,QDMA Event Register If .En bit is set. then the corresponding QDMA channel is prioritized vs" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 7. "E7,Event #7" "0,1" newline rbitfld.long 0x00 6. "E6,Event #6" "0,1" rbitfld.long 0x00 5. "E5,Event #5" "0,1" newline rbitfld.long 0x00 4. "E4,Event #4" "0,1" rbitfld.long 0x00 3. "E3,Event #3" "0,1" newline rbitfld.long 0x00 2. "E2,Event #2" "0,1" rbitfld.long 0x00 1. "E1,Event #1" "0,1" newline rbitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2078)++0x03 line.long 0x00 "EDMA_TPCC_IEVAL_RN_k_$1,Interrupt Eval Register" hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "SET,Interrupt SetCPU writes 0x0 has no effect" "0,1" newline bitfld.long 0x00 0. "EVAL,Interrupt EvaluateCPU writes 0x0 has no effect" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2074)++0x03 line.long 0x00 "EDMA_TPCC_ICRH_RN_k_$1,Interrupt Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2070)++0x03 line.long 0x00 "EDMA_TPCC_ICR_RN_k_$1,Interrupt Clear Register CPU writes of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x206C)++0x03 line.long 0x00 "EDMA_TPCC_IPRH_RN_k_$1,Interrupt Pending Register (High Part) .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2068)++0x03 line.long 0x00 "EDMA_TPCC_IPR_RN_k_$1,Interrupt Pending Register .In bit is set when a interrupt completion code with TCC of N is detected" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2064)++0x03 line.long 0x00 "EDMA_TPCC_IESRH_RN_k_$1,Int Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2060)++0x03 line.long 0x00 "EDMA_TPCC_IESR_RN_k_$1,Int Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x205C)++0x03 line.long 0x00 "EDMA_TPCC_IECRH_RN_k_$1,Int Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2058)++0x03 line.long 0x00 "EDMA_TPCC_IECR_RN_k_$1,Int Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2054)++0x03 line.long 0x00 "EDMA_TPCC_IERH_RN_k_$1,Int Enable Register (High Part) .In is not directly writeable" bitfld.long 0x00 31. "I63,Interrupt associated with TCC #63" "0,1" bitfld.long 0x00 30. "I62,Interrupt associated with TCC #62" "0,1" newline bitfld.long 0x00 29. "I61,Interrupt associated with TCC #61" "0,1" bitfld.long 0x00 28. "I60,Interrupt associated with TCC #60" "0,1" newline bitfld.long 0x00 27. "I59,Interrupt associated with TCC #59" "0,1" bitfld.long 0x00 26. "I58,Interrupt associated with TCC #58" "0,1" newline bitfld.long 0x00 25. "I57,Interrupt associated with TCC #57" "0,1" bitfld.long 0x00 24. "I56,Interrupt associated with TCC #56" "0,1" newline bitfld.long 0x00 23. "I55,Interrupt associated with TCC #55" "0,1" bitfld.long 0x00 22. "I54,Interrupt associated with TCC #54" "0,1" newline bitfld.long 0x00 21. "I53,Interrupt associated with TCC #53" "0,1" bitfld.long 0x00 20. "I52,Interrupt associated with TCC #52" "0,1" newline bitfld.long 0x00 19. "I51,Interrupt associated with TCC #51" "0,1" bitfld.long 0x00 18. "I50,Interrupt associated with TCC #50" "0,1" newline bitfld.long 0x00 17. "I49,Interrupt associated with TCC #49" "0,1" bitfld.long 0x00 16. "I48,Interrupt associated with TCC #48" "0,1" newline bitfld.long 0x00 15. "I47,Interrupt associated with TCC #47" "0,1" bitfld.long 0x00 14. "I46,Interrupt associated with TCC #46" "0,1" newline bitfld.long 0x00 13. "I45,Interrupt associated with TCC #45" "0,1" bitfld.long 0x00 12. "I44,Interrupt associated with TCC #44" "0,1" newline bitfld.long 0x00 11. "I43,Interrupt associated with TCC #43" "0,1" bitfld.long 0x00 10. "I42,Interrupt associated with TCC #42" "0,1" newline bitfld.long 0x00 9. "I41,Interrupt associated with TCC #41" "0,1" bitfld.long 0x00 8. "I40,Interrupt associated with TCC #40" "0,1" newline bitfld.long 0x00 7. "I39,Interrupt associated with TCC #39" "0,1" bitfld.long 0x00 6. "I38,Interrupt associated with TCC #38" "0,1" newline bitfld.long 0x00 5. "I37,Interrupt associated with TCC #37" "0,1" bitfld.long 0x00 4. "I36,Interrupt associated with TCC #36" "0,1" newline bitfld.long 0x00 3. "I35,Interrupt associated with TCC #35" "0,1" bitfld.long 0x00 2. "I34,Interrupt associated with TCC #34" "0,1" newline bitfld.long 0x00 1. "I33,Interrupt associated with TCC #33" "0,1" bitfld.long 0x00 0. "I32,Interrupt associated with TCC #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2050)++0x03 line.long 0x00 "EDMA_TPCC_IER_RN_k_$1,Int Enable Register .In is not directly writeable" bitfld.long 0x00 31. "I31,Interrupt associated with TCC #31" "0,1" bitfld.long 0x00 30. "I30,Interrupt associated with TCC #30" "0,1" newline bitfld.long 0x00 29. "I29,Interrupt associated with TCC #29" "0,1" bitfld.long 0x00 28. "I28,Interrupt associated with TCC #28" "0,1" newline bitfld.long 0x00 27. "I27,Interrupt associated with TCC #27" "0,1" bitfld.long 0x00 26. "I26,Interrupt associated with TCC #26" "0,1" newline bitfld.long 0x00 25. "I25,Interrupt associated with TCC #25" "0,1" bitfld.long 0x00 24. "I24,Interrupt associated with TCC #24" "0,1" newline bitfld.long 0x00 23. "I23,Interrupt associated with TCC #23" "0,1" bitfld.long 0x00 22. "I22,Interrupt associated with TCC #22" "0,1" newline bitfld.long 0x00 21. "I21,Interrupt associated with TCC #21" "0,1" bitfld.long 0x00 20. "I20,Interrupt associated with TCC #20" "0,1" newline bitfld.long 0x00 19. "I19,Interrupt associated with TCC #19" "0,1" bitfld.long 0x00 18. "I18,Interrupt associated with TCC #18" "0,1" newline bitfld.long 0x00 17. "I17,Interrupt associated with TCC #17" "0,1" bitfld.long 0x00 16. "I16,Interrupt associated with TCC #16" "0,1" newline bitfld.long 0x00 15. "I15,Interrupt associated with TCC #15" "0,1" bitfld.long 0x00 14. "I14,Interrupt associated with TCC #14" "0,1" newline bitfld.long 0x00 13. "I13,Interrupt associated with TCC #13" "0,1" bitfld.long 0x00 12. "I12,Interrupt associated with TCC #12" "0,1" newline bitfld.long 0x00 11. "I11,Interrupt associated with TCC #11" "0,1" bitfld.long 0x00 10. "I10,Interrupt associated with TCC #10" "0,1" newline bitfld.long 0x00 9. "I9,Interrupt associated with TCC #9" "0,1" bitfld.long 0x00 8. "I8,Interrupt associated with TCC #8" "0,1" newline bitfld.long 0x00 7. "I7,Interrupt associated with TCC #7" "0,1" bitfld.long 0x00 6. "I6,Interrupt associated with TCC #6" "0,1" newline bitfld.long 0x00 5. "I5,Interrupt associated with TCC #5" "0,1" bitfld.long 0x00 4. "I4,Interrupt associated with TCC #4" "0,1" newline bitfld.long 0x00 3. "I3,Interrupt associated with TCC #3" "0,1" bitfld.long 0x00 2. "I2,Interrupt associated with TCC #2" "0,1" newline bitfld.long 0x00 1. "I1,Interrupt associated with TCC #1" "0,1" bitfld.long 0x00 0. "I0,Interrupt associated with TCC #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2044)++0x03 line.long 0x00 "EDMA_TPCC_SECRH_RN_k_$1,Secondary Event Clear Register (High Part) The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2040)++0x03 line.long 0x00 "EDMA_TPCC_SECR_RN_k_$1,Secondary Event Clear Register The secondary event clear register is used to clear the status of the registers" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x203C)++0x03 line.long 0x00 "EDMA_TPCC_SERH_RN_k_$1,Secondary Event Register (High Part) The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2038)++0x03 line.long 0x00 "EDMA_TPCC_SER_RN_k_$1,Secondary Event Register The secondary event register is used along with the Event Register () to provide information on the state of an Event" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2034)++0x03 line.long 0x00 "EDMA_TPCC_EESRH_RN_k_$1,Event Enable Set Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2030)++0x03 line.long 0x00 "EDMA_TPCC_EESR_RN_k_$1,Event Enable Set Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x202C)++0x03 line.long 0x00 "EDMA_TPCC_EECRH_RN_k_$1,Event Enable Clear Register (High Part) CPU write of '0' has no effect" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2028)++0x03 line.long 0x00 "EDMA_TPCC_EECR_RN_k_$1,Event Enable Clear Register CPU write of '0' has no effect" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2024)++0x03 line.long 0x00 "EDMA_TPCC_EERH_RN_k_$1,Event Enable Register (High Part) Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2020)++0x03 line.long 0x00 "EDMA_TPCC_EER_RN_k_$1,Event Enable Register Enables DMA transfers for .En pending events" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x201C)++0x03 line.long 0x00 "EDMA_TPCC_CERH_RN_k_$1,Chained Event Register (High Part) If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2018)++0x03 line.long 0x00 "EDMA_TPCC_CER_RN_k_$1,Chained Event Register If .En bit is set (regardless of state of .En). then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2014)++0x03 line.long 0x00 "EDMA_TPCC_ESRH_RN_k_$1,Event Set Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2010)++0x03 line.long 0x00 "EDMA_TPCC_ESR_RN_k_$1,Event Set Register CPU write of '1' to the .En bit causes the .En bit to be set" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200C)++0x03 line.long 0x00 "EDMA_TPCC_ECRH_RN_k_$1,Event Clear Register (High Part) CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x2008)++0x03 line.long 0x00 "EDMA_TPCC_ECR_RN_k_$1,Event Clear Register CPU write of '1' to the .En bit causes the .En bit to be cleared" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2004)++0x03 line.long 0x00 "EDMA_TPCC_ERH_RN_k_$1,Event Register (High Part) If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E63,Event #63" "0,1" bitfld.long 0x00 30. "E62,Event #62" "0,1" newline bitfld.long 0x00 29. "E61,Event #61" "0,1" bitfld.long 0x00 28. "E60,Event #60" "0,1" newline bitfld.long 0x00 27. "E59,Event #59" "0,1" bitfld.long 0x00 26. "E58,Event #58" "0,1" newline bitfld.long 0x00 25. "E57,Event #57" "0,1" bitfld.long 0x00 24. "E56,Event #56" "0,1" newline bitfld.long 0x00 23. "E55,Event #55" "0,1" bitfld.long 0x00 22. "E54,Event #54" "0,1" newline bitfld.long 0x00 21. "E53,Event #53" "0,1" bitfld.long 0x00 20. "E52,Event #52" "0,1" newline bitfld.long 0x00 19. "E51,Event #51" "0,1" bitfld.long 0x00 18. "E50,Event #50" "0,1" newline bitfld.long 0x00 17. "E49,Event #49" "0,1" bitfld.long 0x00 16. "E48,Event #48" "0,1" newline bitfld.long 0x00 15. "E47,Event #47" "0,1" bitfld.long 0x00 14. "E46,Event #46" "0,1" newline bitfld.long 0x00 13. "E45,Event #45" "0,1" bitfld.long 0x00 12. "E44,Event #44" "0,1" newline bitfld.long 0x00 11. "E43,Event #43" "0,1" bitfld.long 0x00 10. "E42,Event #42" "0,1" newline bitfld.long 0x00 9. "E41,Event #41" "0,1" bitfld.long 0x00 8. "E40,Event #40" "0,1" newline bitfld.long 0x00 7. "E39,Event #39" "0,1" bitfld.long 0x00 6. "E38,Event #38" "0,1" newline bitfld.long 0x00 5. "E37,Event #37" "0,1" bitfld.long 0x00 4. "E36,Event #36" "0,1" newline bitfld.long 0x00 3. "E35,Event #35" "0,1" bitfld.long 0x00 2. "E34,Event #34" "0,1" newline bitfld.long 0x00 1. "E33,Event #33" "0,1" bitfld.long 0x00 0. "E32,Event #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) rgroup.long ($2+0x2000)++0x03 line.long 0x00 "EDMA_TPCC_ER_RN_k_$1,Event Register If .En bit is set and the .En bit is also set. then the corresponding DMA channel is prioritized vs" bitfld.long 0x00 31. "E31,Event #31" "0,1" bitfld.long 0x00 30. "E30,Event #30" "0,1" newline bitfld.long 0x00 29. "E29,Event #29" "0,1" bitfld.long 0x00 28. "E28,Event #28" "0,1" newline bitfld.long 0x00 27. "E27,Event #27" "0,1" bitfld.long 0x00 26. "E26,Event #26" "0,1" newline bitfld.long 0x00 25. "E25,Event #25" "0,1" bitfld.long 0x00 24. "E24,Event #24" "0,1" newline bitfld.long 0x00 23. "E23,Event #23" "0,1" bitfld.long 0x00 22. "E22,Event #22" "0,1" newline bitfld.long 0x00 21. "E21,Event #21" "0,1" bitfld.long 0x00 20. "E20,Event #20" "0,1" newline bitfld.long 0x00 19. "E19,Event #19" "0,1" bitfld.long 0x00 18. "E18,Event #18" "0,1" newline bitfld.long 0x00 17. "E17,Event #17" "0,1" bitfld.long 0x00 16. "E16,Event #16" "0,1" newline bitfld.long 0x00 15. "E15,Event #15" "0,1" bitfld.long 0x00 14. "E14,Event #14" "0,1" newline bitfld.long 0x00 13. "E13,Event #13" "0,1" bitfld.long 0x00 12. "E12,Event #12" "0,1" newline bitfld.long 0x00 11. "E11,Event #11" "0,1" bitfld.long 0x00 10. "E10,Event #10" "0,1" newline bitfld.long 0x00 9. "E9,Event #9" "0,1" bitfld.long 0x00 8. "E8,Event #8" "0,1" newline bitfld.long 0x00 7. "E7,Event #7" "0,1" bitfld.long 0x00 6. "E6,Event #6" "0,1" newline bitfld.long 0x00 5. "E5,Event #5" "0,1" bitfld.long 0x00 4. "E4,Event #4" "0,1" newline bitfld.long 0x00 3. "E3,Event #3" "0,1" bitfld.long 0x00 2. "E2,Event #2" "0,1" newline bitfld.long 0x00 1. "E1,Event #1" "0,1" bitfld.long 0x00 0. "E0,Event #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x810)++0x03 line.long 0x00 "EDMA_TPCC_MPPAN_k_$1,P Permission Attribute for DMA Region n" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "AID5,Allowed ID 5" "AID5_0,AID5_1" newline bitfld.long 0x00 14. "AID4,Allowed ID 4" "AID4_0,AID4_1" bitfld.long 0x00 13. "AID3,Allowed ID 3" "AID3_0,AID3_1" newline bitfld.long 0x00 12. "AID2,Allowed ID 2" "AID2_0,AID2_1" bitfld.long 0x00 11. "AID1,Allowed ID 1" "AID1_0,AID1_1" newline bitfld.long 0x00 10. "AID0,Allowed ID" "VBus requests with PrivID == '0' are not allowed..,VBus requests with PrivID == '0' are permitted.." bitfld.long 0x00 9. "EXT,External Allowed ID" "EXT_0,EXT_1" newline rbitfld.long 0x00 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" bitfld.long 0x00 5. "SR,Supervisor Read permission" "SR_0,SR_1" newline bitfld.long 0x00 4. "SW,Supervisor Write permission" "SW_0,SW_1" bitfld.long 0x00 3. "SX,Supervisor Execute permission" "SX_0,SX_1" newline bitfld.long 0x00 2. "UR,User Read permission" "UR_0,UR_1" bitfld.long 0x00 1. "UW,User Write permission" "UW_0,UW_1" newline bitfld.long 0x00 0. "UX,User Execute permission" "UX_0,?" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x04 ) group.long ($2+0x600)++0x03 line.long 0x00 "EDMA_TPCC_QSTATN_i_$1,QSTATn Register Set" hexmask.long.byte 0x00 25.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 24. "THRXCD,Threshold ExceededTHRXCD is cleared via" "THRXCD_0,THRXCD_1" newline bitfld.long 0x00 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 16.--20. "WM,Watermark for Maximum Queue Usage: Watermark tracks the most entries that have been in QueueN since reset or since the last time that the watermark (WM) was cleared" "WM_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,WM_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 8.--12. "NUMVAL,Number of Valid Entries in QueueN: Represents the total number of entries residing in the Queue Manager FIFO at a given instant" "NUMVAL_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,NUMVAL_16,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "STRTPTR,Start Pointer: Represents the offset to the head entry of QueueN in units of *entries*" "STRTPTR_0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,STRTPTR_15" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x440)++0x03 line.long 0x00 "EDMA_TPCC_Q1E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "EDMA_TPCC_Q0E_p_$1,Event Queue Entries Diagram for Queue" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 6.--7. "ETYPE,Event Type: Specifies the specific Event Type for the given entry in the Event Queue" "0,1,2,3" newline bitfld.long 0x00 0.--5. "ENUM,Event Number: Specifies the specific Event Number for the given entry in the Event Queue" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x380)++0x03 line.long 0x00 "EDMA_TPCC_QRAEN_k_$1,QDMA Region Access enable for bit N in Region M: En =" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 7. "E7,QDMA Region Access enable for Region M bit #7" "0,1" newline bitfld.long 0x00 6. "E6,QDMA Region Access enable for Region M bit #6" "0,1" bitfld.long 0x00 5. "E5,QDMA Region Access enable for Region M bit #5" "0,1" newline bitfld.long 0x00 4. "E4,QDMA Region Access enable for Region M bit #4" "0,1" bitfld.long 0x00 3. "E3,QDMA Region Access enable for Region M bit #3" "0,1" newline bitfld.long 0x00 2. "E2,QDMA Region Access enable for Region M bit #2" "0,1" bitfld.long 0x00 1. "E1,QDMA Region Access enable for Region M bit #1" "0,1" newline bitfld.long 0x00 0. "E0,QDMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x344)++0x03 line.long 0x00 "EDMA_TPCC_DRAEHM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E63,DMA Region Access enable for Region M bit #63" "0,1" bitfld.long 0x00 30. "E62,DMA Region Access enable for Region M bit #62" "0,1" newline bitfld.long 0x00 29. "E61,DMA Region Access enable for Region M bit #61" "0,1" bitfld.long 0x00 28. "E60,DMA Region Access enable for Region M bit #60" "0,1" newline bitfld.long 0x00 27. "E59,DMA Region Access enable for Region M bit #59" "0,1" bitfld.long 0x00 26. "E58,DMA Region Access enable for Region M bit #58" "0,1" newline bitfld.long 0x00 25. "E57,DMA Region Access enable for Region M bit #57" "0,1" bitfld.long 0x00 24. "E56,DMA Region Access enable for Region M bit #56" "0,1" newline bitfld.long 0x00 23. "E55,DMA Region Access enable for Region M bit #55" "0,1" bitfld.long 0x00 22. "E54,DMA Region Access enable for Region M bit #54" "0,1" newline bitfld.long 0x00 21. "E53,DMA Region Access enable for Region M bit #53" "0,1" bitfld.long 0x00 20. "E52,DMA Region Access enable for Region M bit #52" "0,1" newline bitfld.long 0x00 19. "E51,DMA Region Access enable for Region M bit #51" "0,1" bitfld.long 0x00 18. "E50,DMA Region Access enable for Region M bit #50" "0,1" newline bitfld.long 0x00 17. "E49,DMA Region Access enable for Region M bit #49" "0,1" bitfld.long 0x00 16. "E48,DMA Region Access enable for Region M bit #48" "0,1" newline bitfld.long 0x00 15. "E47,DMA Region Access enable for Region M bit #47" "0,1" bitfld.long 0x00 14. "E46,DMA Region Access enable for Region M bit #46" "0,1" newline bitfld.long 0x00 13. "E45,DMA Region Access enable for Region M bit #45" "0,1" bitfld.long 0x00 12. "E44,DMA Region Access enable for Region M bit #44" "0,1" newline bitfld.long 0x00 11. "E43,DMA Region Access enable for Region M bit #43" "0,1" bitfld.long 0x00 10. "E42,DMA Region Access enable for Region M bit #42" "0,1" newline bitfld.long 0x00 9. "E41,DMA Region Access enable for Region M bit #41" "0,1" bitfld.long 0x00 8. "E40,DMA Region Access enable for Region M bit #40" "0,1" newline bitfld.long 0x00 7. "E39,DMA Region Access enable for Region M bit #39" "0,1" bitfld.long 0x00 6. "E38,DMA Region Access enable for Region M bit #38" "0,1" newline bitfld.long 0x00 5. "E37,DMA Region Access enable for Region M bit #37" "0,1" bitfld.long 0x00 4. "E36,DMA Region Access enable for Region M bit #36" "0,1" newline bitfld.long 0x00 3. "E35,DMA Region Access enable for Region M bit #35" "0,1" bitfld.long 0x00 2. "E34,DMA Region Access enable for Region M bit #34" "0,1" newline bitfld.long 0x00 1. "E33,DMA Region Access enable for Region M bit #33" "0,1" bitfld.long 0x00 0. "E32,DMA Region Access enable for Region M bit #32" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x340)++0x03 line.long 0x00 "EDMA_TPCC_DRAEM_k_$1,DMA Region Access enable for bit N in Region M: En =" bitfld.long 0x00 31. "E31,DMA Region Access enable for Region M bit #31" "0,1" bitfld.long 0x00 30. "E30,DMA Region Access enable for Region M bit #30" "0,1" newline bitfld.long 0x00 29. "E29,DMA Region Access enable for Region M bit #29" "0,1" bitfld.long 0x00 28. "E28,DMA Region Access enable for Region M bit #28" "0,1" newline bitfld.long 0x00 27. "E27,DMA Region Access enable for Region M bit #27" "0,1" bitfld.long 0x00 26. "E26,DMA Region Access enable for Region M bit #26" "0,1" newline bitfld.long 0x00 25. "E25,DMA Region Access enable for Region M bit #25" "0,1" bitfld.long 0x00 24. "E24,DMA Region Access enable for Region M bit #24" "0,1" newline bitfld.long 0x00 23. "E23,DMA Region Access enable for Region M bit #23" "0,1" bitfld.long 0x00 22. "E22,DMA Region Access enable for Region M bit #22" "0,1" newline bitfld.long 0x00 21. "E21,DMA Region Access enable for Region M bit #21" "0,1" bitfld.long 0x00 20. "E20,DMA Region Access enable for Region M bit #20" "0,1" newline bitfld.long 0x00 19. "E19,DMA Region Access enable for Region M bit #19" "0,1" bitfld.long 0x00 18. "E18,DMA Region Access enable for Region M bit #18" "0,1" newline bitfld.long 0x00 17. "E17,DMA Region Access enable for Region M bit #17" "0,1" bitfld.long 0x00 16. "E16,DMA Region Access enable for Region M bit #16" "0,1" newline bitfld.long 0x00 15. "E15,DMA Region Access enable for Region M bit #15" "0,1" bitfld.long 0x00 14. "E14,DMA Region Access enable for Region M bit #14" "0,1" newline bitfld.long 0x00 13. "E13,DMA Region Access enable for Region M bit #13" "0,1" bitfld.long 0x00 12. "E12,DMA Region Access enable for Region M bit #12" "0,1" newline bitfld.long 0x00 11. "E11,DMA Region Access enable for Region M bit #11" "0,1" bitfld.long 0x00 10. "E10,DMA Region Access enable for Region M bit #10" "0,1" newline bitfld.long 0x00 9. "E9,DMA Region Access enable for Region M bit #9" "0,1" bitfld.long 0x00 8. "E8,DMA Region Access enable for Region M bit #8" "0,1" newline bitfld.long 0x00 7. "E7,DMA Region Access enable for Region M bit #7" "0,1" bitfld.long 0x00 6. "E6,DMA Region Access enable for Region M bit #6" "0,1" newline bitfld.long 0x00 5. "E5,DMA Region Access enable for Region M bit #5" "0,1" bitfld.long 0x00 4. "E4,DMA Region Access enable for Region M bit #4" "0,1" newline bitfld.long 0x00 3. "E3,DMA Region Access enable for Region M bit #3" "0,1" bitfld.long 0x00 2. "E2,DMA Region Access enable for Region M bit #2" "0,1" newline bitfld.long 0x00 1. "E1,DMA Region Access enable for Region M bit #1" "0,1" bitfld.long 0x00 0. "E0,DMA Region Access enable for Region M bit #0" "0,1" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x240)++0x03 line.long 0x00 "EDMA_TPCC_DMAQNUMN_k_$1,DMA Queue Number Register n Contains the Event queue number to be used for the corresponding DMA Channel" rbitfld.long 0x00 31. "RESERVED,Reserved" "0,1" bitfld.long 0x00 28.--30. "E7,DMA Queue Number for event #7" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 27. "RESERVED,Reserved" "0,1" bitfld.long 0x00 24.--26. "E6,DMA Queue Number for event #6" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20.--22. "E5,DMA Queue Number for event #5" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 19. "RESERVED,Reserved" "0,1" bitfld.long 0x00 16.--18. "E4,DMA Queue Number for event #4" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 15. "RESERVED,Reserved" "0,1" bitfld.long 0x00 12.--14. "E3,DMA Queue Number for event #3" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "E2,DMA Queue Number for event #2" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "E1,DMA Queue Number for event #1" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 3. "RESERVED,Reserved" "0,1" bitfld.long 0x00 0.--2. "E0,DMA Queue Number for event #0" "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C ) group.long ($2+0x200)++0x03 line.long 0x00 "EDMA_TPCC_QCHMAPN_j_$1,QDMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for QDMA Channel N" newline bitfld.long 0x00 2.--4. "TRWORD,TRWORD points to the specific trigger word of the PaRAM Entry defined by PAENTRY" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 0.--1. "RESERVED,Reserved" "0,1,2,3" repeat.end repeat 16. (list 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C0)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x180)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x140)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x03 line.long 0x00 "EDMA_TPCC_DCHMAPN_m_$1,DMA Channel N Mapping Register" hexmask.long.tbyte 0x00 14.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 5.--13. 1. "PAENTRY,PaRAM Entry number for DMA Channel N" newline rbitfld.long 0x00 0.--4. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end repeat 2. (list 0. 1. )(list ad:0x43400000 ad:0x43500000 ) tree "SYS_EDMA_TPTC$1" base $2 rgroup.long 0x00++0x07 line.long 0x00 "EDMA_TPTCn_PID,Peripheral ID Register" line.long 0x04 "EDMA_TPTCn_TCCFG,TC Configuration Register" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED,Reads return 0's" bitfld.long 0x04 8.--9. "DREGDEPTH,Dst Register FIFO Depth Parameterization" "DREGDEPTH_0,DREGDEPTH_1,DREGDEPTH_2,?" bitfld.long 0x04 6.--7. "RESERVED,Reads return 0's" "0,1,2,3" bitfld.long 0x04 4.--5. "BUSWIDTH,Bus Width Parameterization" "BUSWIDTH_0,BUSWIDTH_1,BUSWIDTH_2,?" bitfld.long 0x04 3. "RESERVED,Reads return 0's" "0,1" newline bitfld.long 0x04 0.--2. "FIFOSIZE,Fifo Size Parameterization" "FIFOSIZE_0,FIFOSIZE_1,FIFOSIZE_2,FIFOSIZE_3,FIFOSIZE_4,?,?,?" group.long 0x100++0x13 line.long 0x00 "EDMA_TPTCn_TCSTAT,TC Status Register" hexmask.long.tbyte 0x00 13.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 11.--12. "DFSTRTPTR,Dst FIFO Start Pointer Represents the offset to the head entry of Dst Register FIFO in units of *entries*" "0,1,2,3" bitfld.long 0x00 9.--10. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 8. "ACTV,Channel Active Channel Active is a logical-OR of each of the *BUSY/ACTV signals" "ACTV_0,ACTV_1" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x00 4.--6. "DSTACTV,Destination Active State Specifies the number of TRs that are resident in the Dst Register FIFO at a given instant" "0,1,2,3,4,5,6,7" bitfld.long 0x00 3. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 2. "WSACTV,Write Status Active" "WSACTV_0,WSACTV_1" rbitfld.long 0x00 1. "SRCACTV,Source Active State" "SRCACTV_0,SRCACTV_1" rbitfld.long 0x00 0. "PROGBUSY,Program Register Set Busy" "PROGBUSY_0,PROGBUSY_1" line.long 0x04 "EDMA_TPTCn_INTSTAT,Interrupt Status Register" hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 1. "TRDONE,TR Done Event Status" "TRDONE_0,TRDONE_1" rbitfld.long 0x04 0. "PROGEMPTY,Program Set Empty Event Status" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x08 "EDMA_TPTCn_INTEN,Interrupt Enable Register" hexmask.long 0x08 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 1. "TRDONE,TR Done Event Enable" "TRDONE_0,TRDONE_1" bitfld.long 0x08 0. "PROGEMPTY,Program Set Empty Event Enable" "PROGEMPTY_0,PROGEMPTY_1" line.long 0x0C "EDMA_TPTCn_INTCLR,Interrupt Clear Register" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 1. "TRDONE,TR Done Event Clear" "TRDONE_0_w,TRDONE_1_w" bitfld.long 0x0C 0. "PROGEMPTY,Program Set Empty Event Clear" "PROGEMPTY_0_w,PROGEMPTY_1_w" line.long 0x10 "EDMA_TPTCn_INTCMD,Interrupt Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC interrupt" "EVAL_0_w,EVAL_1" group.long 0x120++0x13 line.long 0x00 "EDMA_TPTCn_ERRSTAT,Error Status Register" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 3. "MMRAERR,MR Address Error" "MMRAERR_0,MMRAERR_1" rbitfld.long 0x00 2. "TRERR,TR Error: TR detected that violates FIFO Mode transfer (SAM or DAM is '1') alignment rules or has ACNT or BCNT == 0" "0,1" bitfld.long 0x00 1. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 0. "BUSERR,Bus Error Event" "BUSERR_0,BUSERR_1" line.long 0x04 "EDMA_TPTCn_ERREN,Error Enable Register" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "MMRAERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0,MMRAERR_1" bitfld.long 0x04 2. "TRERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0,TRERR_1" bitfld.long 0x04 1. "RESERVED,Reserved" "0,1" bitfld.long 0x04 0. "BUSERR,Interrupt enable forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0,BUSERR_1" line.long 0x08 "EDMA_TPTCn_ERRCLR,Error Clear Register" hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 3. "MMRAERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[3] MMRAERR" "MMRAERR_0_w,MMRAERR_1_w" bitfld.long 0x08 2. "TRERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[2] TRERR" "TRERR_0_w,TRERR_1_w" bitfld.long 0x08 1. "RESERVED,Reserved" "0,1" bitfld.long 0x08 0. "BUSERR,Interrupt clear forEDMA_TPTCn_ERRSTAT[0] BUSERR" "BUSERR_0_w,BUSERR_1_w" line.long 0x0C "EDMA_TPTCn_ERRDET,Error Details Register" hexmask.long.word 0x0C 18.--31. 1. "RESERVED,Reserved" rbitfld.long 0x0C 17. "TCCHEN,Contains theEDMA_TPCC_OPT_n[17] TCCHEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" rbitfld.long 0x0C 16. "TCINTEN,Contains theEDMA_TPCC_OPT_n[16] TCINTEN value programmed by the user for the Read or Write transaction that resulted in an error" "0,1" bitfld.long 0x0C 14.--15. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x0C 8.--13. "TCC,Transfer Complete Code: Contains theEDMA_TPCC_OPT_n[13:8] TCC value programmed by the user for the Read or Write transaction that resulted in an error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x0C 0.--3. "STAT,Transaction Status: Stores the non-zero status/error code that was detected on the read status or write status bus" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "EDMA_TPTCn_ERRCMD,Error Command Register" hexmask.long 0x10 2.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 1. "SET,Set TPTC error interrupt" "SET_0_w,SET_1_w" bitfld.long 0x10 0. "EVAL,Evaluate state of TPTC error interrupt Write of '1'" "EVAL_0_w,EVAL_1_w" group.long 0x140++0x03 line.long 0x00 "EDMA_TPTCn_RDRATE,Read Rate Register" hexmask.long 0x00 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 0.--2. "RDRATE,Read Rate Control: Controls the number of cycles between read commands" "0,1,2,3,4,5,6,7" group.long 0x200++0x17 line.long 0x00 "EDMA_TPTCn_POPT,Program Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" rbitfld.long 0x00 21. "RESERVED,Reserved" "0,1" bitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" rbitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12.--17. "TCC,Transfer Complete Code: The 6-bit code is used to set the relevant bit in CER orEDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 11. "RESERVED,Reserved" "0,1" bitfld.long 0x00 8.--10. "FWID,FIFO width control: Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 7. "RESERVED,Reserved" "0,1" bitfld.long 0x00 4.--6. "PRI,Transfer Priority" "Highest priority,Priority 1,?,?,?,?,?,Lowest priority" newline rbitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" bitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_PSRC,Program Set Source Address" line.long 0x08 "EDMA_TPTCn_PCNT,Program Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count" line.long 0x0C "EDMA_TPTCn_PDST,Program Set Destination Address" line.long 0x10 "EDMA_TPTCn_PBIDX,Program Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Dest B-Idx for Program Register Set: B-Idx offset between Destination arrays: Represents the offset in bytes between the starting address of each destination array (recall that there are BCNT arrays of ACNT elements)" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Program Register Set: B-Idx offset between Source arrays: Represents the offset in bytes between the starting address of each source array (recall that there are BCNT arrays of ACNT elements)" line.long 0x14 "EDMA_TPTCn_PMPPRXY,Program Set Memory Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID: EDMA_TPTCn_PMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x240++0x23 line.long 0x00 "EDMA_TPTCn_SAOPT,Source Actve Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" newline rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in EDMA_TPCC_CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" line.long 0x04 "EDMA_TPTCn_SASRC,Source Active Set Source Address" line.long 0x08 "EDMA_TPTCn_SACNT,Source Active Set Count" hexmask.long.word 0x08 16.--31. 1. "BCNT,B-Dimension count: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x08 0.--15. 1. "ACNT,A-Dimension count: Number of bytes to be transferred in first dimension" line.long 0x0C "EDMA_TPTCn_SADST,Source Active Destination Address Register Reserved. return 0x0 w/o AERROR" line.long 0x10 "EDMA_TPTCn_SABIDX,Source Active Set B-Dim Idx" hexmask.long.word 0x10 16.--31. 1. "DBIDX,Destination B-Idx for Source Active Register Set" hexmask.long.word 0x10 0.--15. 1. "SBIDX,Source B-Idx for Source Active Register Set" line.long 0x14 "EDMA_TPTCn_SAMPPRXY,Source Active Set Mem Protect Proxy" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x14 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" bitfld.long 0x14 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x14 0.--3. "PRIVID,Privilege ID SAMPPRXY.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x18 "EDMA_TPTCn_SACNTRLD,Source Active Set Count Reload" hexmask.long.word 0x18 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x18 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Source Active Register set" line.long 0x1C "EDMA_TPTCn_SASRCBREF,Source Active Set Source Address A-Reference" line.long 0x20 "EDMA_TPTCn_SADSTBREF,Source Active Destination Address B-Reference Register Reserved. return 0x0 w/o AERROR" group.long 0x280++0x0B line.long 0x00 "EDMA_TPTCn_DFCNTRLD,Destination FIFO Set Count Reload" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "ACNTRLD,A-Cnt Reload value for Destination FIFO Register set" line.long 0x04 "EDMA_TPTCn_DFSRCBREF,Destination FIFO Set Destination Address B Reference Reserved. return 0x0 w/o AERROR" line.long 0x08 "EDMA_TPTCn_DFDSTBREF,Destination FIFO Set Destination Address A-Reference" repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x314)++0x03 line.long 0x00 "EDMA_TPTCn_DFMPPRXYi_$1,The destination FIFO memory protection proxy register" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 8. "PRIV,Privilege Level" "PRIV_0,PRIV_1" newline bitfld.long 0x00 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 0.--3. "PRIVID,Privilege ID: DFMPPRXY0.PRIVID is always updated with the value from configuration bus privilege ID field on any/every write to Program Set BIDX Register (trigger register)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x310)++0x03 line.long 0x00 "EDMA_TPTCn_DFBIDXi_$1,The destination FIFO B-index register" hexmask.long.word 0x00 16.--31. 1. "DBIDX,Dest B-Idx for Dest FIFO Register Set" hexmask.long.word 0x00 0.--15. 1. "SBIDX,Dest B-Idx for Dest FIFO Register Set" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x30C)++0x03 line.long 0x00 "EDMA_TPTCn_DFDSTi_$1,The destination FIFO destination address register" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x308)++0x03 line.long 0x00 "EDMA_TPTCn_DFCNTi_$1,Destination FIFO count register" hexmask.long.word 0x00 16.--31. 1. "BCNT,B-Count Remaining for Dst Register Set: Number of arrays to be transferred where each array is ACNT in length" hexmask.long.word 0x00 0.--15. 1. "ACNT,A-Count Remaining for Dst Register Set: Number of bytes to be transferred in first dimension" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) rgroup.long ($2+0x304)++0x03 line.long 0x00 "EDMA_TPTCn_DFSRCi_$1,Destination FIFO source address register Reserved. return 0x0 w/o AERROR" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x40 ) group.long ($2+0x300)++0x03 line.long 0x00 "EDMA_TPTCn_DFOPTi_$1,Destination FIFO Set Options" hexmask.long.word 0x00 23.--31. 1. "RESERVED,Reserved" rbitfld.long 0x00 22. "TCCHEN,Transfer complete chaining enable" "TCCHEN_0,TCCHEN_1" newline bitfld.long 0x00 21. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 20. "TCINTEN,Transfer complete interrupt enable" "TCINTEN_0,TCINTEN_1" newline bitfld.long 0x00 18.--19. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 12.--17. "TCC,Transfer Complete Code The 6-bit code is used to set the relevant bit in CER or EDMA_TPCC_IPR of the TPCC module" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 11. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 8.--10. "FWID,FIFO width control Applies if either SAM or DAM is set to FIFO mode" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "RESERVED,Reserved" "0,1" rbitfld.long 0x00 4.--6. "PRI,Transfer Priority" "PRI_0,PRI_1,?,?,?,?,?,PRI_7" newline bitfld.long 0x00 2.--3. "RESERVED,Reserved" "0,1,2,3" rbitfld.long 0x00 1. "DAM,Destination Address Mode within an array" "DAM_0,DAM_1" newline rbitfld.long 0x00 0. "SAM,Source Address Mode within an array" "SAM_0,SAM_1" repeat.end tree.end repeat.end tree "SYS_MMU1_L3_MAIN" base ad:0x4881C000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "SYS_MMU2_L3_MAIN" base ad:0x4881E000 rgroup.long 0x00++0x03 line.long 0x00 "MMU_REVISION,This register contains the IP revision code" group.long 0x10++0x0F line.long 0x00 "MMU_SYSCONFIG,This register controls the various parameters of the OCP interface" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Write 0's for future compatibility" rbitfld.long 0x00 8.--9. "CLOCKACTIVITY,Clock activity during wake-up mode" "CLOCKACTIVITY_0,?,?,?" rbitfld.long 0x00 5.--7. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 3.--4. "IDLEMODE,Idle mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0's for future compatibility Reads returns 0" "0,1" bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_w" newline bitfld.long 0x00 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x04 "MMU_SYSSTATUS,This register provides status information about the module. excluding the interrupt status information" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads returns 0" bitfld.long 0x04 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "MMU_IRQSTATUS,This interrupt status register regroups all the status of the module internal events that can generate an interrupt" hexmask.long 0x08 5.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x08 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0_w,MULTIHITFAULT_1_r" bitfld.long 0x08 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0_w,TABLEWALKFAULT_1_r" newline bitfld.long 0x08 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0_w,EMUMISS_1_r" bitfld.long 0x08 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0_w,TRANSLATIONFAULT_1_r" bitfld.long 0x08 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0_w,TLBMISS_1_r" line.long 0x0C "MMU_IRQENABLE,The interrupt enable register allows to mask/unmask the module internal sources of interrupt. on a event-by-event basis" hexmask.long 0x0C 5.--31. 1. "RESERVED,Write 0's for future compatibility Read returns 0" bitfld.long 0x0C 4. "MULTIHITFAULT,Error due to multiple matches in the TLB" "MULTIHITFAULT_0,MULTIHITFAULT_1" bitfld.long 0x0C 3. "TABLEWALKFAULT,Error response received during a Table Walk" "TABLEWALKFAULT_0,TABLEWALKFAULT_1" newline bitfld.long 0x0C 2. "EMUMISS,Unrecoverable TLB miss during debug (hardware TWL disabled)" "EMUMISS_0,EMUMISS_1" bitfld.long 0x0C 1. "TRANSLATIONFAULT,Invalid descriptor in translation tables (translation fault)" "TRANSLATIONFAULT_0,TRANSLATIONFAULT_1" bitfld.long 0x0C 0. "TLBMISS,Unrecoverable TLB miss (hardware TWL disabled)" "TLBMISS_0,TLBMISS_1" rgroup.long 0x40++0x33 line.long 0x00 "MMU_WALKING_ST,This register provides status information about the table walking logic" hexmask.long 0x00 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x00 0. "TWLRUNNING,Table Walking Logic is running" "TWLRUNNING_0_r,TWLRUNNING_1_r" line.long 0x04 "MMU_CNTL,This register programs the MMU features" hexmask.long 0x04 4.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x04 3. "EMUTLBUPDATE,Enable TLB update on emulator table walk" "EMUTLBUPDATE_0,EMUTLBUPDATE_1" bitfld.long 0x04 2. "TWLENABLE,Table Walking Logic enable" "TWLENABLE_0,TWLENABLE_1" newline bitfld.long 0x04 1. "MMUENABLE,MMU enable" "MMUENABLE_0,MMUENABLE_1" rbitfld.long 0x04 0. "RESERVED,Write 0's for future compatibility" "0,1" line.long 0x08 "MMU_FAULT_AD,This register contains the virtual address that generated the interrupt" line.long 0x0C "MMU_TTB,This register contains the Translation Table Base address" hexmask.long 0x0C 7.--31. 1. "TTBADDRESS,Translation Table Base Address" hexmask.long.byte 0x0C 0.--6. 1. "RESERVED,Write 0's for future compatibility" line.long 0x10 "MMU_LOCK,This register locks some of the TLB entries" hexmask.long.tbyte 0x10 15.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x10 10.--14. "BASEVALUE,Locked entries base value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 9. "RESERVED,Write 0's for future compatibility" "0,1" newline bitfld.long 0x10 4.--8. "CURRENTVICTIM,Current entry to be updated either by the TWL or by the software" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x10 0.--3. "RESERVED,Write 0's for future compatibility" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x14 "MMU_LD_TLB,This register loads a TLB entry (CAM+RAM)" hexmask.long 0x14 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x14 0. "LDTLBITEM,Write (load) data in the TLB" "LDTLBITEM_0_w,LDTLBITEM_1_w" line.long 0x18 "MMU_CAM,This register holds a CAM entry" hexmask.long.tbyte 0x18 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x18 4.--11. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x18 3. "P,Preserved bit" "P_0,P_1" newline bitfld.long 0x18 2. "V,Valid bit" "V_0,V_1" bitfld.long 0x18 0.--1. "PAGESIZE,Page size" "PAGESIZE_0,PAGESIZE_1,PAGESIZE_2,PAGESIZE_3" line.long 0x1C "MMU_RAM,This register contains bits [31:12] of the physical address to be written to a TLB entry pointed to by CURRENTVICTIM field of register" hexmask.long.tbyte 0x1C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x1C 0.--11. 1. "RESERVED,Write 0's for future compatibility" line.long 0x20 "MMU_GFLUSH,This register flushes all the non-protected TLB entries" hexmask.long 0x20 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x20 0. "GLOBALFLUSH,Flush all the non-protected TLB entries when set" "GLOBALFLUSH_0_w,GLOBALFLUSH_1_w" line.long 0x24 "MMU_FLUSH_ENTRY,This register flushes the entry pointed to by the CAM virtual address" hexmask.long 0x24 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x24 0. "FLUSHENTRY,Flush the TLB entry pointed by the virtual address (VATag) inMMU_CAM register even if this entry is set protected" "FLUSHENTRY_0_w,FLUSHENTRY_1_w" line.long 0x28 "MMU_READ_CAM,This register reads CAM data from a CAM entry" hexmask.long.tbyte 0x28 12.--31. 1. "VATAG,Virtual address tag" hexmask.long.byte 0x28 4.--11. 1. "RESERVED,Reads return 0" bitfld.long 0x28 3. "P,Preserved bit" "P_0_r,P_1_r" newline bitfld.long 0x28 2. "V,Valid bit" "V_0_r,V_1_r" bitfld.long 0x28 0.--1. "PAGESIZE,Page size" "PAGESIZE_0_r,PAGESIZE_1_r,PAGESIZE_2_r,PAGESIZE_3_r" line.long 0x2C "MMU_READ_RAM,This register reads bits [31:12] of the physical address from the TLB entry pointed to by CURRENTVICTIM field of the register" hexmask.long.tbyte 0x2C 12.--31. 1. "PHYSICALADDRESS,Physical address of the page" hexmask.long.word 0x2C 0.--11. 1. "RESERVED,Reads return 0" line.long 0x30 "MMU_EMU_FAULT_AD,This register contains the last virtual address of a fault caused by the debugger" rgroup.long 0x80++0x0B line.long 0x00 "MMU_FAULT_PC,Typically CPU program counter value of instruction generating MMU fault" line.long 0x04 "MMU_FAULT_STATUS,Fault status register" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED,Reserved" rbitfld.long 0x04 4.--8. "MMU_FAULT_TRANS_ID,MtagID of the transaction that caused fault" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x04 3. "RD_WR,Indicates read or write" "RD_WR_0,RD_WR_1" newline rbitfld.long 0x04 1.--2. "MMU_FAULT_TYPE,MReqInfo[1:0] is captured as fault type" "0,1,2,3" bitfld.long 0x04 0. "FAULTINDICATION,Indicates an MMU fault" "0,1" line.long 0x08 "MMU_GPR,General purpose register" hexmask.long.word 0x08 16.--31. 1. "GPO,General purpose output sent out as MMU output" hexmask.long.word 0x08 1.--15. 1. "RESERVED,Reserved" bitfld.long 0x08 0. "FAULT_INTR_DIS,Disable generation of interrupt on fault" "0,1" group.long 0x90++0x1F line.long 0x00 "MMU_BYPASS_REGION1_ADDR,This register contains the start address of the first NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x00 0.--15. 1. "RESERVED,Reserved" line.long 0x04 "MMU_BYPASS_REGION1_SIZE,This register contains the size of first NO TRANSLATION REGION for" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x08 "MMU_BYPASS_REGION2_ADDR,This register contains the start address of the second NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x08 0.--15. 1. "RESERVED,Reserved" line.long 0x0C "MMU_BYPASS_REGION2_SIZE,This register contains the size of second NO TRANSLATION REGION for 2D bursts" hexmask.long 0x0C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x10 "MMU_BYPASS_REGION3_ADDR,This register contains the start address of the third NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x10 0.--15. 1. "RESERVED,Reserved" line.long 0x14 "MMU_BYPASS_REGION3_SIZE,This register contains the size of third NO TRANSLATION REGION for 2D bursts" hexmask.long 0x14 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" line.long 0x18 "MMU_BYPASS_REGION4_ADDR,This register contains the start address of the forth NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 16.--31. 1. "START_ADDR,Start address of NO TRANSLATION REGION for 2D bursts" hexmask.long.word 0x18 0.--15. 1. "RESERVED,Reserved" line.long 0x1C "MMU_BYPASS_REGION4_SIZE,This register contains the size of forth NO TRANSLATION REGION for 2D bursts" hexmask.long 0x1C 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x1C 0.--3. "SIZE,Size of the NO TRANSLATION REGION of 2D bursts" "region not valid,64K bytes,128K bytes,256K bytes,512K bytes,1M bytes,2M bytes,4M bytes,8M bytes,16M bytes,32M bytes,64M bytes,128M bytes,256M bytes,512M bytes,1G bytes" tree.end tree "TIMER10_L4_PER1Interconnect" base ad:0x48086000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x4F line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" newline bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQSTATUS_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQSTATUS_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" newline bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" newline bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" newline bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" newline bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" newline bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" newline bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" newline bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" newline bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" newline bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" newline rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" line.long 0x3C "TPIR,This register is used for 1-ms tick generation" line.long 0x40 "TNIR,This register is used for 1-ms tick generation" line.long 0x44 "TCVR,This register is used for 1-ms tick generation" line.long 0x48 "TOCR,This register is used to mask the tick interrupt for a selected number of ticks" hexmask.long.byte 0x48 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x48 0.--23. 1. "OVF_COUNTER_VALUE,Number of overflow events" line.long 0x4C "TOWR,This register holds the number of masked overflow interrupts" hexmask.long.byte 0x4C 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x4C 0.--23. 1. "OVF_WRAPPING_VALUE,Number of masked interrupts" tree.end tree "TIMER10_TARG" base ad:0x48087000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER11_L4_PER1Interconnect" base ad:0x48088000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER11_TARG" base ad:0x48089000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER12_L4_WKUPInterconnect" base ad:0x4AE20000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER12_TARG" base ad:0x4AE21000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER13_L4_PER3Interconnect" base ad:0x48828000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER13_TARG" base ad:0x48829000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER14_L4_PER3Interconnect" base ad:0x4882A000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER14_TARG" base ad:0x4882B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER15_L4_PER3Interconnect" base ad:0x4882C000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER15_TARG" base ad:0x4882D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER16_L4_PER3Interconnect" base ad:0x4882E000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER16_TARG" base ad:0x4882F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER1_L4_WKUPInterconnect" base ad:0x4AE18000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x4F line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" newline bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQSTATUS_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQSTATUS_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" newline bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" newline bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" newline bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" newline bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" newline bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" newline bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" newline bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" newline bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" newline bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" newline rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" line.long 0x3C "TPIR,This register is used for 1-ms tick generation" line.long 0x40 "TNIR,This register is used for 1-ms tick generation" line.long 0x44 "TCVR,This register is used for 1-ms tick generation" line.long 0x48 "TOCR,This register is used to mask the tick interrupt for a selected number of ticks" hexmask.long.byte 0x48 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x48 0.--23. 1. "OVF_COUNTER_VALUE,Number of overflow events" line.long 0x4C "TOWR,This register holds the number of masked overflow interrupts" hexmask.long.byte 0x4C 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x4C 0.--23. 1. "OVF_WRAPPING_VALUE,Number of masked interrupts" tree.end tree "TIMER1_TARG" base ad:0x4AE19000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER2_L4_PER1Interconnect" base ad:0x48032000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x4F line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" newline bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQSTATUS_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQSTATUS_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" newline bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" newline bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" newline bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" newline bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" newline bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" newline bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" newline bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" newline bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" newline bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" newline rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" line.long 0x3C "TPIR,This register is used for 1-ms tick generation" line.long 0x40 "TNIR,This register is used for 1-ms tick generation" line.long 0x44 "TCVR,This register is used for 1-ms tick generation" line.long 0x48 "TOCR,This register is used to mask the tick interrupt for a selected number of ticks" hexmask.long.byte 0x48 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x48 0.--23. 1. "OVF_COUNTER_VALUE,Number of overflow events" line.long 0x4C "TOWR,This register holds the number of masked overflow interrupts" hexmask.long.byte 0x4C 24.--31. 1. "RESERVED,Reads return 0" hexmask.long.tbyte 0x4C 0.--23. 1. "OVF_WRAPPING_VALUE,Number of masked interrupts" tree.end tree "TIMER2_TARG" base ad:0x48033000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER3_L4_PER1Interconnect" base ad:0x48034000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER3_TARG" base ad:0x48035000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER4_L4_PER1Interconnect" base ad:0x48036000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER4_TARG" base ad:0x48037000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER5_L4_PER3Interconnect" base ad:0x48820000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER5_TARG" base ad:0x48821000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER6_L4_PER3Interconnect" base ad:0x48822000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER6_TARG" base ad:0x48823000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER7_L4_PER3Interconnect" base ad:0x48824000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER7_TARG" base ad:0x48825000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER8_L4_PER3Interconnect" base ad:0x48826000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER8_TARG" base ad:0x48827000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TIMER9_L4_PER1Interconnect" base ad:0x4803E000 rgroup.long 0x00++0x03 line.long 0x00 "TIDR,This read-only register contains the revision number of the module" group.long 0x10++0x03 line.long 0x00 "TIOCP_CFG,This register controls the various parameters of the L4 interface" hexmask.long 0x00 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 2.--3. "IDLEMODE,Power management req/ack control" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" bitfld.long 0x00 1. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" newline bitfld.long 0x00 0. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" group.long 0x20++0x3B line.long 0x00 "IRQ_EOI,Software End-Of-Interrupt: Allows the generation of further pulses on the interrupt line. if a new interrupt event is pending. when using the pulsed output" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LINE_NUMBER,Write the number of the interrupt line to apply a SW EOI to it" "SW EOI on interrupt line,No action" line.long 0x04 "IRQSTATUS_RAW,Component interrupt-request status" hexmask.long 0x04 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Trigger IRQ event by software" bitfld.long 0x04 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Trigger IRQ event by software" newline bitfld.long 0x04 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Trigger IRQ event by software" line.long 0x08 "IRQSTATUS,Component interrupt-request status" hexmask.long 0x08 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 2. "TCAR_IT_FLAG,IRQ status for capture" "No action Read,Clear any pending event" bitfld.long 0x08 1. "OVF_IT_FLAG,IRQ status for overflow" "No action Read,Clear any pending event" newline bitfld.long 0x08 0. "MAT_IT_FLAG,IRQ status for match" "No action Read,Clear any pending event" line.long 0x0C "IRQENABLE_SET,Component interrupt-request enable" hexmask.long 0x0C 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Set IRQ enable" bitfld.long 0x0C 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Set IRQ enable" newline bitfld.long 0x0C 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Set IRQ enable" line.long 0x10 "IRQENABLE_CLR,Component interrupt-request enable" hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 2. "TCAR_EN_FLAG,IRQ enable for compare Read" "No action Read,Clear IRQ enable" bitfld.long 0x10 1. "OVF_EN_FLAG,IRQ enable for overflow" "No action Read,Clear IRQ enable" newline bitfld.long 0x10 0. "MAT_EN_FLAG,IRQ enable for match" "No action Read,Clear IRQ enable" line.long 0x14 "IRQWAKEEN,Wake-up-enabled events taking place when module is idle should generate an asynchronous wake-up" hexmask.long 0x14 3.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 2. "TCAR_WUP_ENA,Wake-up generation for compare" "TCAR_WUP_ENA_0,TCAR_WUP_ENA_1" bitfld.long 0x14 1. "OVF_WUP_ENA,Wake-up generation for overflow" "OVF_WUP_ENA_0,OVF_WUP_ENA_1" newline bitfld.long 0x14 0. "MAT_WUP_ENA,Wake-up generation for match" "MAT_WUP_ENA_0,MAT_WUP_ENA_1" line.long 0x18 "TCLR,This register controls optional features specific to the timer functionality" hexmask.long.tbyte 0x18 15.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 14. "GPO_CFG,General-purpose output - this register directly drives the PO_GPOCFG output" "GPO_CFG_0,GPO_CFG_1" bitfld.long 0x18 13. "CAPT_MODE,Capture mode select bit (first/second)" "CAPT_MODE_0,CAPT_MODE_1" newline bitfld.long 0x18 12. "PT,Pulse or toggle mode on TIMERi_PWM_out output pin" "PT_0,PT_1" bitfld.long 0x18 10.--11. "TRG,Trigger output mode on TIMERi_PWM_out output pin" "TRG_0,TRG_1,TRG_2,TRG_3" bitfld.long 0x18 8.--9. "TCM,Transition capture mode on TIMERi_EVENT_CAPTURE input pin (When the TCM field passed from (00) to any other combination the TCAR_IT_FLAG and the edge detection logic are cleared.)" "TCM_0,TCM_1,TCM_2,TCM_3" newline bitfld.long 0x18 7. "SCPWM,Pulse width modulation output pin default setting This bit must be set or clear while the timer is stopped or the trigger is off" "SCPWM_0,SCPWM_1" bitfld.long 0x18 6. "CE,Compare enable" "CE_0,CE_1" bitfld.long 0x18 5. "PRE,Prescaler enable" "PRE_0,PRE_1" newline bitfld.long 0x18 2.--4. "PTV,Prescale clock timer value The timer counter is prescaled with the value 2" "0,1,2,3,4,5,6,7" bitfld.long 0x18 1. "AR,Autoreload mode" "AR_0,AR_1" bitfld.long 0x18 0. "ST,Start/stop timer control" "ST_0,ST_1" line.long 0x1C "TCRR,This register holds the value of the internal counter" line.long 0x20 "TLDR,This register holds the timer load value" line.long 0x24 "TTGR,The read value of this register is always 0xFFFF FFFF" line.long 0x28 "TWPS,This register contains the write posting bits for all writable functional registers" hexmask.long.tbyte 0x28 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x28 9. "W_PEND_TOWR,Write pending for theTOWR register" "W_PEND_TOWR_0_r,W_PEND_TOWR_1_r" bitfld.long 0x28 8. "W_PEND_TOCR,Write pending for theTOCR register" "W_PEND_TOCR_0_r,W_PEND_TOCR_1_r" newline bitfld.long 0x28 7. "W_PEND_TCVR,Write pending for theTCVR register" "W_PEND_TCVR_0_r,W_PEND_TCVR_1_r" bitfld.long 0x28 6. "W_PEND_TNIR,Write pending for theTNIR register" "W_PEND_TNIR_0_r,W_PEND_TNIR_1_r" bitfld.long 0x28 5. "W_PEND_TPIR,Write pending for theTPIR register" "W_PEND_TPIR_0_r,W_PEND_TPIR_1_r" newline bitfld.long 0x28 4. "W_PEND_TMAR,When equal to 1 a write is pending to theTMAR register" "0,1" bitfld.long 0x28 3. "W_PEND_TTGR,When equal to 1 a write is pending to theTTGR register" "0,1" bitfld.long 0x28 2. "W_PEND_TLDR,When equal to 1 a write is pending to theTLDR register" "0,1" newline bitfld.long 0x28 1. "W_PEND_TCRR,When equal to 1 a write is pending to theTCRR register" "0,1" bitfld.long 0x28 0. "W_PEND_TCLR,When equal to 1 a write is pending to theTCLR register" "0,1" line.long 0x2C "TMAR,The compare logic consists of a 32-bit-wide. read/write data register and logic to compare counter" line.long 0x30 "TCAR1,This register holds the first captured value of the counter register" line.long 0x34 "TSICR,Timer synchronous interface control register" hexmask.long 0x34 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x34 3. "READ_MODE,Select posted/non-posted mode for read operation:NOTE: When the module is configured in posted mode (POSTED = '1') this bit is not used" "READ_MODE_0,READ_MODE_1" bitfld.long 0x34 2. "POSTED,Posted mode selection" "POSTED_0,POSTED_1" newline bitfld.long 0x34 1. "SFT,This bit resets all the functional part of the module" "SFT_0,SFT_1" rbitfld.long 0x34 0. "RESERVED,Reserved" "0,1" line.long 0x38 "TCAR2,This register holds the second captured value of the counter register" tree.end tree "TIMER9_TARG" base ad:0x4803F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TPCC_FW_CFG_TARG" base ad:0x4A162000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "TPCC_TARG" base ad:0x44002000 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "TPTC1_TARG" base ad:0x44002E00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "TPTC2_TARG" base ad:0x44002B00 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "TPTC_FW" base ad:0x4A163000 group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" newline bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x90++0x07 line.long 0x00 "START_REGION_i_1,Start physical address of region i" hexmask.long.tbyte 0x00 10.--31. 1. "START_REGION,Physical target start address of firewall region i" hexmask.long.word 0x00 0.--9. 1. "RESERVED,Reads return 0s" line.long 0x04 "END_REGION_i_1,End physical address of region i" hexmask.long.tbyte 0x04 10.--31. 1. "END_REGION,Physical target end address of firewall region i" hexmask.long.byte 0x04 2.--9. 1. "RESERVED,Reads return 0s" newline bitfld.long 0x04 1. "END_REGION_i_ENABLE_CORE1,Enable this region for port 1" "0,1" bitfld.long 0x04 0. "END_REGION_i_ENABLE_CORE0,Enable this region for port 0" "0,1" repeat 2. (list 0. 1. )(list 0x00 0x10 ) group.long ($2+0x8C)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_HIGH_j_$1,Region j Permission High" bitfld.long 0x00 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x00 30. "R15,Master NIU ConnID = 115 read permission" "0,1" newline bitfld.long 0x00 29. "W14,Master NIU ConnID = 14 write permission" "0,1" bitfld.long 0x00 28. "R14,Master NIU ConnID = 14 read permission" "0,1" newline bitfld.long 0x00 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x00 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x00 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x00 24. "R12,Master NIU ConnID = 12 read permission" "0,1" newline bitfld.long 0x00 23. "W11,Master NIU ConnID = 11 write permission" "0,1" bitfld.long 0x00 22. "R11,Master NIU ConnID = 11 read permission" "0,1" newline bitfld.long 0x00 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x00 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x00 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x00 18. "R9,Master NIU ConnID = 9 read permission" "0,1" newline bitfld.long 0x00 17. "W8,Master NIU ConnID = 8 write permission" "0,1" bitfld.long 0x00 16. "R8,Master NIU ConnID = 8 read permission" "0,1" newline bitfld.long 0x00 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x00 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x00 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x00 12. "R6,Master NIU ConnID = 6 read permission" "0,1" newline bitfld.long 0x00 11. "W5,Master NIU ConnID = 5 write permission" "0,1" bitfld.long 0x00 10. "R5,Master NIU ConnID = 5 read permission" "0,1" newline bitfld.long 0x00 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x00 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x00 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x00 6. "R3,Master NIU ConnID = 3 read permission" "0,1" newline bitfld.long 0x00 5. "W2,Master NIU ConnID = 2 write permission" "0,1" bitfld.long 0x00 4. "R2,Master NIU ConnID = 2 read permission" "0,1" newline bitfld.long 0x00 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x00 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x00 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x00 0. "R0,Master NIU ConnID = 0 read permission" "0,1" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) group.long ($2+0x88)++0x03 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j_$1,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" newline bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" newline bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" newline bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" newline rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) rgroup.long ($2+0x04)++0x03 line.long 0x00 "LOGICAL_ADDR_ERRLOG_k_$1,Logical Physical Address Error log register for port k" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x10 ) group.long ($2+0x00)++0x03 line.long 0x00 "ERROR_LOG_k_$1,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" newline rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" repeat.end tree.end tree "TPTC_FW_CFG_TARG" base ad:0x4A164000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end repeat 3. (list 3. 1. 2. )(list ad:0x48020000 ad:0x4806A000 ad:0x4806C000 ) tree "UART$1" base $2 group.long 0x00++0x03 line.long 0x00 "UART_DLL,This register. with. stores the 14-bit divisor for generation of the baud clock in the baud rate generator" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "CLOCK_LSB,Stores the 8-bit LSB divisor value" rgroup.long 0x00++0x03 line.long 0x00 "UART_RHR,The receiver section consists of the receiver holding register (RHR) and the receiver shift register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "RHR,Receive holding register" group.long 0x00++0x07 line.long 0x00 "UART_THR,The transmitter section consists of the transmit holding register (THR) and the transmit shift register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Write has no effect" newline hexmask.long.byte 0x00 0.--7. 1. "THR,Transmit holding register" line.long 0x04 "UART_DLH,This register. with. stores the 14-bit divisor for generating the baud clock in the baud rate generator" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 6.--7. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x04 0.--5. "CLOCK_MSB,Stores the 6-bit MSB divisor value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x04++0x03 line.long 0x00 "UART_IER,Interrupt enable register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 7. "CTS_IT," "CTS_IT_0,CTS_IT_1" newline bitfld.long 0x00 6. "RTS_IT," "RTS_IT_0,RTS_IT_1" newline bitfld.long 0x00 5. "XOFF_IT," "XOFF_IT_0,XOFF_IT_1" newline bitfld.long 0x00 4. "SLEEP_MODE," "SLEEP_MODE_0,SLEEP_MODE_1" newline bitfld.long 0x00 3. "MODEM_STS_IT," "MODEM_STS_IT_0,MODEM_STS_IT_1" newline bitfld.long 0x00 2. "LINE_STS_IT," "LINE_STS_IT_0,LINE_STS_IT_1" newline bitfld.long 0x00 1. "THR_IT," "THR_IT_0,THR_IT_1" newline bitfld.long 0x00 0. "RHR_IT," "RHR_IT_0,RHR_IT_1" group.long 0x04++0x03 line.long 0x00 "UART_IER_CIR,There are 6 types of interrupt in these modes. TX status. status FIFO interrupt. RX overrun. last byte in RX FIFO. THR interrupt and RHR interrupt and they can be enabled/disabled individually" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 6.--7. "RESERVED,Not used in CIR mode" "0,1,2,3" newline bitfld.long 0x00 5. "TX_STATUS_IT," "TX_STATUS_IT_0,TX_STATUS_IT_1" newline bitfld.long 0x00 4. "RESERVED,Not used in CIR mode" "0,1" newline bitfld.long 0x00 3. "RX_OVERRUN_IT," "RX_OVERRUN_IT_0,RX_OVERRUN_IT_1" newline bitfld.long 0x00 2. "RX_STOP_IT," "RX_STOP_IT_0,RX_STOP_IT_1" newline bitfld.long 0x00 1. "THR_IT," "THR_IT_0,THR_IT_1" newline bitfld.long 0x00 0. "RHR_IT," "RHR_IT_0,RHR_IT_1" group.long 0x04++0x07 line.long 0x00 "UART_IER_IRDA,There are 8 types of interrupt in these modes. received EOF. LSR interrupt. TX status. status FIFO interrupt. RX overrun. last byte in RX FIFO. THR interrupt and RHR interrupt and they can be enabled/disabled individually" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 7. "EOF_IT," "EOF_IT_0,EOF_IT_1" newline bitfld.long 0x00 6. "LINE_STS_IT," "LINE_STS_IT_0,LINE_STS_IT_1" newline bitfld.long 0x00 5. "TX_STATUS_IT," "TX_STATUS_IT_0,TX_STATUS_IT_1" newline bitfld.long 0x00 4. "STS_FIFO_TRIG_IT," "STS_FIFO_TRIG_IT_0,STS_FIFO_TRIG_IT_1" newline bitfld.long 0x00 3. "RX_OVERRUN_IT," "RX_OVERRUN_IT_0,RX_OVERRUN_IT_1" newline bitfld.long 0x00 2. "LAST_RX_BYTE_IT," "LAST_RX_BYTE_IT_0,LAST_RX_BYTE_IT_1" newline bitfld.long 0x00 1. "THR_IT," "THR_IT_0,THR_IT_1" newline bitfld.long 0x00 0. "RHR_IT," "RHR_IT_0,RHR_IT_1" line.long 0x04 "UART_EFR,Enhanced feature register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "AUTO_CTS_EN,Auto-CTS enable bit" "AUTO_CTS_EN_0,AUTO_CTS_EN_1" newline bitfld.long 0x04 6. "AUTO_RTS_EN,Auto-RTS enable bit" "AUTO_RTS_EN_0,AUTO_RTS_EN_1" newline bitfld.long 0x04 5. "SPECIAL_CHAR_DETECT," "SPECIAL_CHAR_DETECT_0,SPECIAL_CHAR_DETECT_1" newline bitfld.long 0x04 4. "ENHANCED_EN,Enhanced functions write enable bit" "ENHANCED_EN_0,ENHANCED_EN_1" newline bitfld.long 0x04 0.--3. "SW_FLOW_CONTROL,Combinations of software flow control can be selected by programming bit" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x08++0x03 line.long 0x00 "UART_FCR,FIFO control register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Write has no effect" newline bitfld.long 0x00 6.--7. "RX_FIFO_TRIG,Sets the trigger level for the RX FIFO: If UART_SCR[7] = 0 and UART_TLR[7:4] =" "8 characters,16 characters,56 characters,60 characters If UART_SCR[7] = 0 and.." newline bitfld.long 0x00 4.--5. "TX_FIFO_TRIG,Sets the trigger level for the TX FIFO: If UART_SCR[6] = 0 and UART_TLR[3:0] =" "8 spaces,16 spaces,32 spaces,56 spaces If UART_SCR[6].." newline bitfld.long 0x00 3. "DMA_MODE,This register is considered ifUART_SCR[0] = 0" "DMA_MODE_0_w,DMA_MODE_1_w" newline bitfld.long 0x00 2. "TX_FIFO_CLEAR," "TX_FIFO_CLEAR_0_w,TX_FIFO_CLEAR_1_w" newline bitfld.long 0x00 1. "RX_FIFO_CLEAR," "RX_FIFO_CLEAR_0_w,RX_FIFO_CLEAR_1_w" newline bitfld.long 0x00 0. "FIFO_EN," "FIFO_EN_0_w,FIFO_EN_1_w" rgroup.long 0x08++0x03 line.long 0x00 "UART_IIR,Interrupt identification register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 6.--7. "FCR_MIRROR,Mirror the contents ofUART_FCR[0] on both bits" "0,1,2,3" newline bitfld.long 0x00 1.--5. "IT_TYPE," "?,IT_TYPE_1_r,IT_TYPE_2_r,IT_TYPE_3_r,?,?,IT_TYPE_6_r,?,IT_TYPE_8_r,?,?,?,?,?,?,?,IT_TYPE_16_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 0. "IT_PENDING," "?,IT_PENDING_1_r" rgroup.long 0x08++0x03 line.long 0x00 "UART_IIR_CIR,The interrupt line is activated whenever one of the 6 interrupts is active" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 6.--7. "RESERVED,Not used in CIR mode" "0,1,2,3" newline bitfld.long 0x00 5. "TX_STATUS_IT," "?,TX_STATUS_IT_1_r" newline bitfld.long 0x00 4. "RESERVED,Not used in CIR mode" "0,1" newline bitfld.long 0x00 3. "RX_OE_IT," "?,RX_OE_IT_1_r" newline bitfld.long 0x00 2. "RX_STOP_IT," "?,RX_STOP_IT_1_r" newline bitfld.long 0x00 1. "THR_IT," "?,THR_IT_1_r" newline bitfld.long 0x00 0. "RHR_IT," "?,RHR_IT_1_r" rgroup.long 0x08++0x0B line.long 0x00 "UART_IIR_IRDA,The interrupt line is activated whenever one of the 8 interrupts is active" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 7. "EOF_IT," "EOF_IT_0_r,EOF_IT_1_r" newline bitfld.long 0x00 6. "LINE_STS_IT," "LINE_STS_IT_0_r,LINE_STS_IT_1_r" newline bitfld.long 0x00 5. "TX_STATUS_IT," "TX_STATUS_IT_0_r,TX_STATUS_IT_1_r" newline bitfld.long 0x00 4. "STS_FIFO_IT," "STS_FIFO_IT_0_r,STS_FIFO_IT_1_r" newline bitfld.long 0x00 3. "RX_OE_IT," "RX_OE_IT_0_r,RX_OE_IT_1_r" newline bitfld.long 0x00 2. "RX_FIFO_LAST_BYTE_IT," "RX_FIFO_LAST_BYTE_IT_0_r,RX_FIFO_LAST_BYTE_IT_1_r" newline bitfld.long 0x00 1. "THR_IT," "THR_IT_0_r,THR_IT_1_r" newline bitfld.long 0x00 0. "RHR_IT," "RHR_IT_0_r,RHR_IT_1_r" line.long 0x04 "UART_LCR,Line control register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "DIV_EN," "DIV_EN_0,DIV_EN_1" newline bitfld.long 0x04 6. "BREAK_EN,Break control bit" "BREAK_EN_0,BREAK_EN_1" newline bitfld.long 0x04 5. "PARITY_TYPE2,Selects the forced parity format (ifUART_LCR[3] = 1)" "0,1" newline bitfld.long 0x04 4. "PARITY_TYPE1," "PARITY_TYPE1_0,PARITY_TYPE1_1" newline bitfld.long 0x04 3. "PARITY_EN," "?,PARITY_EN_1" newline bitfld.long 0x04 2. "NB_STOP,Specifies the number of stop-bits" "NB_STOP_0,NB_STOP_1" newline bitfld.long 0x04 0.--1. "CHAR_LENGTH,Specifies the word length to be transmitted or received" "CHAR_LENGTH_0,CHAR_LENGTH_1,CHAR_LENGTH_2,CHAR_LENGTH_3" line.long 0x08 "UART_MCR,Modem control register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 7. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x08 6. "TCR_TLR," "?,TCR_TLR_1" newline bitfld.long 0x08 5. "XON_EN," "?,XON_EN_1" newline bitfld.long 0x08 4. "LOOPBACK_EN," "?,LOOPBACK_EN_1" newline bitfld.long 0x08 3. "CD_STS_CH," "?,CD_STS_CH_1" newline bitfld.long 0x08 2. "RI_STS_CH," "?,RI_STS_CH_1" newline bitfld.long 0x08 1. "RTS,In loopback controls theUART_MSR[4] bit" "RTS_0,RTS_1" newline bitfld.long 0x08 0. "DTR," "?,DTR_1" group.long 0x10++0x07 line.long 0x00 "UART_XON1_ADDR1,UART mode: XON1 character. IrDA mode: ADDR1 address" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "XON_WORD1,Stores the 8-bit XON1 character in UART modes and ADDR1 address 1 for IrDA modes" line.long 0x04 "UART_LSR,Line status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "RX_FIFO_STS," "?,RX_FIFO_STS_1_r" newline bitfld.long 0x04 6. "TX_SR_E," "?,TX_SR_E_1_r" newline bitfld.long 0x04 5. "TX_FIFO_E," "?,TX_FIFO_E_1_r" newline bitfld.long 0x04 4. "RX_BI," "?,RX_BI_1_r" newline bitfld.long 0x04 3. "RX_FE," "?,RX_FE_1_r" newline bitfld.long 0x04 2. "RX_PE," "?,RX_PE_1_r" newline bitfld.long 0x04 1. "RX_OE," "?,RX_OE_1_r" newline bitfld.long 0x04 0. "RX_FIFO_E," "?,RX_FIFO_E_1_r" rgroup.long 0x14++0x03 line.long 0x00 "UART_LSR_CIR,Line status register in CIR mode" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 7. "THR_EMPTY," "?,THR_EMPTY_1_r" newline bitfld.long 0x00 6. "RESERVED,Not used in CIR mode" "0,1" newline bitfld.long 0x00 5. "RX_STOP,The RX_STOP is generated based on the value set in the BOF Length register (UART_EBLR)" "RX_STOP_0_r,RX_STOP_1_r" newline bitfld.long 0x00 1.--4. "RESERVED,Not used in CIR mode" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0. "RX_FIFO_E," "?,RX_FIFO_E_1_r" rgroup.long 0x14++0x03 line.long 0x00 "UART_LSR_IRDA,When the LSR is read. LSR[4:2] reflect the error bits [FL. CRC. ABORT] of the frame at the top of the STATUS FIFO (next frame status to be read)" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 7. "THR_EMPTY," "?,THR_EMPTY_1_r" newline bitfld.long 0x00 6. "STS_FIFO_FULL," "?,STS_FIFO_FULL_1_r" newline bitfld.long 0x00 5. "RX_LAST_BYTE," "?,RX_LAST_BYTE_1_r" newline bitfld.long 0x00 4. "FRAME_TOO_LONG," "?,FRAME_TOO_LONG_1_r" newline bitfld.long 0x00 3. "ABORT," "?,ABORT_1_r" newline bitfld.long 0x00 2. "CRC," "?,CRC_1_r" newline bitfld.long 0x00 1. "STS_FIFO_E," "?,STS_FIFO_E_1_r" newline bitfld.long 0x00 0. "RX_FIFO_E," "?,RX_FIFO_E_1_r" group.long 0x14++0x07 line.long 0x00 "UART_XON2_ADDR2,Stores the 8-bit XON2 character in UART moldes and ADDR2 address 2 for IrDA modes" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "XON_WORD2,Stores the 8-bit XON2 character in UART modes and ADDR2 address 2 for IrDA modes" line.long 0x04 "UART_MSR,Modem status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "NCD_STS,This bit is the complement of the DCD* input" "0,1" newline bitfld.long 0x04 6. "NRI_STS,This bit is the complement of the RI* input" "0,1" newline bitfld.long 0x04 5. "NDSR_STS,This bit is the complement of the DSR* input" "0,1" newline bitfld.long 0x04 4. "NCTS_STS,This bit is the complement of the CTS* input" "0,1" newline bitfld.long 0x04 3. "DCD_STS,Indicates that DCD* input (orUART_MCR[3] in loopback) changed" "0,1" newline bitfld.long 0x04 2. "RI_STS,Indicates that RI* input (orUART_MCR[2] in loopback) changed state from low to high" "0,1" newline bitfld.long 0x04 1. "DSR_STS," "?,DSR_STS_1_r" newline bitfld.long 0x04 0. "CTS_STS," "?,CTS_STS_1_r" group.long 0x18++0x03 line.long 0x00 "UART_TCR,Transmission control register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 4.--7. "RX_FIFO_TRIG_START,RX FIFO trigger level to RESTORE transmission (0 - 60)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "RX_FIFO_TRIG_HALT,RX FIFO trigger level to HALT transmission (0 - 60)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x18++0x07 line.long 0x00 "UART_XOFF1,UART mode XOFF1 character" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "XOFF_WORD1,Stores the 8-bit XOFF1 character used in UART modes" line.long 0x04 "UART_SPR,Scratchpad register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x04 0.--7. 1. "SPR_WORD,Scratchpad register" group.long 0x1C++0x03 line.long 0x00 "UART_TLR,Trigger level register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 4.--7. "RX_FIFO_TRIG_DMA,Receive FIFO trigger level" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "TX_FIFO_TRIG_DMA,Transmit FIFO trigger level" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0x1C++0x0F line.long 0x00 "UART_XOFF2,UART mode XOFF2 character" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "XOFF_WORD2,Stores the 8-bit XOFF2 character used in UART modes" line.long 0x04 "UART_MDR1,Mode definition register 1" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "FRAME_END_MODE,IrDA mode only" "FRAME_END_MODE_0,FRAME_END_MODE_1" newline bitfld.long 0x04 6. "SIP_MODE,MIR/FIR modes only" "SIP_MODE_0,SIP_MODE_1" newline bitfld.long 0x04 5. "SCT,Store and control the transmission" "SCT_0,SCT_1" newline bitfld.long 0x04 4. "SET_TXIR,Used to configure the infrared transceiver" "SET_TXIR_0,SET_TXIR_1" newline bitfld.long 0x04 3. "IR_SLEEP," "?,IR_SLEEP_1" newline bitfld.long 0x04 0.--2. "MODE_SELECT," "?,MODE_SELECT_1,MODE_SELECT_2,MODE_SELECT_3,MODE_SELECT_4,MODE_SELECT_5,MODE_SELECT_6,MODE_SELECT_7" line.long 0x08 "UART_MDR2,Mode definition register 2" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Read returns 0" newline rbitfld.long 0x08 7. "SET_TXIR_ALT,Provide alternate function forUART_MDR1[4] (SET_TXIR)" "SET_TXIR_ALT_0,SET_TXIR_ALT_1" newline bitfld.long 0x08 6. "IRRXINVERT,IR mode only (IrDA and CIR)" "IRRXINVERT_0,IRRXINVERT_1" newline bitfld.long 0x08 4.--5. "CIR_PULSE_MODE,CIR pulse modulation definition" "CIR_PULSE_MODE_0,CIR_PULSE_MODE_1,CIR_PULSE_MODE_2,CIR_PULSE_MODE_3" newline bitfld.long 0x08 3. "UART_PULSE,UART mode only" "UART_PULSE_0,UART_PULSE_1" newline bitfld.long 0x08 1.--2. "STS_FIFO_TRIG,IR-IrDA mode only" "STS_FIFO_TRIG_0,STS_FIFO_TRIG_1,STS_FIFO_TRIG_2,STS_FIFO_TRIG_3" newline rbitfld.long 0x08 0. "IRTX_UNDERRUN,IrDA transmission status interrupt" "IRTX_UNDERRUN_0_r,IRTX_UNDERRUN_1_r" line.long 0x0C "UART_SFLSR,Status FIFO line status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x0C 5.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 4. "OE_ERROR," "0,1" newline bitfld.long 0x0C 3. "FRAME_TOO_LONG_ERROR," "0,1" newline bitfld.long 0x0C 2. "ABORT_DETECT," "0,1" newline bitfld.long 0x0C 1. "CRC_ERROR," "0,1" newline bitfld.long 0x0C 0. "RESERVED," "0,1" group.long 0x28++0x07 line.long 0x00 "UART_TXFLL,Transmit frame length register low" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Write has no effect" newline hexmask.long.byte 0x00 0.--7. 1. "TXFLL,LSB register used to specify the frame length" line.long 0x04 "UART_RESUME,IR-IrDA and IR-CIR modes only" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x04 0.--7. 1. "RESUME,Dummy read to restart the TX or RX" group.long 0x2C++0x07 line.long 0x00 "UART_TXFLH,Transmit frame length register high" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Write has no effect" newline bitfld.long 0x00 5.--7. "RESERVED,Write has no effect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--4. "TXFLH,MSB register used to specify the frame length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" line.long 0x04 "UART_RXFLL,Received frame length register low" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Write has no effect" newline hexmask.long.byte 0x04 0.--7. 1. "RXFLL,LSB register used to specify the frame length in reception" rgroup.long 0x30++0x07 line.long 0x00 "UART_SFREGL,Status FIFO register low" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x00 0.--7. 1. "SFREGL,LSB part of the frame length" line.long 0x04 "UART_RXFLH,Received frame length register high" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Write has no effect" newline bitfld.long 0x04 4.--7. "RESERVED,Write has no effect" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 0.--3. "RXFLH,MSB register used to specify the frame length in reception" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rgroup.long 0x34++0x07 line.long 0x00 "UART_SFREGH,Status FIFO register high" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 4.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 0.--3. "SFREGH,MSB part of the frame length" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "UART_BLR,BOF control register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "STS_FIFO_RESET,Status FIFO reset" "0,1" newline bitfld.long 0x04 6. "XBOF_TYPE,SIR xBOF select" "XBOF_TYPE_0,XBOF_TYPE_1" newline rbitfld.long 0x04 0.--5. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x38++0x13 line.long 0x00 "UART_UASR,UART autobauding status register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 6.--7. "PARITY_TYPE," "?,PARITY_TYPE_1_r,PARITY_TYPE_2_r,PARITY_TYPE_3_r" newline bitfld.long 0x00 5. "BIT_BY_CHAR," "?,BIT_BY_CHAR_1_r" newline bitfld.long 0x00 0.--4. "SPEED,Used to report the speed identified" "SPEED_0_r,SPEED_1_r,SPEED_2_r,SPEED_3_r,SPEED_4_r,SPEED_5_r,SPEED_6_r,SPEED_7_r,SPEED_8_r,SPEED_9_r,SPEED_10_r,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?" line.long 0x04 "UART_ACREG,Auxiliary control register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x04 7. "PULSE_TYPE,SIR pulse width select" "PULSE_TYPE_0,PULSE_TYPE_1" newline bitfld.long 0x04 6. "SD_MOD,Primary output used to configure transceivers" "SD_MOD_0,SD_MOD_1" newline bitfld.long 0x04 5. "DIS_IR_RX," "?,DIS_IR_RX_1" newline bitfld.long 0x04 4. "DIS_TX_UNDERRUN,It is recommended to disable TX FIFO underrun capability by masking corresponding underrun interrupt" "DIS_TX_UNDERRUN_0,DIS_TX_UNDERRUN_1" newline bitfld.long 0x04 3. "SEND_SIP,MIR/FIR modes only" "SEND_SIP_0,SEND_SIP_1" newline bitfld.long 0x04 2. "SCTX_EN,Store and controlled TX start" "0,1" newline bitfld.long 0x04 1. "ABORT_EN,Frame abort" "0,1" newline bitfld.long 0x04 0. "EOT_EN,EOT (end of transmission) bit" "0,1" line.long 0x08 "UART_SCR,Supplementary control register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 7. "RX_TRIG_GRANU1," "?,RX_TRIG_GRANU1_1" newline bitfld.long 0x08 6. "TX_TRIG_GRANU1," "?,TX_TRIG_GRANU1_1" newline bitfld.long 0x08 5. "DSR_IT," "?,DSR_IT_1" newline bitfld.long 0x08 4. "RX_CTS_DSR_WAKE_UP_ENABLE," "?,RX_CTS_DSR_WAKE_UP_ENABLE_1" newline bitfld.long 0x08 3. "TX_EMPTY_CTL_IT," "?,TX_EMPTY_CTL_IT_1" newline bitfld.long 0x08 1.--2. "DMA_MODE_2,Used to specify the DMA mode valid if theUART_SCR[0] bit = 1" "DMA_MODE_2_0,DMA_MODE_2_1,DMA_MODE_2_2,DMA_MODE_2_3" newline bitfld.long 0x08 0. "DMA_MODE_CTL," "?,DMA_MODE_CTL_1" line.long 0x0C "UART_SSR,Supplementary status register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Read returns 0" newline rbitfld.long 0x0C 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 2. "DMA_COUNTER_RST," "?,DMA_COUNTER_RST_1" newline rbitfld.long 0x0C 1. "RX_CTS_DSR_WAKE_UP_STS," "?,RX_CTS_DSR_WAKE_UP_STS_1_r" newline rbitfld.long 0x0C 0. "TX_FIFO_FULL," "?,TX_FIFO_FULL_1_r" line.long 0x10 "UART_EBLR,BOF length register" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x10 0.--7. 1. "EBLR,IR-IrDA mode: This register allows definition of up to 176 xBOFs the maximum required by IrDA specification" rgroup.long 0x50++0x27 line.long 0x00 "UART_MVR,Module version register" line.long 0x04 "UART_SYSC,System configuration register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED,Read returns 0" newline rbitfld.long 0x04 5.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" newline bitfld.long 0x04 3.--4. "IDLEMODE,Power management req/ack control ref: OCP Design Guidelines Version 1.1" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" newline bitfld.long 0x04 2. "ENAWAKEUP,Wake-up feature control" "ENAWAKEUP_0,ENAWAKEUP_1" newline bitfld.long 0x04 1. "SOFTRESET,Software reset" "SOFTRESET_0,SOFTRESET_1" newline bitfld.long 0x04 0. "AUTOIDLE,Internal OCP clock gating strategy" "AUTOIDLE_0,AUTOIDLE_1" line.long 0x08 "UART_SYSS,System status register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0. "RESETDONE,Internal reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x0C "UART_WER,Wake-up enable register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x0C 7. "TX_WAKEUP_EN," "?,TX_WAKEUP_EN_1" newline bitfld.long 0x0C 6. "EVENT_6_RECEIVER_LINE_STATUS_INTERRUPT," "?,EVENT_6_RECEIVER_LINE_STATUS_INTERRUPT_1" newline bitfld.long 0x0C 5. "EVENT_5_RHR_INTERRUPT," "?,EVENT_5_RHR_INTERRUPT_1" newline bitfld.long 0x0C 4. "EVENT_4_RX_ACTIVITY," "?,EVENT_4_RX_ACTIVITY_1" newline bitfld.long 0x0C 3. "EVENT_3_DCD_CD_ACTIVITY," "?,EVENT_3_DCD_CD_ACTIVITY_1" newline bitfld.long 0x0C 2. "EVENT_2_RI_ACTIVITY," "?,EVENT_2_RI_ACTIVITY_1" newline bitfld.long 0x0C 1. "EVENT_1_DSR_ACTIVITY," "?,EVENT_1_DSR_ACTIVITY_1" newline bitfld.long 0x0C 0. "EVENT_0_CTS_ACTIVITY," "?,EVENT_0_CTS_ACTIVITY_1" line.long 0x10 "UART_CFPS,Carrier frequency prescaler" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x10 0.--7. 1. "CFPS,System clock frequency prescaler at (12x multiple)" line.long 0x14 "UART_RXFIFO_LVL,Level of the RX FIFO" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x14 0.--7. 1. "RXFIFO_LVL,Shows the number of received bytes in the RX FIFO" line.long 0x18 "UART_TXFIFO_LVL,Level of the TX FIFO" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x18 0.--7. 1. "TXFIFO_LVL,Shows the number of written bytes in the TX FIFO" line.long 0x1C "UART_IER2,Enables RX/TX FIFOs empty corresponding interrupts" hexmask.long 0x1C 2.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x1C 1. "EN_TXFIFO_EMPTY,Enables TX FIFO empty corresponding interrupt" "EN_TXFIFO_EMPTY_0,EN_TXFIFO_EMPTY_1" newline bitfld.long 0x1C 0. "EN_RXFIFO_EMPTY,Enables RX FIFO empty corresponding interrupt" "EN_RXFIFO_EMPTY_0,EN_RXFIFO_EMPTY_1" line.long 0x20 "UART_ISR2,Status of RX/TX FIFOs empty corresponding interrupts" hexmask.long 0x20 2.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x20 1. "TXFIFO_EMPTY_STS,Used to generate interrupt if the TX_FIFO is empty (software flow control)" "TXFIFO_EMPTY_STS_0,TXFIFO_EMPTY_STS_1" newline bitfld.long 0x20 0. "RXFIFO_EMPTY_STS,Used to generate interrupt if the RX_FIFO is empty (software flow control)" "RXFIFO_EMPTY_STS_0,RXFIFO_EMPTY_STS_1" line.long 0x24 "UART_FREQ_SEL,Sample per bit selector" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Read returns 0" newline hexmask.long.byte 0x24 0.--7. 1. "FREQ_SEL,Sets the sample per bit if nondefault frequency is used.UART_MDR3[1] must be set to 1 after this value is set" group.long 0x80++0x07 line.long 0x00 "UART_MDR3,Mode definition register 3" hexmask.long 0x00 3.--31. 1. "RESERVED,Read returns 0" newline bitfld.long 0x00 2. "SET_DMA_TX_THRESHOLD,Enable to set different TXDMA threshold inUART_TX_DMA_THRESHOLD register" "0,1" newline bitfld.long 0x00 1. "NONDEFAULT_FREQ,Used to enable the NONDEFAULT fclk frequencies" "NONDEFAULT_FREQ_0,NONDEFAULT_FREQ_1" newline bitfld.long 0x00 0. "DISABLE_CIR_RX_DEMOD,Used to enable CIR RX demodulation" "DISABLE_CIR_RX_DEMOD_0,DISABLE_CIR_RX_DEMOD_1" line.long 0x04 "UART_TX_DMA_THRESHOLD,Use to manually set the TX DMA threshold level" hexmask.long 0x04 6.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--5. "TX_DMA_THRESHOLD,Used to manually set the TX DMA threshold level" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" tree.end repeat.end tree "UART10_TARG" base ad:0x4AE2C000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART1_TARG" base ad:0x4806B000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART2_TARG" base ad:0x4806D000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART3_TARG" base ad:0x48021000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART4_TARG" base ad:0x4806F000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART5_TARG" base ad:0x48067000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART6_TARG" base ad:0x48069000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART7_TARG" base ad:0x48421000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART8_TARG" base ad:0x48423000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "UART9_TARG" base ad:0x48425000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "USB1_TARG" base ad:0x488A0000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "USB2_TARG" base ad:0x488E0000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "USB3_TARG" base ad:0x48920000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "USB4_TARG" base ad:0x48960000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VCP1_CFG_TARG" base ad:0x48447000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VCP1_FW" base ad:0x4A15D000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "VCP1_FW_CFG_TARG" base ad:0x4A15E000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VCP1_TARG" base ad:0x44000700 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "VCP2_CFG_TARG" base ad:0x48449000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VCP2_FW" base ad:0x4A15F000 group.long 0x00++0x07 line.long 0x00 "ERROR_LOG_k,Error log register for port k" hexmask.long.byte 0x00 24.--31. 1. "RESERVED,Reads return 0s" bitfld.long 0x00 23. "BLK_BURST_VIOLATION," "0,1" rbitfld.long 0x00 22. "RESERVED,Reads return 0s" "0,1" newline bitfld.long 0x00 17.--21. "REGION_START_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 12.--16. "REGION_END_ERRLOG,Read: Wrong access hit this region number Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--11. 1. "REQINFO_ERRLOG,Read: Error in reqinfo vector mapped as follows: [11: 8] ConnID [3:0] [7] MCMD [0] [6:4] Reserved [3] MReqDebug [2] Reserved [1] MReqSupervisor [0] MReqType Write to clear ERROR_LOG_k and LOGICAL_ADDR_ERRLOG_k registers" line.long 0x04 "LOGICAL_ADDR_ERRLOG_k,Logical Physical Address Error log register for port k" group.long 0x40++0x03 line.long 0x00 "REGUPDATE_CONTROL,Register update control register" hexmask.long.word 0x00 20.--31. 1. "RESERVED,Reads return 0s" rbitfld.long 0x00 16.--19. "FW_ADDR_SPACE_MSB,Address space size" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 2.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x00 1. "FW_LOAD_REQ,Writing '1' to this bit causes the bit to self-clear and triggers the reload of L3 firewall default values" "0,1" bitfld.long 0x00 0. "BUSY_REQ,Busy request" "Allow transactions to reach the slave NIU (resume),No transaction can reach the slave NIU (suspend)" group.long 0x88++0x07 line.long 0x00 "MRM_PERMISSION_REGION_LOW_j,Region j Permission Low" hexmask.long.word 0x00 16.--31. 1. "RESERVED,RESERVED" bitfld.long 0x00 15. "PUB_PRV_DEBUG,Public Privilege Debug Allowed" "0,1" bitfld.long 0x00 14. "PUB_USR_DEBUG,Public User Debug Allowed" "0,1" newline rbitfld.long 0x00 12.--13. "RESERVED,RESERVED" "0,1,2,3" bitfld.long 0x00 11. "PUB_PRV_WRITE,Public Privilege Write Allowed" "0,1" bitfld.long 0x00 10. "PUB_PRV_READ,Public Privilege Read Allowed" "0,1" newline bitfld.long 0x00 9. "PUB_PRV_EXE,Public Privilege Exe Allowed" "0,1" bitfld.long 0x00 8. "PUB_USR_READ,Public User Read Access Allowed" "0,1" bitfld.long 0x00 7. "PUB_USR_WRITE,Public User Write Access Allowed" "0,1" newline bitfld.long 0x00 6. "PUB_USR_EXE,Public User Exe Access Allowed" "0,1" rbitfld.long 0x00 0.--5. "RESERVED,RESERVED" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "MRM_PERMISSION_REGION_HIGH_j,Region j Permission High" bitfld.long 0x04 31. "W15,Master NIU ConnID = 115 write permission" "0,1" bitfld.long 0x04 30. "R15,Master NIU ConnID = 115 read permission" "0,1" bitfld.long 0x04 29. "W14,Master NIU ConnID = 14 write permission" "0,1" newline bitfld.long 0x04 28. "R14,Master NIU ConnID = 14 read permission" "0,1" bitfld.long 0x04 27. "W13,Master NIU ConnID = 13 write permission" "0,1" bitfld.long 0x04 26. "R13,Master NIU ConnID = 13 read permission" "0,1" newline bitfld.long 0x04 25. "W12,Master NIU ConnID = 12 write permission" "0,1" bitfld.long 0x04 24. "R12,Master NIU ConnID = 12 read permission" "0,1" bitfld.long 0x04 23. "W11,Master NIU ConnID = 11 write permission" "0,1" newline bitfld.long 0x04 22. "R11,Master NIU ConnID = 11 read permission" "0,1" bitfld.long 0x04 21. "W10,Master NIU ConnID = 10 write permission" "0,1" bitfld.long 0x04 20. "R10,Master NIU ConnID = 10 read permission" "0,1" newline bitfld.long 0x04 19. "W9,Master NIU ConnID = 9 write permission" "0,1" bitfld.long 0x04 18. "R9,Master NIU ConnID = 9 read permission" "0,1" bitfld.long 0x04 17. "W8,Master NIU ConnID = 8 write permission" "0,1" newline bitfld.long 0x04 16. "R8,Master NIU ConnID = 8 read permission" "0,1" bitfld.long 0x04 15. "W7,Master NIU ConnID = 7 write permission" "0,1" bitfld.long 0x04 14. "R7,Master NIU ConnID = 7 read permission" "0,1" newline bitfld.long 0x04 13. "W6,Master NIU ConnID = 6 write permission" "0,1" bitfld.long 0x04 12. "R6,Master NIU ConnID = 6 read permission" "0,1" bitfld.long 0x04 11. "W5,Master NIU ConnID = 5 write permission" "0,1" newline bitfld.long 0x04 10. "R5,Master NIU ConnID = 5 read permission" "0,1" bitfld.long 0x04 9. "W4,Master NIU ConnID = 4 write permission" "0,1" bitfld.long 0x04 8. "R4,Master NIU ConnID = 4 read permission" "0,1" newline bitfld.long 0x04 7. "W3,Master NIU ConnID = 3 write permission" "0,1" bitfld.long 0x04 6. "R3,Master NIU ConnID = 3 read permission" "0,1" bitfld.long 0x04 5. "W2,Master NIU ConnID = 2 write permission" "0,1" newline bitfld.long 0x04 4. "R2,Master NIU ConnID = 2 read permission" "0,1" bitfld.long 0x04 3. "W1,Master NIU ConnID = 1 write permission" "0,1" bitfld.long 0x04 2. "R1,Master NIU ConnID = 1 read permission" "0,1" newline bitfld.long 0x04 1. "W0,Master NIU ConnID = 0 write permission" "0,1" bitfld.long 0x04 0. "R0,Master NIU ConnID = 0 read permission" "0,1" tree.end tree "VCP2_FW_CFG_TARG" base ad:0x4A160000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VCP2_TARG" base ad:0x44000800 rgroup.long 0x00++0x0B line.long 0x00 "L3_TARG_STDHOSTHDR_COREREG," hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 16.--21. "STDHOSTHDR_COREREG_CORECODE,The Core Code field is a constant reporting a vendor-specific core generator code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "STDHOSTHDR_COREREG_VENDORCODE,The Vendor Code field is a constant reporting the core generator vendor code" "STDHOSTHDR_COREREG_VENDORCODE_0_r,STDHOSTHDR_COREREG_VENDORCODE_1_r" line.long 0x04 "L3_TARG_STDHOSTHDR_VERSIONREG," hexmask.long.byte 0x04 24.--31. 1. "STDHOSTHDR_VERSIONREG_REVISIONID,The Revision Identifier field is a constant reporting the core generator revision number" newline hexmask.long.tbyte 0x04 0.--23. 1. "STDHOSTHDR_VERSIONREG_COREPARAMSCHECKSUM,Reserved" line.long 0x08 "L3_TARG_STDHOSTHDR_MAINCTLREG," hexmask.long 0x08 4.--31. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 3. "STDHOSTHDR_MAINCTLREG_CM,Reserved for internal testing" "0,1" newline rbitfld.long 0x08 2. "STDHOSTHDR_MAINCTLREG_FLT,Asserted when a Fault condition is detected: if the unit includes Error Logging Flt is asserted when the FltCnt register field indicates a Fault and deasserted when FltCnt is reset" "0,1" newline rbitfld.long 0x08 1. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 0. "STDHOSTHDR_MAINCTLREG_EN,Sets the global core enable" "0,1" rgroup.long 0x10++0x03 line.long 0x00 "L3_TARG_STDHOSTHDR_NTTPADDR_0," hexmask.long 0x00 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x00 0.--6. 1. "STDHOSTHDR_NTTPADDR_0,Shows the Rx port address" group.long 0x40++0x2F line.long 0x00 "L3_TARG_STDERRLOG_SVRTSTDLVL," hexmask.long 0x00 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--1. "STDERRLOG_SVRTSTDLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTSTDLVL_0_0,STDERRLOG_SVRTSTDLVL_0_1,STDERRLOG_SVRTSTDLVL_0_2,?" line.long 0x04 "L3_TARG_STDERRLOG_SVRTCUSTOMLVL," hexmask.long 0x04 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0.--1. "STDERRLOG_SVRTCUSTOMLVL_0,Severity level parameters Type: Control" "STDERRLOG_SVRTCUSTOMLVL_0_0,STDERRLOG_SVRTCUSTOMLVL_0_1,STDERRLOG_SVRTCUSTOMLVL_0_2,?" line.long 0x08 "L3_TARG_STDERRLOG_MAIN," bitfld.long 0x08 31. "STDERRLOG_MAIN_CLRLOG,Clears 'Error Logging Valid' bit when written to 1" "0,1" newline hexmask.long.word 0x08 20.--30. 1. "RESERVED,Reserved" newline bitfld.long 0x08 19. "STDERRLOG_MAIN_FLTCNT,Asserted when at least one error with severity level FAULT is detected" "0,1" newline bitfld.long 0x08 18. "STDERRLOG_MAIN_ERRCNT,Asserted when at least one error with severity level ERROR is detected" "0,1" newline hexmask.long.word 0x08 2.--17. 1. "RESERVED,Reserved" newline rbitfld.long 0x08 1. "STDERRLOG_MAIN_ERRTYPE,Indicates logging type" "STDERRLOG_MAIN_ERRTYPE_0_r,STDERRLOG_MAIN_ERRTYPE_1_r" newline rbitfld.long 0x08 0. "STDERRLOG_MAIN_ERRLOGVLD,Error Logging Valid" "0,1" line.long 0x0C "L3_TARG_STDERRLOG_HDR," bitfld.long 0x0C 28.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x0C 18.--27. 1. "STDERRLOG_HDR_LEN1,This field contains the number of payload cell(s) minus one of the logged packet" newline bitfld.long 0x0C 16.--17. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 12.--15. "STDERRLOG_HDR_STOPOFSWRPSZ,StopOfs or WrapSize field of the logged packet (meaning depends on Wrp bit of logged opcode)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 11. "STDERRLOG_HDR_ERR,Err bit of the logged packet" "0,1" newline bitfld.long 0x0C 8.--10. "RESERVED,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 6.--7. "STDERRLOG_HDR_PRESSURE,Pressure field of the logged packet" "0,1,2,3" newline bitfld.long 0x0C 4.--5. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "STDERRLOG_HDR_OPCODE,Opcode of the logged packet" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x10 "L3_TARG_STDERRLOG_MSTADDR," hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x10 0.--7. 1. "STDERRLOG_MSTADDR,Master Address field of the logged packet" line.long 0x14 "L3_TARG_STDERRLOG_SLVADDR," hexmask.long 0x14 7.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x14 0.--6. 1. "STDERRLOG_SLVADDR,Slave Address field of the logged packet" line.long 0x18 "L3_TARG_STDERRLOG_INFO," hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "STDERRLOG_INFO,Info field of the logged packet" line.long 0x1C "L3_TARG_STDERRLOG_SLVOFSLSB," line.long 0x20 "L3_TARG_STDERRLOG_SLVOFSMSB," hexmask.long 0x20 1.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x20 0. "STDERRLOG_SLVOFSMSB,MSB of the 'slave offset' field of the logged packet (according to NTTP packet format this register field may exceed the actual 'slave offset' size. Unused bits are stuck at 0 if any)" "0,1" line.long 0x24 "L3_TARG_STDERRLOG_CUSTOMINFO_INFO," hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x24 0.--7. 1. "STDERRLOG_CUSTOMINFO_INFO,Info field of the response packet" line.long 0x28 "L3_TARG_STDERRLOG_CUSTOMINFO_MSTADDR," hexmask.long.tbyte 0x28 8.--31. 1. "RESERVED,Reserved" newline hexmask.long.byte 0x28 0.--7. 1. "STDERRLOG_CUSTOMINFO_MSTADDR,MstAddr field of the response packet" line.long 0x2C "L3_TARG_STDERRLOG_CUSTOMINFO_OPCODE," hexmask.long 0x2C 2.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x2C 0.--1. "STDERRLOG_CUSTOMINFO_OPCODE,Opcode of the response packet" "0,1,2,3" group.long 0x80++0x03 line.long 0x00 "L3_TARG_ADDRSPACESIZELOG," hexmask.long 0x00 5.--31. 1. "RESERVED,Reserved" newline bitfld.long 0x00 0.--4. "ADDRSPACESIZELOG,The address space size is equal to 2**AddrSpaceSizeLog * 4K in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" tree.end tree "VIP1_Slice0_csc" base ad:0x48975700 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP1_Slice0_parser" base ad:0x48975500 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP1_Slice0_sc" base ad:0x48975800 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP1_Slice1_csc" base ad:0x48975C00 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP1_Slice1_parser" base ad:0x48975A00 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP1_Slice1_sc" base ad:0x48975D00 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP1_TARG" base ad:0x48980000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VIP1_top_level" base ad:0x48970000 rgroup.long 0x00++0x03 line.long 0x00 "VIP_CLKC_PID,This register follows the format described in PDR3.5" bitfld.long 0x00 30.--31. "SCHEME,The scheme of the register used" "0,1,2,3" newline bitfld.long 0x00 28.--29. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNC,The function of the module being used" newline bitfld.long 0x00 11.--15. "RTL,RTL Release Version The PDR release number of this IP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "MAJOR,ajor Release Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "CUSTOM,Custom IP" "0,1,2,3" newline bitfld.long 0x00 0.--5. "MINOR,inor Release Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x10++0x03 line.long 0x00 "VIP_SYSCONFIG," hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "Force-standby mode,No-standby mode,Same behavior as bit-field value of 0x1,Reserved" newline bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "Force-idle mode,No-idle mode,Smart-idle mode,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x3F line.long 0x00 "VIP_INTC_INTR0_STATUS_RAW0,INTC INTR0 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "VPDMA_INT0_DESCRIPTOR_RAW,VPDMA INT0 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 15. "VPDMA_INT0_LIST7_NOTIFY_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 14. "VPDMA_INT0_LIST7_COMPLETE_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 13. "VPDMA_INT0_LIST6_NOTIFY_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 12. "VPDMA_INT0_LIST6_COMPLETE_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 11. "VPDMA_INT0_LIST5_NOTIFY_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 10. "VPDMA_INT0_LIST5_COMPLETE_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 9. "VPDMA_INT0_LIST4_NOTIFY_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 8. "VPDMA_INT0_LIST4_COMPLETE_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 7. "VPDMA_INT0_LIST3_NOTIFY_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 6. "VPDMA_INT0_LIST3_COMPLETE_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 5. "VPDMA_INT0_LIST2_NOTIFY_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 4. "VPDMA_INT0_LIST2_COMPLETE_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 3. "VPDMA_INT0_LIST1_NOTIFY_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 2. "VPDMA_INT0_LIST1_COMPLETE_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 1. "VPDMA_INT0_LIST0_NOTIFY_RAW,VPDMA INT0 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 0. "VPDMA_INT0_LIST0_COMPLETE_RAW,VPDMA INT0 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x04 "VIP_INTC_INTR0_STATUS_RAW1,INTC INTR0 Interrupt Status Raw/Set Register 1" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x04 8.--21. 1. "RESERVED," newline bitfld.long 0x04 7. "VPDMA_INT0_CLIENT_RAW,VPDMA INT0 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "VPDMA_INT0_CHANNEL_GROUP5_RAW,VPDMA INT0 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 4. "VPDMA_INT0_CHANNEL_GROUP4_RAW,VPDMA INT0 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 3. "VPDMA_INT0_CHANNEL_GROUP3_RAW,VPDMA INT0 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 2. "VPDMA_INT0_CHANNEL_GROUP2_RAW,VPDMA INT0 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 1. "VPDMA_INT0_CHANNEL_GROUP1_RAW,VPDMA INT0 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 0. "VPDMA_INT0_CHANNEL_GROUP0_RAW,VPDMA INT0 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x08 "VIP_INTC_INTR0_STATUS_ENA0,INTC INTR0 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x08 22.--31. 1. "RESERVED," newline bitfld.long 0x08 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x08 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x08 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 16. "VPDMA_INT0_DESCRIPTOR_ENA,VPDMA INT0 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 15. "VPDMA_INT0_LIST7_NOTIFY_ENA,VPDMA INT0 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 14. "VPDMA_INT0_LIST7_COMPLETE_ENA,VPDMA INT0 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 13. "VPDMA_INT0_LIST6_NOTIFY_ENA,VPDMA INT0 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 12. "VPDMA_INT0_LIST6_COMPLETE_ENA,VPDMA INT0 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 11. "VPDMA_INT0_LIST5_NOTIFY_ENA,VPDMA INT0 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 10. "VPDMA_INT0_LIST5_COMPLETE_ENA,VPDMA INT0 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 9. "VPDMA_INT0_LIST4_NOTIFY_ENA,VPDMA INT0 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 8. "VPDMA_INT0_LIST4_COMPLETE_ENA,VPDMA INT0 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 7. "VPDMA_INT0_LIST3_NOTIFY_ENA,VPDMA INT0 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 6. "VPDMA_INT0_LIST3_COMPLETE_ENA,VPDMA INT0 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 5. "VPDMA_INT0_LIST2_NOTIFY_ENA,VPDMA INT0 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 4. "VPDMA_INT0_LIST2_COMPLETE_ENA,VPDMA INT0 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 3. "VPDMA_INT0_LIST1_NOTIFY_ENA,VPDMA INT0 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 2. "VPDMA_INT0_LIST1_COMPLETE_ENA,VPDMA INT0 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 1. "VPDMA_INT0_LIST0_NOTIFY_ENA,VPDMA INT0 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 0. "VPDMA_INT0_LIST0_COMPLETE_ENA,VPDMA INT0 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x0C "VIP_INTC_INTR0_STATUS_ENA1,INTC INTR0 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x0C 8.--21. 1. "RESERVED," newline bitfld.long 0x0C 7. "VPDMA_INT0_CLIENT_ENA,VPDMA INT0 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x0C 6. "RESERVED," "0,1" newline bitfld.long 0x0C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA,VPDMA INT0 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA,VPDMA INT0 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA,VPDMA INT0 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA,VPDMA INT0 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x10 "VIP_INTC_INTR0_ENA_SET0,INTC INTR0 Interrupt Enable/Set Register 0" hexmask.long.word 0x10 22.--31. 1. "RESERVED," newline bitfld.long 0x10 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x10 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 16. "VPDMA_INT0_DESCRIPTOR_ENA_SET,VPDMA INT0 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_SET,VPDMA INT0 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_SET,VPDMA INT0 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_SET,VPDMA INT0 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_SET,VPDMA INT0 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_SET,VPDMA INT0 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_SET,VPDMA INT0 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_SET,VPDMA INT0 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_SET,VPDMA INT0 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_SET,VPDMA INT0 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_SET,VPDMA INT0 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_SET,VPDMA INT0 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_SET,VPDMA INT0 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_SET,VPDMA INT0 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_SET,VPDMA INT0 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_SET,VPDMA INT0 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_SET,VPDMA INT0 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x14 "VIP_INTC_INTR0_ENA_SET1,INTC INTR0 Interrupt Enable/Set Register 1" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x14 8.--21. 1. "RESERVED," newline bitfld.long 0x14 7. "VPDMA_INT0_CLIENT_ENA_SET,VPDMA INT0 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_SET,VPDMA INT0 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_SET,VPDMA INT0 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_SET,VPDMA INT0 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_SET,VPDMA INT0 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_SET,VPDMA INT0 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_SET,VPDMA INT0 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_SET,VPDMA INT0 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x18 "VIP_INTC_INTR0_ENA_CLR0,INTC INTR0 Interrupt Enable/Clear Register 0" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x18 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 16. "VPDMA_INT0_DESCRIPTOR_ENA_CLR,VPDMA INT0 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_CLR,VPDMA INT0 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_CLR,VPDMA INT0 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_CLR,VPDMA INT0 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_CLR,VPDMA INT0 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_CLR,VPDMA INT0 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_CLR,VPDMA INT0 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_CLR,VPDMA INT0 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_CLR,VPDMA INT0 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_CLR,VPDMA INT0 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_CLR,VPDMA INT0 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_CLR,VPDMA INT0 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_CLR,VPDMA INT0 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_CLR,VPDMA INT0 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_CLR,VPDMA INT0 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_CLR,VPDMA INT0 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_CLR,VPDMA INT0 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x1C "VIP_INTC_INTR0_ENA_CLR1,INTC INTR0 Interrupt Enable/Clear Register 1" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x1C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x1C 8.--21. 1. "RESERVED," newline bitfld.long 0x1C 7. "VPDMA_INT0_CLIENT_ENA_CLR,VPDMA INT0 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_CLR,VPDMA INT0 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_CLR,VPDMA INT0 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_CLR,VPDMA INT0 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_CLR,VPDMA INT0 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_CLR,VPDMA INT0 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_CLR,VPDMA INT0 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_CLR,VPDMA INT0 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x20 "VIP_INTC_INTR1_STATUS_RAW0,INTC intr1 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x20 22.--31. 1. "RESERVED," newline bitfld.long 0x20 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x20 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 16. "VPDMA_INT1_DESCRIPTOR_RAW,VPDMA INT1 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 15. "VPDMA_INT1_LIST7_NOTIFY_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 14. "VPDMA_INT1_LIST7_COMPLETE_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 13. "VPDMA_INT1_LIST6_NOTIFY_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 12. "VPDMA_INT1_LIST6_COMPLETE_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 11. "VPDMA_INT1_LIST5_NOTIFY_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 10. "VPDMA_INT1_LIST5_COMPLETE_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 9. "VPDMA_INT1_LIST4_NOTIFY_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 8. "VPDMA_INT1_LIST4_COMPLETE_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 7. "VPDMA_INT1_LIST3_NOTIFY_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 6. "VPDMA_INT1_LIST3_COMPLETE_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 5. "VPDMA_INT1_LIST2_NOTIFY_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 4. "VPDMA_INT1_LIST2_COMPLETE_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 3. "VPDMA_INT1_LIST1_NOTIFY_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 2. "VPDMA_INT1_LIST1_COMPLETE_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 1. "VPDMA_INT1_LIST0_NOTIFY_RAW,VPDMA INT1 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 0. "VPDMA_INT1_LIST0_COMPLETE_RAW,VPDMA INT1 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x24 "VIP_INTC_INTR1_STATUS_RAW1,INTC intr1 Interrupt Status Raw/Set Register 1" rbitfld.long 0x24 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x24 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x24 8.--21. 1. "RESERVED," newline bitfld.long 0x24 7. "VPDMA_INT1_CLIENT_RAW,VPDMA INT1 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x24 6. "RESERVED," "0,1" newline bitfld.long 0x24 5. "VPDMA_INT1_CHANNEL_GROUP5_RAW,VPDMA INT1 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 4. "VPDMA_INT1_CHANNEL_GROUP4_RAW,VPDMA INT1 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 3. "VPDMA_INT1_CHANNEL_GROUP3_RAW,VPDMA INT1 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 2. "VPDMA_INT1_CHANNEL_GROUP2_RAW,VPDMA INT1 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 1. "VPDMA_INT1_CHANNEL_GROUP1_RAW,VPDMA INT1 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 0. "VPDMA_INT1_CHANNEL_GROUP0_RAW,VPDMA INT1 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x28 "VIP_INTC_INTR1_STATUS_ENA0,INTC intr1 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x28 22.--31. 1. "RESERVED," newline bitfld.long 0x28 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x28 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x28 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x28 16. "VPDMA_INT1_DESCRIPTOR_ENA,VPDMA INT1 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 15. "VPDMA_INT1_LIST7_NOTIFY_ENA,VPDMA INT1 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 14. "VPDMA_INT1_LIST7_COMPLETE_ENA,VPDMA INT1 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 13. "VPDMA_INT1_LIST6_NOTIFY_ENA,VPDMA INT1 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 12. "VPDMA_INT1_LIST6_COMPLETE_ENA,VPDMA INT1 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 11. "VPDMA_INT1_LIST5_NOTIFY_ENA,VPDMA INT1 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 10. "VPDMA_INT1_LIST5_COMPLETE_ENA,VPDMA INT1 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 9. "VPDMA_INT1_LIST4_NOTIFY_ENA,VPDMA INT1 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 8. "VPDMA_INT1_LIST4_COMPLETE_ENA,VPDMA INT1 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 7. "VPDMA_INT1_LIST3_NOTIFY_ENA,VPDMA INT1 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 6. "VPDMA_INT1_LIST3_COMPLETE_ENA,VPDMA INT1 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 5. "VPDMA_INT1_LIST2_NOTIFY_ENA,VPDMA INT1 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 4. "VPDMA_INT1_LIST2_COMPLETE_ENA,VPDMA INT1 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 3. "VPDMA_INT1_LIST1_NOTIFY_ENA,VPDMA INT1 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 2. "VPDMA_INT1_LIST1_COMPLETE_ENA,VPDMA INT1 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 1. "VPDMA_INT1_LIST0_NOTIFY_ENA,VPDMA INT1 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 0. "VPDMA_INT1_LIST0_COMPLETE_ENA,VPDMA INT1 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x2C "VIP_INTC_INTR1_STATUS_ENA1,INTC intr1 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x2C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x2C 8.--21. 1. "RESERVED," newline bitfld.long 0x2C 7. "VPDMA_INT1_CLIENT_ENA,VPDMA INT1 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x2C 6. "RESERVED," "0,1" newline bitfld.long 0x2C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA,VPDMA INT1 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA,VPDMA INT1 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA,VPDMA INT1 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA,VPDMA INT1 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x30 "VIP_INTC_INTR1_ENA_SET0,INTC intr1 Interrupt Enable/Set Register 0" hexmask.long.word 0x30 22.--31. 1. "RESERVED," newline bitfld.long 0x30 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x30 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x30 16. "VPDMA_INT1_DESCRIPTOR_ENA_SET,VPDMA INT1 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_SET,VPDMA INT1 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_SET,VPDMA INT1 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_SET,VPDMA INT1 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_SET,VPDMA INT1 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_SET,VPDMA INT1 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_SET,VPDMA INT1 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_SET,VPDMA INT1 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_SET,VPDMA INT1 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_SET,VPDMA INT1 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_SET,VPDMA INT1 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_SET,VPDMA INT1 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_SET,VPDMA INT1 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_SET,VPDMA INT1 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_SET,VPDMA INT1 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_SET,VPDMA INT1 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_SET,VPDMA INT1 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x34 "VIP_INTC_INTR1_ENA_SET1,INTC intr1 Interrupt Enable/Set Register 1" rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x34 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x34 8.--21. 1. "RESERVED," newline bitfld.long 0x34 7. "VPDMA_INT1_CLIENT_ENA_SET,VPDMA INT1 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_SET,VPDMA INT1 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_SET,VPDMA INT1 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_SET,VPDMA INT1 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_SET,VPDMA INT1 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_SET,VPDMA INT1 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_SET,VPDMA INT1 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_SET,VPDMA INT1 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x38 "VIP_INTC_INTR1_ENA_CLR0,INTC intr1 Interrupt Enable/Clear Register 0" hexmask.long.word 0x38 22.--31. 1. "RESERVED," newline bitfld.long 0x38 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x38 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x38 16. "VPDMA_INT1_DESCRIPTOR_ENA_CLR,VPDMA INT1 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_CLR,VPDMA INT1 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_CLR,VPDMA INT1 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_CLR,VPDMA INT1 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_CLR,VPDMA INT1 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_CLR,VPDMA INT1 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_CLR,VPDMA INT1 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_CLR,VPDMA INT1 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_CLR,VPDMA INT1 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_CLR,VPDMA INT1 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_CLR,VPDMA INT1 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_CLR,VPDMA INT1 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_CLR,VPDMA INT1 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_CLR,VPDMA INT1 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_CLR,VPDMA INT1 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_CLR,VPDMA INT1 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_CLR,VPDMA INT1 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x3C "VIP_INTC_INTR1_ENA_CLR1,INTC intr1 Interrupt Enable/Clear Register 1" rbitfld.long 0x3C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x3C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x3C 8.--21. 1. "RESERVED," newline bitfld.long 0x3C 7. "VPDMA_INT1_CLIENT_ENA_CLR,VPDMA INT1 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_CLR,VPDMA INT1 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_CLR,VPDMA INT1 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_CLR,VPDMA INT1 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_CLR,VPDMA INT1 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_CLR,VPDMA INT1 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_CLR,VPDMA INT1 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_CLR,VPDMA INT1 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." group.long 0xA0++0x03 line.long 0x00 "VIP_INTC_EOI,INTC EOI Register" group.long 0x100++0x13 line.long 0x00 "VIP_CLKC_CLKEN,CLKC Module Clock Enable Register" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 17. "VIP2_DP_EN,VIP Slice1 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline bitfld.long 0x00 16. "VIP1_DP_EN,VIP Slice0 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "VPDMA_EN,VPDMA Clock Enable " "Clock Disabled,Clock Enabled" line.long 0x04 "VIP_CLKC_RST,CLKC Module Reset Register" bitfld.long 0x04 31. "MAIN_RST,Reset for all modules in VIP Main Data Path" "0,1" newline rbitfld.long 0x04 29.--30. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x04 28. "S1_CHR_DS_1_RST,VIP Slice1 CHRDS1 reset" "0,1" newline bitfld.long 0x04 27. "S0_CHR_DS_1_RST,VIP Slice0 CHRDS1 reset" "0,1" newline bitfld.long 0x04 26. "S1_CHR_DS_0_RST,VIP Slice1 CHRDS0 reset" "0,1" newline bitfld.long 0x04 25. "S0_CHR_DS_0_RST,VIP Slice0 CHRDS0 reset" "0,1" newline bitfld.long 0x04 24. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 23. "S1_SC_RST,VIP Slice1 SC reset" "0,1" newline bitfld.long 0x04 22. "S0_SC_RST,VIP Slice0 SC reset" "0,1" newline bitfld.long 0x04 21. "S1_CSC_RST,VIP Slice1 CSC reset" "0,1" newline bitfld.long 0x04 20. "S0_CSC_RST,VIP Slice0 CSC reset" "0,1" newline bitfld.long 0x04 19. "S1_PARSER_RST,VIP Slice1 parser reset" "0,1" newline bitfld.long 0x04 18. "S0_PARSER_RST,VIP Slice0 parser reset" "0,1" newline bitfld.long 0x04 17. "VIP2_DP_RST,VIP Slice1 Data Path Reset" "0,1" newline bitfld.long 0x04 16. "VIP1_DP_RST,VIP Slice0 Data Path Reset" "0,1" newline hexmask.long.word 0x04 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x08 "VIP_CLKC_DPS,CLKC Main Data Path Select Register" bitfld.long 0x08 31. "MAIN_RST,Reset for all modules in DSS Main Data Path" "0,1" newline hexmask.long.word 0x08 18.--30. 1. "RESERVED," newline bitfld.long 0x08 17. "VIP2_DP_RST,Video Input Port 2 Data Path Reset" "0,1" newline bitfld.long 0x08 16. "VIP1_DP_RST,Video Input Port 1 Data Path Reset" "0,1" newline hexmask.long.word 0x08 1.--15. 1. "RESERVED," newline bitfld.long 0x08 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x0C "VIP_CLKC_VIP0DPS,CLKC Video Input Port 1 Data Path Select Register" bitfld.long 0x0C 28.--31. "VIP1_DATAPATH_SELECT,VIP1 Datapath Register Field Enable" "All fields written,Only vip1_csc_src_select written,Only vip1_sc_src_select written,Only vip1_rgb_src_select written,Only vip1_rgb_out_lo_select written,Only vip1_rgb_out_hi_select written,Only vip1_chr_ds_1_src_select written,Only vip1_chr_ds_2_src_select written,Only vip1_multi_channel_select written,Only vip1_chr_ds_1_bypass written,Only vip1_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 27. "VIP1_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x0C 26. "VIP1_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x0C 18.--25. 1. "RESERVED," newline bitfld.long 0x0C 17. "VIP1_CHR_DS_2_BYPASS,Video Input Port 1 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 16. "VIP1_CHR_DS_1_BYPASS,Video Input Port 1 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 15. "VIP1_MULTI_CHANNEL_SELECT,Video Input Port 1 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x0C 12.--14. "VIP1_CHR_DS_2_SRC_SELECT,Video Input Port 1 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 9.--11. "VIP1_CHR_DS_1_SRC_SELECT,Video Input Port 1 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 8. "VIP1_RGB_OUT_HI_SELECT,Video Input Port 1 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 7. "VIP1_RGB_OUT_LO_SELECT,Video Input Port 1 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 6. "VIP1_RGB_SRC_SELECT,Video Input Port 1 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x0C 3.--5. "VIP1_SC_SRC_SELECT,Video Input Port 1 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x0C 0.--2. "VIP1_CSC_SRC_SELECT,Video Input Port 1 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" line.long 0x10 "VIP_CLKC_VIP1DPS,CLKC Video Input Port 2 Data Path Select Register" bitfld.long 0x10 28.--31. "VIP2_DATAPATH_SELECT,VIP2 Datapath Register Field Enable" "All fields written,Only vip2_csc_src_select written,Only vip2_sc_src_select written,Only vip2_rgb_src_select written,Only vip2_rgb_out_lo_select written,Only vip2_rgb_out_hi_select written,Only vip2_chr_ds_1_src_select written,Only vip2_chr_ds_2_src_select written,Only vip2_multi_channel_select written,Only vip2_chr_ds_1_bypass written,Only vip2_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x10 27. "VIP2_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x10 26. "VIP2_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x10 18.--25. 1. "RESERVED," newline bitfld.long 0x10 17. "VIP2_CHR_DS_2_BYPASS,Video Input Port 2 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 16. "VIP2_CHR_DS_1_BYPASS,Video Input Port 2 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 15. "VIP2_MULTI_CHANNEL_SELECT,Video Input Port 2 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x10 12.--14. "VIP2_CHR_DS_2_SRC_SELECT,Video Input Port 2 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 9.--11. "VIP2_CHR_DS_1_SRC_SELECT,Video Input Port 2 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 8. "VIP2_RGB_OUT_HI_SELECT,Video Input Port 2 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 7. "VIP2_RGB_OUT_LO_SELECT,Video Input Port 2 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 6. "VIP2_RGB_SRC_SELECT,Video Input Port 2 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x10 3.--5. "VIP2_SC_SRC_SELECT,Video Input Port 2 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x10 0.--2. "VIP2_CSC_SRC_SELECT,Video Input Port 2 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" tree.end tree "VIP1_VPDMA" base ad:0x4897D000 rgroup.long 0x00++0x0F line.long 0x00 "VIP_PID,PID VIP VPDMA register" line.long 0x04 "VIP_LIST_ADDR,The location of a new list to begin processing" line.long 0x08 "VIP_LIST_ATTR,The attributes of a new list" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 24.--26. "LIST_NUM,The list number that should be assigned to the list located atVIP_LIST_ADDR" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 20. "STOP,This bit is written with the LIST_NUMBER field to stop a self-modifying list" "0,1" newline rbitfld.long 0x08 19. "RDY,This bit is low when a new list cannot be written to theVIP_LIST_ADDR register" "0,1" bitfld.long 0x08 16.--18. "LIST_TYPE,The type of list that has been generated.\\n0: Normal List\\n1: Self-Modifying List\\n2: List Doorbell\\nOthers Reserved for future use" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 0.--15. 1. "LIST_SIZE,Number of 128 bit word in the new list of descriptors" line.long 0x0C "VIP_LIST_STAT_SYNC,The register is used for processor to List Manager syncronization and status registers for the list" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," rbitfld.long 0x0C 23. "LIST7_BUSY,The list 7 is currently running" "0,1" newline rbitfld.long 0x0C 22. "LIST6_BUSY,The list 6 is currently running" "0,1" rbitfld.long 0x0C 21. "LIST5_BUSY,The list 5 is currently running" "0,1" newline rbitfld.long 0x0C 20. "LIST4_BUSY,The list 4 is currently running" "0,1" rbitfld.long 0x0C 19. "LIST3_BUSY,The list 3 is currently running" "0,1" newline rbitfld.long 0x0C 18. "LIST2_BUSY,The list 2 is currently running" "0,1" rbitfld.long 0x0C 17. "LIST1_BUSY,The list 1 is currently running" "0,1" newline rbitfld.long 0x0C 16. "LIST0_BUSY,The list 0 is currently running" "0,1" hexmask.long.byte 0x0C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 7. "SYNC_LISTS7,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 7 waiting on it" "0,1" bitfld.long 0x0C 6. "SYNC_LISTS6,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 6 waiting on it" "0,1" newline bitfld.long 0x0C 5. "SYNC_LISTS5,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 5 waiting on it" "0,1" bitfld.long 0x0C 4. "SYNC_LISTS4,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 4 waiting on it" "0,1" newline bitfld.long 0x0C 3. "SYNC_LISTS3,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 3 waiting on it" "0,1" bitfld.long 0x0C 2. "SYNC_LISTS2,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 2 waiting on it" "0,1" newline bitfld.long 0x0C 1. "SYNC_LISTS1,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 1 waiting on it" "0,1" bitfld.long 0x0C 0. "SYNC_LISTS0,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 0 waiting on it" "0,1" group.long 0x18++0x07 line.long 0x00 "VIP_BG_RGB,The registers used to set the background color for RGB" hexmask.long.byte 0x00 24.--31. 1. "RED,The red value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 16.--23. 1. "GREEN,The green value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 8.--15. 1. "BLUE,The blue value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 0.--7. 1. "BLEND,The blend value to give on an RGB data port for a blank pixel when using virtual video buffering" line.long 0x04 "VIP_BG_YUV,The registers used to set the background color for YUV" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 16.--23. 1. "Y,The Y value to give on a YUV data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x04 8.--15. 1. "CR,The Cr value to give on a YUV data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x04 0.--7. 1. "CB,The Cb value to give on a YUV data port for a blank pixel when using virtual video buffering" group.long 0x30++0x3F line.long 0x00 "VIP_VPDMA_SETUP,Configures global parameters that are shared by all clients" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "SEC_BASE_CH,Use Secondary Channels for Mosaic mode" "0,1" line.long 0x04 "VIP_MAX_SIZE1,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 1 in write descriptor" hexmask.long.word 0x04 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 1 in a write descriptor" hexmask.long.word 0x04 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 1 in a write descriptor" line.long 0x08 "VIP_MAX_SIZE2,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 2 in write descriptor" hexmask.long.word 0x08 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 2 in a write descriptor" hexmask.long.word 0x08 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 2 in a write descriptor" line.long 0x0C "VIP_MAX_SIZE3,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 3 in write descriptor" hexmask.long.word 0x0C 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 3 in a write descriptor" hexmask.long.word 0x0C 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 3 in a write descriptor" line.long 0x10 "VIP_INT0_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_GRPX3,The last read DMA transaction has occurred for channel grpx3 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 30. "INT_STAT_GRPX2,The last read DMA transaction has occurred for channel grpx2 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 29. "INT_STAT_GRPX1,The last read DMA transaction has occurred for channel grpx1 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x10 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x10 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_chroma" "0,1" newline bitfld.long 0x10 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x10 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x10 16. "RESERVED,Reserved" "0,1" bitfld.long 0x10 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x10 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x10 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x10 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x10 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x10 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x10 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x10 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x10 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x14 "VIP_INT0_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline hexmask.long.byte 0x14 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x14 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 16. "RESERVED,Reserved" "0,1" bitfld.long 0x14 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x14 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT0_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x18 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x18 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x18 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x18 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x18 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x18 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x18 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x18 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x18 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x18 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x18 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x18 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x18 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x18 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x18 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x18 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x18 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x18 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x18 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x18 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x18 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x18 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x18 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x18 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x18 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x18 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x18 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "VIP_INT0_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x1C 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x1C 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x20 "VIP_INT0_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x20 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x20 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x20 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x20 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x20 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x20 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x24 "VIP_INT0_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x28 "VIP_INT0_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x28 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x2C "VIP_INT0_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x2C 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x30 "VIP_INT0_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x34 "VIP_INT0_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x38 "VIP_INT0_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x38 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x38 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x38 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" bitfld.long 0x38 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x38 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x38 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x38 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x38 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x38 23. "RESERVED,Reserved" "0,1" bitfld.long 0x38 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x38 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x38 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x38 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x38 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x3C "VIP_INT0_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x3C 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x3C 23. "RESERVED,Reserved" "0,1" bitfld.long 0x3C 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" group.long 0x78++0x47 line.long 0x00 "VIP_INT0_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x00 31. "INT_STAT_GRPX1_DATA,The client interface grpx1_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 30. "INT_STAT_COMP_WRBK,The client interface comp_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 29. "INT_STAT_SC_OUT,The client interface sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" hexmask.long.byte 0x00 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x00 20. "INT_STAT_SC_IN_LUMA,The client interface sc_in_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 19. "INT_STAT_SC_IN_CHROMA,The client interface sc_in_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 18. "INT_STAT_PIP_WRBK,The client interface pip_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 17. "INT_STAT_DEI_SC_OUT,The client interface dei_sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 16. "RESERVED,Reserved" "0,1" bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT0_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x08 "VIP_INT0_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT0_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x10 "VIP_INT0_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT0_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT1_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x18 31. "INT_STAT_GRPX3,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 30. "INT_STAT_GRPX2,The last write DMA transaction has completed for channel scaler_out" "0,1" newline bitfld.long 0x18 29. "INT_STAT_GRPX1,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x18 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x18 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" newline bitfld.long 0x18 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x18 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x18 16. "RESERVED,Reserved" "0,1" bitfld.long 0x18 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x18 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x18 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x18 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x18 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x18 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x18 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x18 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x18 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x18 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x1C "VIP_INT1_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x1C 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline hexmask.long.byte 0x1C 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x1C 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 16. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x1C 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x1C 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x20 "VIP_INT1_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x20 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x24 "VIP_INT1_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x24 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x28 "VIP_INT1_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x28 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x2C "VIP_INT1_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x2C 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x30 "VIP_INT1_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x34 "VIP_INT1_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x38 "VIP_INT1_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x38 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x38 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x38 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x38 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x38 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x38 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x38 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x38 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x38 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x38 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x38 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x38 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x38 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x38 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x3C "VIP_INT1_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x3C 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x40 "VIP_INT1_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x40 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x40 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x40 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_chroma" "0,1" bitfld.long 0x40 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x40 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x40 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x40 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x40 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x40 23. "RESERVED,Reserved" "0,1" bitfld.long 0x40 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x40 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x40 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x40 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x40 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x40 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x40 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x40 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x40 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x40 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x40 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x40 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x40 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x40 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x40 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x40 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x40 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x40 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x40 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x40 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x40 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x40 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x40 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x44 "VIP_INT1_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x44 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x44 23. "RESERVED,Reserved" "0,1" bitfld.long 0x44 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0xC8++0x17 line.long 0x00 "VIP_INT1_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x00 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 18. "INT_MASK_NF_READ,The interrupt for Noise Filter Input Data 422 Interleaved should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT1_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x08 "VIP_INT1_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT1_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x10 "VIP_INT1_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT1_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0x200++0x03 line.long 0x00 "VIP_PERF_MON0,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x280++0x2B line.long 0x00 "VIP_PERF_MON32,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON33,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON34,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON35,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON36,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON37,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x18 "VIP_PERF_MON38,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x18 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x18 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 27. "RESERVED," "0,1" bitfld.long 0x18 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x18 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x18 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 19. "RESERVED," "0,1" bitfld.long 0x18 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x18 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x1C "VIP_PERF_MON39,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x1C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x1C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" bitfld.long 0x1C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x1C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x1C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" bitfld.long 0x1C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x1C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x20 "VIP_PERF_MON40,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x20 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x20 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 27. "RESERVED," "0,1" bitfld.long 0x20 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x20 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x20 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 19. "RESERVED," "0,1" bitfld.long 0x20 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x20 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x24 "VIP_PERF_MON41,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x24 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x24 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 27. "RESERVED," "0,1" bitfld.long 0x24 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x24 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x24 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 19. "RESERVED," "0,1" bitfld.long 0x24 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x24 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x28 "VIP_PERF_MON42,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x28 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x28 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 27. "RESERVED," "0,1" bitfld.long 0x28 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x28 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x28 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 19. "RESERVED," "0,1" bitfld.long 0x28 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x28 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2C8++0x0F line.long 0x00 "VIP_PERF_MON50,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON51,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON52,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON53,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2E0++0x17 line.long 0x00 "VIP_PERF_MON56,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED,Sets the client whose event stops the performance monitor counter" "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x00 20.--21. "START_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED,Sets the client whose event starts the performance monitor counter" "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON57,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON58,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON59,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON60,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON61,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x388++0x1F line.long 0x00 "VIP0_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP0_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP0_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," line.long 0x10 "VIP1_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x10 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x10 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x10 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x10 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x10 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "VIP1_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x14 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x14 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x14 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x14 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x14 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--9. 1. "RESERVED," line.long 0x18 "VIP1_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x18 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x18 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x18 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x18 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x18 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x18 0.--9. 1. "RESERVED," line.long 0x1C "VIP1_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x1C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x1C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x1C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x1C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x1C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x1C 0.--9. 1. "RESERVED," group.long 0x3D0++0x03 line.long 0x00 "VPI_CTL_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," group.long 0x3E8++0x0F line.long 0x00 "VIP0_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP1_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP1_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," repeat 8. (list 44. 45. 46. 47. 48. 49. 54. 55. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x28 0x2C ) group.long ($2+0x2B0)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 43. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x68 ) group.long ($2+0x244)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x204)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end tree.end tree "VIP2_Slice0_csc" base ad:0x48995700 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP2_Slice0_parser" base ad:0x48995500 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP2_Slice0_sc" base ad:0x48995800 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP2_Slice1_csc" base ad:0x48995C00 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP2_Slice1_parser" base ad:0x48995A00 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP2_Slice1_sc" base ad:0x48995D00 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP2_TARG" base ad:0x489A0000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VIP2_top_level" base ad:0x48990000 rgroup.long 0x00++0x03 line.long 0x00 "VIP_CLKC_PID,This register follows the format described in PDR3.5" bitfld.long 0x00 30.--31. "SCHEME,The scheme of the register used" "0,1,2,3" newline bitfld.long 0x00 28.--29. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNC,The function of the module being used" newline bitfld.long 0x00 11.--15. "RTL,RTL Release Version The PDR release number of this IP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "MAJOR,ajor Release Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "CUSTOM,Custom IP" "0,1,2,3" newline bitfld.long 0x00 0.--5. "MINOR,inor Release Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x10++0x03 line.long 0x00 "VIP_SYSCONFIG," hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "Force-standby mode,No-standby mode,Same behavior as bit-field value of 0x1,Reserved" newline bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "Force-idle mode,No-idle mode,Smart-idle mode,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x3F line.long 0x00 "VIP_INTC_INTR0_STATUS_RAW0,INTC INTR0 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "VPDMA_INT0_DESCRIPTOR_RAW,VPDMA INT0 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 15. "VPDMA_INT0_LIST7_NOTIFY_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 14. "VPDMA_INT0_LIST7_COMPLETE_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 13. "VPDMA_INT0_LIST6_NOTIFY_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 12. "VPDMA_INT0_LIST6_COMPLETE_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 11. "VPDMA_INT0_LIST5_NOTIFY_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 10. "VPDMA_INT0_LIST5_COMPLETE_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 9. "VPDMA_INT0_LIST4_NOTIFY_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 8. "VPDMA_INT0_LIST4_COMPLETE_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 7. "VPDMA_INT0_LIST3_NOTIFY_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 6. "VPDMA_INT0_LIST3_COMPLETE_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 5. "VPDMA_INT0_LIST2_NOTIFY_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 4. "VPDMA_INT0_LIST2_COMPLETE_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 3. "VPDMA_INT0_LIST1_NOTIFY_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 2. "VPDMA_INT0_LIST1_COMPLETE_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 1. "VPDMA_INT0_LIST0_NOTIFY_RAW,VPDMA INT0 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 0. "VPDMA_INT0_LIST0_COMPLETE_RAW,VPDMA INT0 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x04 "VIP_INTC_INTR0_STATUS_RAW1,INTC INTR0 Interrupt Status Raw/Set Register 1" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x04 8.--21. 1. "RESERVED," newline bitfld.long 0x04 7. "VPDMA_INT0_CLIENT_RAW,VPDMA INT0 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "VPDMA_INT0_CHANNEL_GROUP5_RAW,VPDMA INT0 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 4. "VPDMA_INT0_CHANNEL_GROUP4_RAW,VPDMA INT0 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 3. "VPDMA_INT0_CHANNEL_GROUP3_RAW,VPDMA INT0 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 2. "VPDMA_INT0_CHANNEL_GROUP2_RAW,VPDMA INT0 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 1. "VPDMA_INT0_CHANNEL_GROUP1_RAW,VPDMA INT0 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 0. "VPDMA_INT0_CHANNEL_GROUP0_RAW,VPDMA INT0 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x08 "VIP_INTC_INTR0_STATUS_ENA0,INTC INTR0 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x08 22.--31. 1. "RESERVED," newline bitfld.long 0x08 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x08 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x08 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 16. "VPDMA_INT0_DESCRIPTOR_ENA,VPDMA INT0 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 15. "VPDMA_INT0_LIST7_NOTIFY_ENA,VPDMA INT0 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 14. "VPDMA_INT0_LIST7_COMPLETE_ENA,VPDMA INT0 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 13. "VPDMA_INT0_LIST6_NOTIFY_ENA,VPDMA INT0 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 12. "VPDMA_INT0_LIST6_COMPLETE_ENA,VPDMA INT0 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 11. "VPDMA_INT0_LIST5_NOTIFY_ENA,VPDMA INT0 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 10. "VPDMA_INT0_LIST5_COMPLETE_ENA,VPDMA INT0 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 9. "VPDMA_INT0_LIST4_NOTIFY_ENA,VPDMA INT0 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 8. "VPDMA_INT0_LIST4_COMPLETE_ENA,VPDMA INT0 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 7. "VPDMA_INT0_LIST3_NOTIFY_ENA,VPDMA INT0 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 6. "VPDMA_INT0_LIST3_COMPLETE_ENA,VPDMA INT0 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 5. "VPDMA_INT0_LIST2_NOTIFY_ENA,VPDMA INT0 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 4. "VPDMA_INT0_LIST2_COMPLETE_ENA,VPDMA INT0 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 3. "VPDMA_INT0_LIST1_NOTIFY_ENA,VPDMA INT0 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 2. "VPDMA_INT0_LIST1_COMPLETE_ENA,VPDMA INT0 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 1. "VPDMA_INT0_LIST0_NOTIFY_ENA,VPDMA INT0 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 0. "VPDMA_INT0_LIST0_COMPLETE_ENA,VPDMA INT0 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x0C "VIP_INTC_INTR0_STATUS_ENA1,INTC INTR0 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x0C 8.--21. 1. "RESERVED," newline bitfld.long 0x0C 7. "VPDMA_INT0_CLIENT_ENA,VPDMA INT0 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x0C 6. "RESERVED," "0,1" newline bitfld.long 0x0C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA,VPDMA INT0 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA,VPDMA INT0 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA,VPDMA INT0 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA,VPDMA INT0 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x10 "VIP_INTC_INTR0_ENA_SET0,INTC INTR0 Interrupt Enable/Set Register 0" hexmask.long.word 0x10 22.--31. 1. "RESERVED," newline bitfld.long 0x10 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x10 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 16. "VPDMA_INT0_DESCRIPTOR_ENA_SET,VPDMA INT0 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_SET,VPDMA INT0 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_SET,VPDMA INT0 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_SET,VPDMA INT0 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_SET,VPDMA INT0 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_SET,VPDMA INT0 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_SET,VPDMA INT0 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_SET,VPDMA INT0 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_SET,VPDMA INT0 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_SET,VPDMA INT0 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_SET,VPDMA INT0 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_SET,VPDMA INT0 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_SET,VPDMA INT0 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_SET,VPDMA INT0 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_SET,VPDMA INT0 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_SET,VPDMA INT0 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_SET,VPDMA INT0 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x14 "VIP_INTC_INTR0_ENA_SET1,INTC INTR0 Interrupt Enable/Set Register 1" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x14 8.--21. 1. "RESERVED," newline bitfld.long 0x14 7. "VPDMA_INT0_CLIENT_ENA_SET,VPDMA INT0 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_SET,VPDMA INT0 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_SET,VPDMA INT0 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_SET,VPDMA INT0 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_SET,VPDMA INT0 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_SET,VPDMA INT0 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_SET,VPDMA INT0 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_SET,VPDMA INT0 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x18 "VIP_INTC_INTR0_ENA_CLR0,INTC INTR0 Interrupt Enable/Clear Register 0" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x18 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 16. "VPDMA_INT0_DESCRIPTOR_ENA_CLR,VPDMA INT0 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_CLR,VPDMA INT0 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_CLR,VPDMA INT0 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_CLR,VPDMA INT0 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_CLR,VPDMA INT0 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_CLR,VPDMA INT0 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_CLR,VPDMA INT0 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_CLR,VPDMA INT0 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_CLR,VPDMA INT0 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_CLR,VPDMA INT0 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_CLR,VPDMA INT0 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_CLR,VPDMA INT0 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_CLR,VPDMA INT0 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_CLR,VPDMA INT0 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_CLR,VPDMA INT0 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_CLR,VPDMA INT0 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_CLR,VPDMA INT0 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x1C "VIP_INTC_INTR0_ENA_CLR1,INTC INTR0 Interrupt Enable/Clear Register 1" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x1C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x1C 8.--21. 1. "RESERVED," newline bitfld.long 0x1C 7. "VPDMA_INT0_CLIENT_ENA_CLR,VPDMA INT0 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_CLR,VPDMA INT0 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_CLR,VPDMA INT0 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_CLR,VPDMA INT0 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_CLR,VPDMA INT0 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_CLR,VPDMA INT0 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_CLR,VPDMA INT0 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_CLR,VPDMA INT0 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x20 "VIP_INTC_INTR1_STATUS_RAW0,INTC intr1 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x20 22.--31. 1. "RESERVED," newline bitfld.long 0x20 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x20 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 16. "VPDMA_INT1_DESCRIPTOR_RAW,VPDMA INT1 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 15. "VPDMA_INT1_LIST7_NOTIFY_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 14. "VPDMA_INT1_LIST7_COMPLETE_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 13. "VPDMA_INT1_LIST6_NOTIFY_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 12. "VPDMA_INT1_LIST6_COMPLETE_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 11. "VPDMA_INT1_LIST5_NOTIFY_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 10. "VPDMA_INT1_LIST5_COMPLETE_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 9. "VPDMA_INT1_LIST4_NOTIFY_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 8. "VPDMA_INT1_LIST4_COMPLETE_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 7. "VPDMA_INT1_LIST3_NOTIFY_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 6. "VPDMA_INT1_LIST3_COMPLETE_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 5. "VPDMA_INT1_LIST2_NOTIFY_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 4. "VPDMA_INT1_LIST2_COMPLETE_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 3. "VPDMA_INT1_LIST1_NOTIFY_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 2. "VPDMA_INT1_LIST1_COMPLETE_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 1. "VPDMA_INT1_LIST0_NOTIFY_RAW,VPDMA INT1 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 0. "VPDMA_INT1_LIST0_COMPLETE_RAW,VPDMA INT1 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x24 "VIP_INTC_INTR1_STATUS_RAW1,INTC intr1 Interrupt Status Raw/Set Register 1" rbitfld.long 0x24 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x24 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x24 8.--21. 1. "RESERVED," newline bitfld.long 0x24 7. "VPDMA_INT1_CLIENT_RAW,VPDMA INT1 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x24 6. "RESERVED," "0,1" newline bitfld.long 0x24 5. "VPDMA_INT1_CHANNEL_GROUP5_RAW,VPDMA INT1 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 4. "VPDMA_INT1_CHANNEL_GROUP4_RAW,VPDMA INT1 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 3. "VPDMA_INT1_CHANNEL_GROUP3_RAW,VPDMA INT1 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 2. "VPDMA_INT1_CHANNEL_GROUP2_RAW,VPDMA INT1 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 1. "VPDMA_INT1_CHANNEL_GROUP1_RAW,VPDMA INT1 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 0. "VPDMA_INT1_CHANNEL_GROUP0_RAW,VPDMA INT1 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x28 "VIP_INTC_INTR1_STATUS_ENA0,INTC intr1 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x28 22.--31. 1. "RESERVED," newline bitfld.long 0x28 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x28 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x28 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x28 16. "VPDMA_INT1_DESCRIPTOR_ENA,VPDMA INT1 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 15. "VPDMA_INT1_LIST7_NOTIFY_ENA,VPDMA INT1 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 14. "VPDMA_INT1_LIST7_COMPLETE_ENA,VPDMA INT1 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 13. "VPDMA_INT1_LIST6_NOTIFY_ENA,VPDMA INT1 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 12. "VPDMA_INT1_LIST6_COMPLETE_ENA,VPDMA INT1 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 11. "VPDMA_INT1_LIST5_NOTIFY_ENA,VPDMA INT1 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 10. "VPDMA_INT1_LIST5_COMPLETE_ENA,VPDMA INT1 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 9. "VPDMA_INT1_LIST4_NOTIFY_ENA,VPDMA INT1 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 8. "VPDMA_INT1_LIST4_COMPLETE_ENA,VPDMA INT1 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 7. "VPDMA_INT1_LIST3_NOTIFY_ENA,VPDMA INT1 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 6. "VPDMA_INT1_LIST3_COMPLETE_ENA,VPDMA INT1 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 5. "VPDMA_INT1_LIST2_NOTIFY_ENA,VPDMA INT1 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 4. "VPDMA_INT1_LIST2_COMPLETE_ENA,VPDMA INT1 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 3. "VPDMA_INT1_LIST1_NOTIFY_ENA,VPDMA INT1 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 2. "VPDMA_INT1_LIST1_COMPLETE_ENA,VPDMA INT1 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 1. "VPDMA_INT1_LIST0_NOTIFY_ENA,VPDMA INT1 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 0. "VPDMA_INT1_LIST0_COMPLETE_ENA,VPDMA INT1 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x2C "VIP_INTC_INTR1_STATUS_ENA1,INTC intr1 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x2C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x2C 8.--21. 1. "RESERVED," newline bitfld.long 0x2C 7. "VPDMA_INT1_CLIENT_ENA,VPDMA INT1 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x2C 6. "RESERVED," "0,1" newline bitfld.long 0x2C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA,VPDMA INT1 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA,VPDMA INT1 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA,VPDMA INT1 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA,VPDMA INT1 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x30 "VIP_INTC_INTR1_ENA_SET0,INTC intr1 Interrupt Enable/Set Register 0" hexmask.long.word 0x30 22.--31. 1. "RESERVED," newline bitfld.long 0x30 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x30 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x30 16. "VPDMA_INT1_DESCRIPTOR_ENA_SET,VPDMA INT1 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_SET,VPDMA INT1 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_SET,VPDMA INT1 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_SET,VPDMA INT1 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_SET,VPDMA INT1 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_SET,VPDMA INT1 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_SET,VPDMA INT1 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_SET,VPDMA INT1 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_SET,VPDMA INT1 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_SET,VPDMA INT1 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_SET,VPDMA INT1 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_SET,VPDMA INT1 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_SET,VPDMA INT1 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_SET,VPDMA INT1 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_SET,VPDMA INT1 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_SET,VPDMA INT1 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_SET,VPDMA INT1 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x34 "VIP_INTC_INTR1_ENA_SET1,INTC intr1 Interrupt Enable/Set Register 1" rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x34 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x34 8.--21. 1. "RESERVED," newline bitfld.long 0x34 7. "VPDMA_INT1_CLIENT_ENA_SET,VPDMA INT1 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_SET,VPDMA INT1 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_SET,VPDMA INT1 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_SET,VPDMA INT1 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_SET,VPDMA INT1 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_SET,VPDMA INT1 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_SET,VPDMA INT1 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_SET,VPDMA INT1 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x38 "VIP_INTC_INTR1_ENA_CLR0,INTC intr1 Interrupt Enable/Clear Register 0" hexmask.long.word 0x38 22.--31. 1. "RESERVED," newline bitfld.long 0x38 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x38 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x38 16. "VPDMA_INT1_DESCRIPTOR_ENA_CLR,VPDMA INT1 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_CLR,VPDMA INT1 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_CLR,VPDMA INT1 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_CLR,VPDMA INT1 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_CLR,VPDMA INT1 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_CLR,VPDMA INT1 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_CLR,VPDMA INT1 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_CLR,VPDMA INT1 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_CLR,VPDMA INT1 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_CLR,VPDMA INT1 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_CLR,VPDMA INT1 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_CLR,VPDMA INT1 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_CLR,VPDMA INT1 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_CLR,VPDMA INT1 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_CLR,VPDMA INT1 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_CLR,VPDMA INT1 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_CLR,VPDMA INT1 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x3C "VIP_INTC_INTR1_ENA_CLR1,INTC intr1 Interrupt Enable/Clear Register 1" rbitfld.long 0x3C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x3C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x3C 8.--21. 1. "RESERVED," newline bitfld.long 0x3C 7. "VPDMA_INT1_CLIENT_ENA_CLR,VPDMA INT1 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_CLR,VPDMA INT1 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_CLR,VPDMA INT1 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_CLR,VPDMA INT1 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_CLR,VPDMA INT1 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_CLR,VPDMA INT1 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_CLR,VPDMA INT1 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_CLR,VPDMA INT1 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." group.long 0xA0++0x03 line.long 0x00 "VIP_INTC_EOI,INTC EOI Register" group.long 0x100++0x13 line.long 0x00 "VIP_CLKC_CLKEN,CLKC Module Clock Enable Register" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 17. "VIP2_DP_EN,VIP Slice1 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline bitfld.long 0x00 16. "VIP1_DP_EN,VIP Slice0 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "VPDMA_EN,VPDMA Clock Enable " "Clock Disabled,Clock Enabled" line.long 0x04 "VIP_CLKC_RST,CLKC Module Reset Register" bitfld.long 0x04 31. "MAIN_RST,Reset for all modules in VIP Main Data Path" "0,1" newline rbitfld.long 0x04 29.--30. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x04 28. "S1_CHR_DS_1_RST,VIP Slice1 CHRDS1 reset" "0,1" newline bitfld.long 0x04 27. "S0_CHR_DS_1_RST,VIP Slice0 CHRDS1 reset" "0,1" newline bitfld.long 0x04 26. "S1_CHR_DS_0_RST,VIP Slice1 CHRDS0 reset" "0,1" newline bitfld.long 0x04 25. "S0_CHR_DS_0_RST,VIP Slice0 CHRDS0 reset" "0,1" newline bitfld.long 0x04 24. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 23. "S1_SC_RST,VIP Slice1 SC reset" "0,1" newline bitfld.long 0x04 22. "S0_SC_RST,VIP Slice0 SC reset" "0,1" newline bitfld.long 0x04 21. "S1_CSC_RST,VIP Slice1 CSC reset" "0,1" newline bitfld.long 0x04 20. "S0_CSC_RST,VIP Slice0 CSC reset" "0,1" newline bitfld.long 0x04 19. "S1_PARSER_RST,VIP Slice1 parser reset" "0,1" newline bitfld.long 0x04 18. "S0_PARSER_RST,VIP Slice0 parser reset" "0,1" newline bitfld.long 0x04 17. "VIP2_DP_RST,VIP Slice1 Data Path Reset" "0,1" newline bitfld.long 0x04 16. "VIP1_DP_RST,VIP Slice0 Data Path Reset" "0,1" newline hexmask.long.word 0x04 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x08 "VIP_CLKC_DPS,CLKC Main Data Path Select Register" bitfld.long 0x08 31. "MAIN_RST,Reset for all modules in DSS Main Data Path" "0,1" newline hexmask.long.word 0x08 18.--30. 1. "RESERVED," newline bitfld.long 0x08 17. "VIP2_DP_RST,Video Input Port 2 Data Path Reset" "0,1" newline bitfld.long 0x08 16. "VIP1_DP_RST,Video Input Port 1 Data Path Reset" "0,1" newline hexmask.long.word 0x08 1.--15. 1. "RESERVED," newline bitfld.long 0x08 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x0C "VIP_CLKC_VIP0DPS,CLKC Video Input Port 1 Data Path Select Register" bitfld.long 0x0C 28.--31. "VIP1_DATAPATH_SELECT,VIP1 Datapath Register Field Enable" "All fields written,Only vip1_csc_src_select written,Only vip1_sc_src_select written,Only vip1_rgb_src_select written,Only vip1_rgb_out_lo_select written,Only vip1_rgb_out_hi_select written,Only vip1_chr_ds_1_src_select written,Only vip1_chr_ds_2_src_select written,Only vip1_multi_channel_select written,Only vip1_chr_ds_1_bypass written,Only vip1_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 27. "VIP1_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x0C 26. "VIP1_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x0C 18.--25. 1. "RESERVED," newline bitfld.long 0x0C 17. "VIP1_CHR_DS_2_BYPASS,Video Input Port 1 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 16. "VIP1_CHR_DS_1_BYPASS,Video Input Port 1 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 15. "VIP1_MULTI_CHANNEL_SELECT,Video Input Port 1 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x0C 12.--14. "VIP1_CHR_DS_2_SRC_SELECT,Video Input Port 1 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 9.--11. "VIP1_CHR_DS_1_SRC_SELECT,Video Input Port 1 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 8. "VIP1_RGB_OUT_HI_SELECT,Video Input Port 1 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 7. "VIP1_RGB_OUT_LO_SELECT,Video Input Port 1 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 6. "VIP1_RGB_SRC_SELECT,Video Input Port 1 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x0C 3.--5. "VIP1_SC_SRC_SELECT,Video Input Port 1 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x0C 0.--2. "VIP1_CSC_SRC_SELECT,Video Input Port 1 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" line.long 0x10 "VIP_CLKC_VIP1DPS,CLKC Video Input Port 2 Data Path Select Register" bitfld.long 0x10 28.--31. "VIP2_DATAPATH_SELECT,VIP2 Datapath Register Field Enable" "All fields written,Only vip2_csc_src_select written,Only vip2_sc_src_select written,Only vip2_rgb_src_select written,Only vip2_rgb_out_lo_select written,Only vip2_rgb_out_hi_select written,Only vip2_chr_ds_1_src_select written,Only vip2_chr_ds_2_src_select written,Only vip2_multi_channel_select written,Only vip2_chr_ds_1_bypass written,Only vip2_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x10 27. "VIP2_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x10 26. "VIP2_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x10 18.--25. 1. "RESERVED," newline bitfld.long 0x10 17. "VIP2_CHR_DS_2_BYPASS,Video Input Port 2 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 16. "VIP2_CHR_DS_1_BYPASS,Video Input Port 2 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 15. "VIP2_MULTI_CHANNEL_SELECT,Video Input Port 2 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x10 12.--14. "VIP2_CHR_DS_2_SRC_SELECT,Video Input Port 2 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 9.--11. "VIP2_CHR_DS_1_SRC_SELECT,Video Input Port 2 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 8. "VIP2_RGB_OUT_HI_SELECT,Video Input Port 2 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 7. "VIP2_RGB_OUT_LO_SELECT,Video Input Port 2 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 6. "VIP2_RGB_SRC_SELECT,Video Input Port 2 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x10 3.--5. "VIP2_SC_SRC_SELECT,Video Input Port 2 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x10 0.--2. "VIP2_CSC_SRC_SELECT,Video Input Port 2 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" tree.end tree "VIP2_VPDMA" base ad:0x4899D000 rgroup.long 0x00++0x0F line.long 0x00 "VIP_PID,PID VIP VPDMA register" line.long 0x04 "VIP_LIST_ADDR,The location of a new list to begin processing" line.long 0x08 "VIP_LIST_ATTR,The attributes of a new list" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 24.--26. "LIST_NUM,The list number that should be assigned to the list located atVIP_LIST_ADDR" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 20. "STOP,This bit is written with the LIST_NUMBER field to stop a self-modifying list" "0,1" newline rbitfld.long 0x08 19. "RDY,This bit is low when a new list cannot be written to theVIP_LIST_ADDR register" "0,1" bitfld.long 0x08 16.--18. "LIST_TYPE,The type of list that has been generated.\\n0: Normal List\\n1: Self-Modifying List\\n2: List Doorbell\\nOthers Reserved for future use" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 0.--15. 1. "LIST_SIZE,Number of 128 bit word in the new list of descriptors" line.long 0x0C "VIP_LIST_STAT_SYNC,The register is used for processor to List Manager syncronization and status registers for the list" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," rbitfld.long 0x0C 23. "LIST7_BUSY,The list 7 is currently running" "0,1" newline rbitfld.long 0x0C 22. "LIST6_BUSY,The list 6 is currently running" "0,1" rbitfld.long 0x0C 21. "LIST5_BUSY,The list 5 is currently running" "0,1" newline rbitfld.long 0x0C 20. "LIST4_BUSY,The list 4 is currently running" "0,1" rbitfld.long 0x0C 19. "LIST3_BUSY,The list 3 is currently running" "0,1" newline rbitfld.long 0x0C 18. "LIST2_BUSY,The list 2 is currently running" "0,1" rbitfld.long 0x0C 17. "LIST1_BUSY,The list 1 is currently running" "0,1" newline rbitfld.long 0x0C 16. "LIST0_BUSY,The list 0 is currently running" "0,1" hexmask.long.byte 0x0C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 7. "SYNC_LISTS7,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 7 waiting on it" "0,1" bitfld.long 0x0C 6. "SYNC_LISTS6,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 6 waiting on it" "0,1" newline bitfld.long 0x0C 5. "SYNC_LISTS5,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 5 waiting on it" "0,1" bitfld.long 0x0C 4. "SYNC_LISTS4,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 4 waiting on it" "0,1" newline bitfld.long 0x0C 3. "SYNC_LISTS3,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 3 waiting on it" "0,1" bitfld.long 0x0C 2. "SYNC_LISTS2,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 2 waiting on it" "0,1" newline bitfld.long 0x0C 1. "SYNC_LISTS1,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 1 waiting on it" "0,1" bitfld.long 0x0C 0. "SYNC_LISTS0,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 0 waiting on it" "0,1" group.long 0x18++0x07 line.long 0x00 "VIP_BG_RGB,The registers used to set the background color for RGB" hexmask.long.byte 0x00 24.--31. 1. "RED,The red value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 16.--23. 1. "GREEN,The green value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 8.--15. 1. "BLUE,The blue value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 0.--7. 1. "BLEND,The blend value to give on an RGB data port for a blank pixel when using virtual video buffering" line.long 0x04 "VIP_BG_YUV,The registers used to set the background color for YUV" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 16.--23. 1. "Y,The Y value to give on a YUV data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x04 8.--15. 1. "CR,The Cr value to give on a YUV data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x04 0.--7. 1. "CB,The Cb value to give on a YUV data port for a blank pixel when using virtual video buffering" group.long 0x30++0x3F line.long 0x00 "VIP_VPDMA_SETUP,Configures global parameters that are shared by all clients" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "SEC_BASE_CH,Use Secondary Channels for Mosaic mode" "0,1" line.long 0x04 "VIP_MAX_SIZE1,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 1 in write descriptor" hexmask.long.word 0x04 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 1 in a write descriptor" hexmask.long.word 0x04 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 1 in a write descriptor" line.long 0x08 "VIP_MAX_SIZE2,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 2 in write descriptor" hexmask.long.word 0x08 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 2 in a write descriptor" hexmask.long.word 0x08 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 2 in a write descriptor" line.long 0x0C "VIP_MAX_SIZE3,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 3 in write descriptor" hexmask.long.word 0x0C 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 3 in a write descriptor" hexmask.long.word 0x0C 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 3 in a write descriptor" line.long 0x10 "VIP_INT0_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_GRPX3,The last read DMA transaction has occurred for channel grpx3 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 30. "INT_STAT_GRPX2,The last read DMA transaction has occurred for channel grpx2 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 29. "INT_STAT_GRPX1,The last read DMA transaction has occurred for channel grpx1 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x10 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x10 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_chroma" "0,1" newline bitfld.long 0x10 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x10 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x10 16. "RESERVED,Reserved" "0,1" bitfld.long 0x10 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x10 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x10 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x10 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x10 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x10 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x10 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x10 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x10 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x14 "VIP_INT0_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline hexmask.long.byte 0x14 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x14 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 16. "RESERVED,Reserved" "0,1" bitfld.long 0x14 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x14 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT0_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x18 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x18 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x18 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x18 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x18 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x18 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x18 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x18 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x18 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x18 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x18 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x18 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x18 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x18 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x18 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x18 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x18 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x18 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x18 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x18 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x18 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x18 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x18 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x18 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x18 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x18 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x18 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "VIP_INT0_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x1C 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x1C 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x20 "VIP_INT0_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x20 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x20 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x20 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x20 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x20 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x20 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x24 "VIP_INT0_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x28 "VIP_INT0_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x28 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x2C "VIP_INT0_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x2C 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x30 "VIP_INT0_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x34 "VIP_INT0_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x38 "VIP_INT0_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x38 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x38 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x38 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" bitfld.long 0x38 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x38 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x38 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x38 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x38 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x38 23. "RESERVED,Reserved" "0,1" bitfld.long 0x38 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x38 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x38 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x38 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x38 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x3C "VIP_INT0_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x3C 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x3C 23. "RESERVED,Reserved" "0,1" bitfld.long 0x3C 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" group.long 0x78++0x47 line.long 0x00 "VIP_INT0_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x00 31. "INT_STAT_GRPX1_DATA,The client interface grpx1_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 30. "INT_STAT_COMP_WRBK,The client interface comp_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 29. "INT_STAT_SC_OUT,The client interface sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" hexmask.long.byte 0x00 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x00 20. "INT_STAT_SC_IN_LUMA,The client interface sc_in_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 19. "INT_STAT_SC_IN_CHROMA,The client interface sc_in_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 18. "INT_STAT_PIP_WRBK,The client interface pip_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 17. "INT_STAT_DEI_SC_OUT,The client interface dei_sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 16. "RESERVED,Reserved" "0,1" bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT0_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x08 "VIP_INT0_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT0_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x10 "VIP_INT0_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT0_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT1_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x18 31. "INT_STAT_GRPX3,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 30. "INT_STAT_GRPX2,The last write DMA transaction has completed for channel scaler_out" "0,1" newline bitfld.long 0x18 29. "INT_STAT_GRPX1,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x18 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x18 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" newline bitfld.long 0x18 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x18 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x18 16. "RESERVED,Reserved" "0,1" bitfld.long 0x18 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x18 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x18 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x18 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x18 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x18 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x18 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x18 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x18 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x18 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x1C "VIP_INT1_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x1C 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline hexmask.long.byte 0x1C 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x1C 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 16. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x1C 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x1C 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x20 "VIP_INT1_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x20 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x24 "VIP_INT1_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x24 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x28 "VIP_INT1_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x28 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x2C "VIP_INT1_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x2C 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x30 "VIP_INT1_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x34 "VIP_INT1_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x38 "VIP_INT1_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x38 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x38 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x38 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x38 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x38 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x38 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x38 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x38 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x38 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x38 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x38 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x38 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x38 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x38 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x3C "VIP_INT1_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x3C 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x40 "VIP_INT1_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x40 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x40 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x40 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_chroma" "0,1" bitfld.long 0x40 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x40 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x40 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x40 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x40 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x40 23. "RESERVED,Reserved" "0,1" bitfld.long 0x40 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x40 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x40 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x40 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x40 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x40 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x40 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x40 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x40 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x40 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x40 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x40 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x40 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x40 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x40 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x40 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x40 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x40 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x40 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x40 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x40 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x40 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x40 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x44 "VIP_INT1_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x44 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x44 23. "RESERVED,Reserved" "0,1" bitfld.long 0x44 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0xC8++0x17 line.long 0x00 "VIP_INT1_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x00 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 18. "INT_MASK_NF_READ,The interrupt for Noise Filter Input Data 422 Interleaved should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT1_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x08 "VIP_INT1_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT1_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x10 "VIP_INT1_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT1_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0x200++0x03 line.long 0x00 "VIP_PERF_MON0,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x280++0x2B line.long 0x00 "VIP_PERF_MON32,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON33,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON34,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON35,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON36,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON37,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x18 "VIP_PERF_MON38,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x18 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x18 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 27. "RESERVED," "0,1" bitfld.long 0x18 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x18 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x18 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 19. "RESERVED," "0,1" bitfld.long 0x18 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x18 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x1C "VIP_PERF_MON39,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x1C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x1C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" bitfld.long 0x1C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x1C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x1C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" bitfld.long 0x1C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x1C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x20 "VIP_PERF_MON40,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x20 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x20 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 27. "RESERVED," "0,1" bitfld.long 0x20 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x20 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x20 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 19. "RESERVED," "0,1" bitfld.long 0x20 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x20 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x24 "VIP_PERF_MON41,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x24 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x24 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 27. "RESERVED," "0,1" bitfld.long 0x24 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x24 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x24 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 19. "RESERVED," "0,1" bitfld.long 0x24 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x24 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x28 "VIP_PERF_MON42,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x28 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x28 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 27. "RESERVED," "0,1" bitfld.long 0x28 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x28 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x28 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 19. "RESERVED," "0,1" bitfld.long 0x28 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x28 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2C8++0x0F line.long 0x00 "VIP_PERF_MON50,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON51,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON52,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON53,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2E0++0x17 line.long 0x00 "VIP_PERF_MON56,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED,Sets the client whose event stops the performance monitor counter" "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x00 20.--21. "START_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED,Sets the client whose event starts the performance monitor counter" "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON57,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON58,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON59,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON60,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON61,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x388++0x1F line.long 0x00 "VIP0_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP0_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP0_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," line.long 0x10 "VIP1_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x10 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x10 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x10 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x10 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x10 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "VIP1_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x14 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x14 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x14 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x14 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x14 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--9. 1. "RESERVED," line.long 0x18 "VIP1_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x18 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x18 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x18 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x18 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x18 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x18 0.--9. 1. "RESERVED," line.long 0x1C "VIP1_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x1C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x1C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x1C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x1C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x1C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x1C 0.--9. 1. "RESERVED," group.long 0x3D0++0x03 line.long 0x00 "VPI_CTL_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," group.long 0x3E8++0x0F line.long 0x00 "VIP0_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP1_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP1_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," repeat 8. (list 44. 45. 46. 47. 48. 49. 54. 55. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x28 0x2C ) group.long ($2+0x2B0)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 43. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x68 ) group.long ($2+0x244)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x204)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end tree.end tree "VIP3_Slice0_csc" base ad:0x489B5700 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP3_Slice0_parser" base ad:0x489B5500 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP3_Slice0_sc" base ad:0x489B5800 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP3_Slice1_csc" base ad:0x489B5C00 group.long 0x00++0x17 line.long 0x00 "VIP_CSC00," bitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" bitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Coefficients of color space converter" line.long 0x04 "VIP_CSC01," bitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" bitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VIP_CSC02," bitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" bitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VIP_CSC03," bitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" bitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VIP_CSC04," bitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" bitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VIP_CSC05," bitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" bitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VIP3_Slice1_parser" base ad:0x489B5A00 group.long 0x00++0xE7 line.long 0x00 "VIP_MAIN,Main Configuration for VIP Parser" hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 5. "CLIP_ACTIVE,Discrete Sync Only;" "Do not clip active pixels,Clip Active Pixels as follows" newline bitfld.long 0x00 4. "CLIP_BLNK,Discrete Sync Only;" "Do not clip Blanking Data,Clip Blanking Data as follows" newline rbitfld.long 0x00 2.--3. "RESERVED," "0,1,2,3" newline bitfld.long 0x00 0.--1. "DATA_INTERFACE_MODE," "?,16b Port A data interface,8b Port A data interfaces,Undefined" line.long 0x04 "VIP_PORT_A,Configuration for Input Port A" bitfld.long 0x04 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x04 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x04 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 23. "SW_RESET," "0,1" newline bitfld.long 0x04 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x04 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x04 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x04 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x04 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x04 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x04 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x04 9. "FID_POLARITY," "0,1" newline bitfld.long 0x04 8. "ENABLE," "0,1" newline bitfld.long 0x04 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x04 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x04 4.--5. "CTRL_CHAN_SEL,Embedded Sync Only In 8b mode" "Use data[7:0] to extract control codes,Use data[15:8] to extract control codes,Use data[23:16] to extract control codes,Undefined In 16b and 24b modes" newline bitfld.long 0x04 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed 4:2:2 YUV stream,embedded sync 4x multiplexed 4:2:2 YUV stream,embedded sync line multiplexed 4:2:2 YUV stream,discrete sync single 4:2:2 YUV stream,embedded sync single RGB stream or single 444..,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x08 "VIP_XTRA_PORT_A,ore Configuration for Input Port A" rbitfld.long 0x08 31. "RESERVED," "0,1" newline bitfld.long 0x08 28.--30. "REPACK_SEL," "?,Cross Swap,Left Center Swap,Center Right Swap,Right Rotate,Left Rotate,RAW16 to RGB565 Mapping,RAW12 Swap" newline hexmask.long.word 0x08 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x08 15. "RESERVED," "0,1" newline bitfld.long 0x08 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x08 12. "RESERVED," "0,1" newline hexmask.long.word 0x08 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x0C "VIP_PORT_B,Configuration for Input Port B" bitfld.long 0x0C 31. "ANALYZER_FVH_ERR_CORRECTION_ENABLE,Embedded Sync Only" "Ignore the protection bits in the XV (fvh)..,Use the protection bits in an attempt to do.." newline bitfld.long 0x0C 30. "ANALYZER_2X4X_SRCNUM_POS,Embedded Sync Only" "For 2x/4x mux mode srcnum is in the least..,For 2x/4x mux mode srcnum is in the least.." newline bitfld.long 0x0C 24.--29. "FID_SKEW_POSTCOUNT,Discrete Sync Only post count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 23. "SW_RESET," "0,1" newline bitfld.long 0x0C 22. "DISCRETE_BASIC_MODE,This register is valid for Discrete Sync mode only" "Normal Discrete Mode,Basic Discrete Mode" newline bitfld.long 0x0C 16.--21. "FID_SKEW_PRECOUNT,Discrete Sync Only pre count value when using vsync skew in FID determination" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 15. "USE_ACTVID_HSYNC_N,Discrete Sync Only" "Use HSYNC style line capture,Use ACTVID style line capture" newline bitfld.long 0x0C 14. "FID_DETECT_MODE,Discrete Sync Only" "Take FID from pin,FID is determined by VSYNC skew" newline bitfld.long 0x0C 13. "ACTVID_POLARITY,Discrete Sync Only" "ACTVID is active low,ACTVID is active high" newline bitfld.long 0x0C 12. "VSYNC_POLARITY,Discrete Sync Only" "VSYNC is active low,VSYNC is active high" newline bitfld.long 0x0C 11. "HSYNC_POLARITY,Discrete Sync Only" "HSYNC is active low,HSYNC is active high" newline bitfld.long 0x0C 10. "PIXCLK_EDGE_POLARITY," "0,1" newline bitfld.long 0x0C 9. "FID_POLARITY," "0,1" newline bitfld.long 0x0C 8. "ENABLE," "0,1" newline bitfld.long 0x0C 7. "CLR_ASYNC_FIFO_RD," "0,1" newline bitfld.long 0x0C 6. "CLR_ASYNC_FIFO_WR," "0,1" newline bitfld.long 0x0C 4.--5. "CTRL_CHAN_SEL,PORT B supports on 8b mode" "0,1,2,3" newline bitfld.long 0x0C 0.--3. "SYNC_TYPE," "?,embedded sync 2x multiplexed YUV stream,embedded sync 4x multiplexed YUV stream,embedded sync line multiplexed YUV stream,discrete sync single YUV stream,embedded sync single RGB stream,reserved,reserved,reserved,reserved,discrete sync single 24b RGB stream,?..." line.long 0x10 "VIP_XTRA_PORT_B,ore Configuration for Input Port B" rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0x10 16.--27. 1. "SRC0_NUMPIX,Number of expected pixels on Source Number 0" newline rbitfld.long 0x10 15. "RESERVED," "0,1" newline bitfld.long 0x10 13.--14. "ANC_CHAN_SEL_8B,In 8b mode Vertically Ancillary Data typically resides in the Luma sites" "Extract 8b Mode Vertical Ancillary Data from..,Extract 8b Mode Vertical Ancillary Data from..,?,Extract every single sample of vertical.." newline rbitfld.long 0x10 12. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--11. 1. "SRC0_NUMLINES,Number of expected lines on Source Number 0" line.long 0x14 "VIP_FIQ_MASK,ask Bits for ARM FIQs" hexmask.long.word 0x14 22.--31. 1. "RESERVED," newline bitfld.long 0x14 21. "PORT_B_CFG_DISABLE_COMPLETE_MASK,Port B Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 20. "PORT_A_CFG_DISABLE_COMPLETE_MASK,Port A Cfg Disable Complete Mask" "0,1" newline bitfld.long 0x14 19. "PORT_B_ANC_PROTOCOL_VIOLATION_MASK,Port B ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 18. "PORT_B_YUV_PROTOCOL_VIOLATION_MASK,Port B YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 17. "PORT_A_ANC_PROTOCOL_VIOLATION_MASK,Port A ANC VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 16. "PORT_A_YUV_PROTOCOL_VIOLATION_MASK,Port A YUV VPI Protocol Violation Mask" "0,1" newline bitfld.long 0x14 15. "PORT_B_SRC0_SIZE,Video size detected on Port B does not match size programmed in xtra_port_b register" "0,1" newline bitfld.long 0x14 14. "PORT_A_SRC0_SIZE,Video size detected on Port A does not match size programmed in xtra_port_a register" "0,1" newline bitfld.long 0x14 13. "PORT_B_DISCONN,Port B Link Disconnect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 12. "PORT_B_CONN,Port B Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 11. "PORT_A_DISCONN,Port A Link Disconnect Scrnum 0 Mask" "0,1" newline bitfld.long 0x14 10. "PORT_A_CONN,Port A Link Connect Srcnum 0 Mask" "0,1" newline bitfld.long 0x14 9. "OUTPUT_FIFO_PRTB_ANC_OF,Output FIFO Port B Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 8. "RESERVED," "0,1" newline bitfld.long 0x14 7. "OUTPUT_FIFO_PRTB_YUV_OF,Output FIFO Port B Luma Overflow Mask" "0,1" newline bitfld.long 0x14 6. "OUTPUT_FIFO_PRTA_ANC_OF,Output FIFO Port A Ancillary Overflow Mask" "0,1" newline rbitfld.long 0x14 5. "RESERVED," "0,1" newline bitfld.long 0x14 4. "OUTPUT_FIFO_PRTA_YUV_OF,Output FIFO Port A Luma Overflow Mask" "0,1" newline bitfld.long 0x14 3. "ASYNC_FIFO_PRTB_OF,Port B Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 2. "ASYNC_FIFO_PRTA_OF,Port A Async FIFO Overflow FIQ Mask" "0,1" newline bitfld.long 0x14 1. "PRTB_VDET_MASK,Port B Video Detect FIQ Mask" "0,1" newline bitfld.long 0x14 0. "PRTA_VDET_MASK,Port A Video Detect FIQ Mask" "0,1" line.long 0x18 "VIP_FIQ_CLEAR,Clears bits in the FIQ Status" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "PORT_A_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 20. "PORT_A_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x18 19. "PORT_B_YUV_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 18. "PORT_B_ANC_PROTOCOL_VIOLATION_CLR,Write 1 followed by 0 to Clear Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 17. "PORT_A_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 16. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Write 1 followed by 0 to Clear Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x18 15. "PORT_B_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port B Src0 Size FIQ" "0,1" newline bitfld.long 0x18 14. "PORT_A_SRC0_SIZE_CLR,Write 1 followed by 0 to Clear Port A Src0 Size FIQ" "0,1" newline bitfld.long 0x18 13. "PORT_B_DISCONN_CLR,Write 1 followed by 0 to Clear Port B Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 12. "PORT_B_CONN_CLR,Write 1 followed by 0 to Clear Port B Link Connect FIQ" "0,1" newline bitfld.long 0x18 11. "PORT_A_DISCONN_CLR,Write 1 followed by 0 to Clear Port A Link Disconnect FIQ" "0,1" newline bitfld.long 0x18 10. "PORT_A_CONN_CLR,Write 1 followed by 0 to Clear Port A Link Connect FIQ" "0,1" newline bitfld.long 0x18 9. "OUTPUT_FIFO_PRTB_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 8. "RESERVED," "0,1" newline bitfld.long 0x18 7. "OUTPUT_FIFO_PRTB_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port B Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 6. "OUTPUT_FIFO_PRTA_ANC_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Ancillary Overflow FIQ" "0,1" newline rbitfld.long 0x18 5. "RESERVED," "0,1" newline bitfld.long 0x18 4. "OUTPUT_FIFO_PRTA_YUV_CLR,Write 1 followed by 0 to Clear Output FIFO Port A Luma Overflow FIQ" "0,1" newline bitfld.long 0x18 3. "ASYNC_FIFO_PRTB_CLR,Write 1 followed by 0 to Clear Async FIFO Port B Overflow FIQ" "0,1" newline bitfld.long 0x18 2. "ASYNC_FIFO_PRTA_CLR,Write 1 followed by 0 to Clear Async FIFO Port A Overflow FIQ" "0,1" newline bitfld.long 0x18 1. "PRTB_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port B" "0,1" newline bitfld.long 0x18 0. "PRTA_VDET_CLR,Write 1 followed by 0 to Clear Video Detect FIQ for Port A" "0,1" line.long 0x1C "VIP_FIQ_STATUS,FIQ Status values" hexmask.long.word 0x1C 22.--31. 1. "RESERVED," newline bitfld.long 0x1C 21. "PORT_B_CFG_DISABLE_COMPLETE_CLR,Port B Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 20. "PORT_A_CFG_DISABLE_COMPLETE,Port A Cfg Disable Complete FIQ" "0,1" newline bitfld.long 0x1C 19. "PORT_B_ANC_PROTOCOL_VIOLATION,Port B ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 18. "PORT_B_YUV_PROTOCOL_VIOLATION,Port B YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 17. "PORT_A_ANC_PROTOCOL_VIOLATION,Port A ANC VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 16. "PORT_A_YUV_PROTOCOL_VIOLATION,Port A YUV VPI Protocol Violation FIQ" "0,1" newline bitfld.long 0x1C 15. "PORT_B_SRC0_SIZE_STATUS,Port B Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 14. "PORT_A_SRC0_SIZE_STATUS,Port A Source 0 Size FIQ" "0,1" newline bitfld.long 0x1C 13. "PORT_B_DISCONN_STATUS,Port B Disconnect FIQ" "0,1" newline bitfld.long 0x1C 12. "PORT_B_CONN_STATUS,Port B Connect FIQ" "0,1" newline bitfld.long 0x1C 11. "PORT_A_DISCONN_STATUS,Port A Disconnect FIQ" "0,1" newline bitfld.long 0x1C 10. "PORT_A_CONN_STATUS,Port A Connect FIQ" "0,1" newline bitfld.long 0x1C 9. "OUTPUT_FIFO_PRTB_ANC_STATUS,Output FIFO Port B Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 8. "OUTPUT_FIFO_PRTB_CHROMA_STATUS,Output FIFO Port B Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 7. "OUTPUT_FIFO_PRTB_LUMA_STATUS,Output FIFO Port B Luma Overflow Status" "0,1" newline bitfld.long 0x1C 6. "OUTPUT_FIFO_PRTA_ANC_STATUS,Output FIFO Port A Ancillary Overflow Status" "0,1" newline bitfld.long 0x1C 5. "OUTPUT_FIFO_PRTA_CHROMA_STATUS,Output FIFO Port A Chroma Overflow Status" "0,1" newline bitfld.long 0x1C 4. "OUTPUT_FIFO_PRTA_LUMA_STATUS,Output FIFO Port A Luma Overflow Status" "0,1" newline bitfld.long 0x1C 3. "ASYNC_FIFO_PRTB_STATUS,Async FIFO Port B Overflow Status" "0,1" newline bitfld.long 0x1C 2. "ASYNC_FIFO_PRTA_STATUS,Async FIFO Port A Overflow Status" "0,1" newline bitfld.long 0x1C 1. "PRTB_VDET_STATUS,VDET Status for Port B" "0,1" newline bitfld.long 0x1C 0. "PRTA_VDET_STATUS,VDET Status for Port A" "0,1" line.long 0x20 "VIP_OUTPUT_PORT_A_SRC_FID,Current and Previous Output Port A Source FID values" bitfld.long 0x20 31. "PRTA_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 30. "PRTA_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x20 29. "PRTA_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 28. "PRTA_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x20 27. "PRTA_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 26. "PRTA_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x20 25. "PRTA_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 24. "PRTA_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x20 23. "PRTA_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 22. "PRTA_SRC11_PREV_SOURCE_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x20 21. "PRTA_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 20. "PRTA_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x20 19. "PRTA_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 18. "PRTA_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x20 17. "PRTA_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 16. "PRTA_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x20 15. "PRTA_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 14. "PRTA_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x20 13. "PRTA_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 12. "PRTA_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x20 11. "PRTA_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 10. "PRTA_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x20 9. "PRTA_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 8. "PRTA_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x20 7. "PRTA_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 6. "PRTA_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x20 5. "PRTA_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 4. "PRTA_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x20 3. "PRTA_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 2. "PRTA_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x20 1. "PRTA_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x20 0. "PRTA_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port A" "0,1" line.long 0x24 "VIP_OUTPUT_PORT_A_ENC_FID,Current and Previous Output Port A Encoder FID values" bitfld.long 0x24 31. "PRTA_SRC15_CURR_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 30. "PRTA_SRC15_PREV_ENC_FID,For Source ID 15 from Port A" "0,1" newline bitfld.long 0x24 29. "PRTA_SRC14_CURR_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 28. "PRTA_SRC14_PREV_ENC_FID,For Source ID 14 from Port A" "0,1" newline bitfld.long 0x24 27. "PRTA_SRC13_CURR_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 26. "PRTA_SRC13_PREV_ENC_FID,For Source ID 13 from Port A" "0,1" newline bitfld.long 0x24 25. "PRTA_SRC12_CURR_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 24. "PRTA_SRC12_PREV_ENC_FID,For Source ID 12 from Port A" "0,1" newline bitfld.long 0x24 23. "PRTA_SRC11_CURR_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 22. "PRTA_SRC11_PREV_ENC_FID,For Source ID 11 from Port A" "0,1" newline bitfld.long 0x24 21. "PRTA_SRC10_CURR_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 20. "PRTA_SRC10_PREV_ENC_FID,For Source ID 10 from Port A" "0,1" newline bitfld.long 0x24 19. "PRTA_SRC9_CURR_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 18. "PRTA_SRC9_PREV_ENC_FID,For Source ID 9 from Port A" "0,1" newline bitfld.long 0x24 17. "PRTA_SRC8_CURR_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 16. "PRTA_SRC8_PREV_ENC_FID,For Source ID 8 from Port A" "0,1" newline bitfld.long 0x24 15. "PRTA_SRC7_CURR_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 14. "PRTA_SRC7_PREV_ENC_FID,For Source ID 7 from Port A" "0,1" newline bitfld.long 0x24 13. "PRTA_SRC6_CURR_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 12. "PRTA_SRC6_PREV_ENC_FID,For Source ID 6 from Port A" "0,1" newline bitfld.long 0x24 11. "PRTA_SRC5_CURR_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 10. "PRTA_SRC5_PREV_ENC_FID,For Source ID 5 from Port A" "0,1" newline bitfld.long 0x24 9. "PRTA_SRC4_CURR_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 8. "PRTA_SRC4_PREV_ENC_FID,For Source ID 4 from Port A" "0,1" newline bitfld.long 0x24 7. "PRTA_SRC3_CURR_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 6. "PRTA_SRC3_PREV_ENC_FID,For Source ID 3 from Port A" "0,1" newline bitfld.long 0x24 5. "PRTA_SRC2_CURR_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 4. "PRTA_SRC2_PREV_ENC_FID,For Source ID 2 from Port A" "0,1" newline bitfld.long 0x24 3. "PRTA_SRC1_CURR_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 2. "PRTA_SRC1_PREV_ENC_FID,For Source ID 1 from Port A" "0,1" newline bitfld.long 0x24 1. "PRTA_SRC0_CURR_ENC_FID,For Source ID 0 from Port A" "0,1" newline bitfld.long 0x24 0. "PRTA_SRC0_PREV_ENC_FID,For Source ID 0 from Port A" "0,1" line.long 0x28 "VIP_OUTPUT_PORT_B_SRC_FID,Current and Previous Output Port B Source FID values" bitfld.long 0x28 31. "PRTB_SRC15_CURR_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 30. "PRTB_SRC15_PREV_SOURCE_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x28 29. "PRTB_SRC14_CURR_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 28. "PRTB_SRC14_PREV_SOURCE_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x28 27. "PRTB_SRC13_CURR_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 26. "PRTB_SRC13_PREV_SOURCE_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x28 25. "PRTB_SRC12_CURR_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 24. "PRTB_SRC12_PREV_SOURCE_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x28 23. "PRTB_SRC11_CURR_SOURCE_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x28 22. "PRTB_SRC11_PREV_SOURCE_FID,For Source ID 11" "0,1" newline bitfld.long 0x28 21. "PRTB_SRC10_CURR_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 20. "PRTB_SRC10_PREV_SOURCE_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x28 19. "PRTB_SRC9_CURR_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 18. "PRTB_SRC9_PREV_SOURCE_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x28 17. "PRTB_SRC8_CURR_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 16. "PRTB_SRC8_PREV_SOURCE_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x28 15. "PRTB_SRC7_CURR_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 14. "PRTB_SRC7_PREV_SOURCE_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x28 13. "PRTB_SRC6_CURR_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 12. "PRTB_SRC6_PREV_SOURCE_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x28 11. "PRTB_SRC5_CURR_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 10. "PRTB_SRC5_PREV_SOURCE_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x28 9. "PRTB_SRC4_CURR_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 8. "PRTB_SRC4_PREV_SOURCE_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x28 7. "PRTB_SRC3_CURR_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 6. "PRTB_SRC3_PREV_SOURCE_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x28 5. "PRTB_SRC2_CURR_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 4. "PRTB_SRC2_PREV_SOURCE_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x28 3. "PRTB_SRC1_CURR_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 2. "PRTB_SRC1_PREV_SOURCE_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x28 1. "PRTB_SRC0_CURR_SOURCE_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x28 0. "PRTB_SRC0_PREV_SOURCE_FID,For Source ID 0 from Port B" "0,1" line.long 0x2C "VIP_OUTPUT_PORT_B_ENC_FID,Current and Previous Output Port B Encoder FID values" bitfld.long 0x2C 31. "PRTB_SRC15_CURR_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 30. "PRTB_SRC15_PREV_ENC_FID,For Source ID 15 from Port B" "0,1" newline bitfld.long 0x2C 29. "PRTB_SRC14_CURR_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 28. "PRTB_SRC14_PREV_ENC_FID,For Source ID 14 from Port B" "0,1" newline bitfld.long 0x2C 27. "PRTB_SRC13_CURR_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 26. "PRTB_SRC13_PREV_ENC_FID,For Source ID 13 from Port B" "0,1" newline bitfld.long 0x2C 25. "PRTB_SRC12_CURR_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 24. "PRTB_SRC12_PREV_ENC_FID,For Source ID 12 from Port B" "0,1" newline bitfld.long 0x2C 23. "PRTB_SRC11_CURR_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 22. "PRTB_SRC11_PREV_ENC_FID,For Source ID 11 from Port B" "0,1" newline bitfld.long 0x2C 21. "PRTB_SRC10_CURR_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 20. "PRTB_SRC10_PREV_ENC_FID,For Source ID 10 from Port B" "0,1" newline bitfld.long 0x2C 19. "PRTB_SRC9_CURR_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 18. "PRTB_SRC9_PREV_ENC_FID,For Source ID 9 from Port B" "0,1" newline bitfld.long 0x2C 17. "PRTB_SRC8_CURR_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 16. "PRTB_SRC8_PREV_ENC_FID,For Source ID 8 from Port B" "0,1" newline bitfld.long 0x2C 15. "PRTB_SRC7_CURR_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 14. "PRTB_SRC7_PREV_ENC_FID,For Source ID 7 from Port B" "0,1" newline bitfld.long 0x2C 13. "PRTB_SRC6_CURR_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 12. "PRTB_SRC6_PREV_ENC_FID,For Source ID 6 from Port B" "0,1" newline bitfld.long 0x2C 11. "PRTB_SRC5_CURR_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 10. "PRTB_SRC5_PREV_ENC_FID,For Source ID 5 from Port B" "0,1" newline bitfld.long 0x2C 9. "PRTB_SRC4_CURR_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 8. "PRTB_SRC4_PREV_ENC_FID,For Source ID 4 from Port B" "0,1" newline bitfld.long 0x2C 7. "PRTB_SRC3_CURR_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 6. "PRTB_SRC3_PREV_ENC_FID,For Source ID 3 from Port B" "0,1" newline bitfld.long 0x2C 5. "PRTB_SRC2_CURR_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 4. "PRTB_SRC2_PREV_ENC_FID,For Source ID 2 from Port B" "0,1" newline bitfld.long 0x2C 3. "PRTB_SRC1_CURR_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 2. "PRTB_SRC1_PREV_ENC_FID,For Source ID 1 from Port B" "0,1" newline bitfld.long 0x2C 1. "PRTB_SRC0_CURR_ENC_FID,For Source ID 0 from Port B" "0,1" newline bitfld.long 0x2C 0. "PRTB_SRC0_PREV_ENC_FID,For Source ID 0 from Port B" "0,1" line.long 0x30 "VIP_OUTPUT_PORT_A_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x30 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 16.--26. 1. "PRTA_SRC0_WIDTH,On Port A" newline bitfld.long 0x30 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x30 0.--10. 1. "PRTA_SRC0_HEIGHT,On Port A" line.long 0x34 "VIP_OUTPUT_PORT_A_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x34 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 16.--26. 1. "PRTA_SRC1_WIDTH,On Port A" newline bitfld.long 0x34 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x34 0.--10. 1. "PRTA_SRC1_HEIGHT,On Port A" line.long 0x38 "VIP_OUTPUT_PORT_A_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x38 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 16.--26. 1. "PRTA_SRC2_WIDTH,On Port A" newline bitfld.long 0x38 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x38 0.--10. 1. "PRTA_SRC2_HEIGHT,On Port A" line.long 0x3C "VIP_OUTPUT_PORT_A_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x3C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 16.--26. 1. "PRTA_SRC3_WIDTH,On Port A" newline bitfld.long 0x3C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x3C 0.--10. 1. "PRTA_SRC3_HEIGHT,On Port A" line.long 0x40 "VIP_OUTPUT_PORT_A_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x40 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 16.--26. 1. "PRTA_SRC4_WIDTH,On Port A" newline bitfld.long 0x40 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x40 0.--10. 1. "PRTA_SRC4_HEIGHT,On Port A" line.long 0x44 "VIP_OUTPUT_PORT_A_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x44 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 16.--26. 1. "PRTA_SRC5_WIDTH,On Port A" newline bitfld.long 0x44 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x44 0.--10. 1. "PRTA_SRC5_HEIGHT,On Port A" line.long 0x48 "VIP_OUTPUT_PORT_A_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x48 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 16.--26. 1. "PRTA_SRC6_WIDTH,On Port A" newline bitfld.long 0x48 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x48 0.--10. 1. "PRTA_SRC6_HEIGHT,On Port A" line.long 0x4C "VIP_OUTPUT_PORT_A_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x4C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 16.--26. 1. "PRTA_SRC7_WIDTH,On Port A" newline bitfld.long 0x4C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x4C 0.--10. 1. "PRTA_SRC7_HEIGHT,On Port A" line.long 0x50 "VIP_OUTPUT_PORT_A_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x50 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 16.--26. 1. "PRTA_SRC8_WIDTH,On Port A" newline bitfld.long 0x50 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x50 0.--10. 1. "PRTA_SRC8_HEIGHT,On Port A" line.long 0x54 "VIP_OUTPUT_PORT_A_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x54 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 16.--26. 1. "PRTA_SRC9_WIDTH,On Port A" newline bitfld.long 0x54 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x54 0.--10. 1. "PRTA_SRC9_HEIGHT,On Port A" line.long 0x58 "VIP_OUTPUT_PORT_A_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x58 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 16.--26. 1. "PRTA_SRC10_WIDTH,On Port A" newline bitfld.long 0x58 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x58 0.--10. 1. "PRTA_SRC10_HEIGHT,On Port A" line.long 0x5C "VIP_OUTPUT_PORT_A_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x5C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 16.--26. 1. "PRTA_SRC11_WIDTH,On Port A" newline bitfld.long 0x5C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x5C 0.--10. 1. "PRTA_SRC11_HEIGHT,On Port A" line.long 0x60 "VIP_OUTPUT_PORT_A_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0x60 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 16.--26. 1. "PRTA_SRC12_WIDTH,On Port A" newline bitfld.long 0x60 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x60 0.--10. 1. "PRTA_SRC12_HEIGHT,On Port A" line.long 0x64 "VIP_OUTPUT_PORT_A_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0x64 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 16.--26. 1. "PRTA_SRC13_WIDTH,On Port A" newline bitfld.long 0x64 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x64 0.--10. 1. "PRTA_SRC13_HEIGHT,On Port A" line.long 0x68 "VIP_OUTPUT_PORT_A_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0x68 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 16.--26. 1. "PRTA_SRC14_WIDTH,On Port A" newline bitfld.long 0x68 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x68 0.--10. 1. "PRTA_SRC14_HEIGHT,On Port A" line.long 0x6C "VIP_OUTPUT_PORT_A_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0x6C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 16.--26. 1. "PRTA_SRC15_WIDTH,On Port A" newline bitfld.long 0x6C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x6C 0.--10. 1. "PRTA_SRC15_HEIGHT,On Port A" line.long 0x70 "VIP_OUTPUT_PORT_B_SRC0_SIZE,Width and Height for Source 0" bitfld.long 0x70 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 16.--26. 1. "PRTB_SRC0_WIDTH,On Port B" newline bitfld.long 0x70 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x70 0.--10. 1. "PRTB_SRC0_HEIGHT,On Port B" line.long 0x74 "VIP_OUTPUT_PORT_B_SRC1_SIZE,Width and Height for Source 1" bitfld.long 0x74 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 16.--26. 1. "PRTB_SRC1_WIDTH,On Port B" newline bitfld.long 0x74 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x74 0.--10. 1. "PRTB_SRC1_HEIGHT,On Port B" line.long 0x78 "VIP_OUTPUT_PORT_B_SRC2_SIZE,Width and Height for Source 2" bitfld.long 0x78 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 16.--26. 1. "PRTB_SRC2_WIDTH,On Port B" newline bitfld.long 0x78 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x78 0.--10. 1. "PRTB_SRC2_HEIGHT,On Port B" line.long 0x7C "VIP_OUTPUT_PORT_B_SRC3_SIZE,Width and Height for Source 3" bitfld.long 0x7C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 16.--26. 1. "PRTB_SRC3_WIDTH,On Port B" newline bitfld.long 0x7C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x7C 0.--10. 1. "PRTB_SRC3_HEIGHT,On Port B" line.long 0x80 "VIP_OUTPUT_PORT_B_SRC4_SIZE,Width and Height for Source 4" bitfld.long 0x80 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 16.--26. 1. "PRTB_SRC4_WIDTH,On Port B" newline bitfld.long 0x80 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x80 0.--10. 1. "PRTB_SRC4_HEIGHT,On Port B" line.long 0x84 "VIP_OUTPUT_PORT_B_SRC5_SIZE,Width and Height for Source 5" bitfld.long 0x84 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 16.--26. 1. "PRTB_SRC5_WIDTH,On Port B" newline bitfld.long 0x84 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x84 0.--10. 1. "PRTB_SRC5_HEIGHT,On Port B" line.long 0x88 "VIP_OUTPUT_PORT_B_SRC6_SIZE,Width and Height for Source 6" bitfld.long 0x88 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 16.--26. 1. "PRTB_SRC6_WIDTH,On Port B" newline bitfld.long 0x88 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x88 0.--10. 1. "PRTB_SRC6_HEIGHT,On Port B" line.long 0x8C "VIP_OUTPUT_PORT_B_SRC7_SIZE,Width and Height for Source 7" bitfld.long 0x8C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 16.--26. 1. "PRTB_SRC7_WIDTH,On Port B" newline bitfld.long 0x8C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x8C 0.--10. 1. "PRTB_SRC7_HEIGHT,On Port B" line.long 0x90 "VIP_OUTPUT_PORT_B_SRC8_SIZE,Width and Height for Source 8" bitfld.long 0x90 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 16.--26. 1. "PRTB_SRC8_WIDTH,On Port B" newline bitfld.long 0x90 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x90 0.--10. 1. "PRTB_SRC8_HEIGHT,On Port B" line.long 0x94 "VIP_OUTPUT_PORT_B_SRC9_SIZE,Width and Height for Source 9" bitfld.long 0x94 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 16.--26. 1. "PRTB_SRC9_WIDTH,On Port B" newline bitfld.long 0x94 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x94 0.--10. 1. "PRTB_SRC9_HEIGHT,On Port B" line.long 0x98 "VIP_OUTPUT_PORT_B_SRC10_SIZE,Width and Height for Source 10" bitfld.long 0x98 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 16.--26. 1. "PRTB_SRC10_WIDTH,On Port B" newline bitfld.long 0x98 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x98 0.--10. 1. "PRTB_SRC10_HEIGHT,On Port B" line.long 0x9C "VIP_OUTPUT_PORT_B_SRC11_SIZE,Width and Height for Source 11" bitfld.long 0x9C 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 16.--26. 1. "PRTB_SRC11_WIDTH,On Port B" newline bitfld.long 0x9C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x9C 0.--10. 1. "PRTB_SRC11_HEIGHT,On Port B" line.long 0xA0 "VIP_OUTPUT_PORT_B_SRC12_SIZE,Width and Height for Source 12" bitfld.long 0xA0 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 16.--26. 1. "PRTB_SRC12_WIDTH,On Port B" newline bitfld.long 0xA0 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA0 0.--10. 1. "PRTB_SRC12_HEIGHT,On Port B" line.long 0xA4 "VIP_OUTPUT_PORT_B_SRC13_SIZE,Width and Height for Source 13" bitfld.long 0xA4 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 16.--26. 1. "PRTB_SRC13_WIDTH,On Port B" newline bitfld.long 0xA4 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA4 0.--10. 1. "PRTB_SRC13_HEIGHT,On Port B" line.long 0xA8 "VIP_OUTPUT_PORT_B_SRC14_SIZE,Width and Height for Source 14" bitfld.long 0xA8 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 16.--26. 1. "PRTB_SRC14_WIDTH,On Port B" newline bitfld.long 0xA8 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xA8 0.--10. 1. "PRTB_SRC14_HEIGHT,On Port B" line.long 0xAC "VIP_OUTPUT_PORT_B_SRC15_SIZE,Width and Height for Source 15" bitfld.long 0xAC 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 16.--26. 1. "PRTB_SRC15_WIDTH,On Port B" newline bitfld.long 0xAC 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0xAC 0.--10. 1. "PRTB_SRC15_HEIGHT,On Port B" line.long 0xB0 "VIP_PORT_A_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB4 "VIP_PORT_B_VDET_VEC,Each bit represents the VDET bit setting for Line Mux Mode" line.long 0xB8 "VIP_ANC_CROP_HORZ_PORT_A,Ancillary Cropping Configuration for Input Port A" bitfld.long 0xB8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xB8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xB8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xB8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xB8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xBC "VIP_ANC_CROP_VERT_PORT_A,Ancillary Cropping Configuration for Input Port A" rbitfld.long 0xBC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xBC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xBC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xC0 "VIP_CROP_HORZ_PORT_A,Active Video Cropping Configuration for Input Port A" bitfld.long 0xC0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xC0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xC4 "VIP_CROP_VERT_PORT_A,Active Video Cropping Configuration for Input Port A" rbitfld.long 0xC4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 16.--27. 1. "ACT_USE_NUMLINES,When cropping" newline rbitfld.long 0xC4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xC8 "VIP_ANC_VIP_CROP_HORZ_PORT_B,Ancillary Cropping Configuration for Input Port B" bitfld.long 0xC8 28.--31. "ANC_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xC8 16.--27. 1. "ANC_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xC8 15. "ANC_BYPASS_N," "0,1" newline rbitfld.long 0xC8 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xC8 0.--11. 1. "ANC_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xCC "VIP_ANC_VIP_CROP_VERT_PORT_B,Ancillary Cropping Configuration for Input Port B" rbitfld.long 0xCC 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 16.--27. 1. "ANC_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xCC 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xCC 0.--11. 1. "ANC_SKIP_NUMLINES,The number of lines to crop from the top of the vertical ancillary data region" line.long 0xD0 "VIP_CROP_HORZ_PORT_B,Active Video Cropping Configuration for Input Port B" bitfld.long 0xD0 28.--31. "ACT_TARGET_SRCNUM,The cropping module can work on only one srcnum" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD0 16.--27. 1. "ACT_USE_NUMPIX,When cropping the number of pixels to keep after the skip_numpix value" newline bitfld.long 0xD0 15. "ACT_BYPASS_N," "0,1" newline rbitfld.long 0xD0 12.--14. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0xD0 0.--11. 1. "ACT_SKIP_NUMPIX,The number of pixels to crop from the beginning of each line" line.long 0xD4 "VIP_CROP_VERT_PORT_B,Active Video Cropping Configuration for Input Port B" rbitfld.long 0xD4 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 16.--27. 1. "ACT_USE_NUMLINES,When cropping the number of lines to keep after the skip_numlines value" newline rbitfld.long 0xD4 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long.word 0xD4 0.--11. 1. "ACT_SKIP_NUMLINES,The number of lines to crop from the top of the vertical active video region" line.long 0xD8 "VIP_XTRA6_PORT_A,Cfg Disable Active Srcnum Vector Input for Port A" hexmask.long.word 0xD8 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xD8 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xDC "VIP_XTRA7_PORT_B,Cfg Disable Active Srcnum Vector Input for Port B" hexmask.long.word 0xDC 16.--31. 1. "YUV_SRCNUM_STOP_IMMEDIATELY,For the Active Video Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" newline hexmask.long.word 0xDC 0.--15. 1. "ANC_SRCNUM_STOP_IMMEDIATELY,For the Ancillary Data Port to the VPDMA logic exists to ensure that a complete frame is sent out to the VPDMA following cfg_enable transitioning inactive for that port" line.long 0xE0 "VIP_XTRA8_PORT_A,Reserved Register for Port A" line.long 0xE4 "VIP_XTRA9_PORT_B,Reserved Register for Port B" tree.end tree "VIP3_Slice1_sc" base ad:0x489B5D00 group.long 0x00++0x1B line.long 0x00 "VIP_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," newline bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" newline bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "disable trimming,enable trimming" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "disable luma peaking,enable luma peaking" newline rbitfld.long 0x00 11.--13. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by both horizontal and vertical scaling" "the input video format is progressive,the input video format is interlace" newline bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "The polyphase scaler is always used regardless..,The polyphase scaler is bypassed only when.." newline bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling" "the 4X decimation filter is disabled,the 4X decimation filter is enabled" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling" "the 2X decimation filter is disabled,the 2X decimation filter is enabled" newline bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling" "the cfg_dcm_2x and cfg_dcm_4x bits will enable..,HW will decide whether up-scaling or.." newline bitfld.long 0x00 5. "CFG_ENABLE_EV,This parameter is used by the edge-detection block" "The output of edge-detection block will be force..,The calculation results of edge-detection block.." newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "Poly-phase filter will be used for the vertical..,Running average filter will be used for the.." newline bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "Progressive input,Interlaced input Must be set to 1 when.." newline bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is a general purpose" "Scaling module will engaged,Scaling module will be bypassed" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "Anamorphic scaling,Linear scaling" newline bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "The output format of SC is progressive,The output format of SC is interlace" line.long 0x04 "VIP_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VIP_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VIP_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VIP_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" newline bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 23. "RESERVED," "0,1" newline hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline rbitfld.long 0x10 11. "RESERVED," "0,1" newline hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VIP_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" newline rbitfld.long 0x14 11. "RESERVED," "0,1" newline hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VIP_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," newline hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" newline hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VIP_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," newline hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" newline rbitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC9," line.long 0x08 "VIP_CFG_SC10," line.long 0x0C "VIP_CFG_SC11," line.long 0x10 "VIP_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," newline hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VIP_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," newline hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VIP_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VIP_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VIP_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" newline rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" newline hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VIP_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" newline hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VIP_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VIP_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VIP_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" newline rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VIP3_TARG" base ad:0x489C0000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VIP3_top_level" base ad:0x489B0000 rgroup.long 0x00++0x03 line.long 0x00 "VIP_CLKC_PID,This register follows the format described in PDR3.5" bitfld.long 0x00 30.--31. "SCHEME,The scheme of the register used" "0,1,2,3" newline bitfld.long 0x00 28.--29. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--27. 1. "FUNC,The function of the module being used" newline bitfld.long 0x00 11.--15. "RTL,RTL Release Version The PDR release number of this IP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "MAJOR,ajor Release Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 6.--7. "CUSTOM,Custom IP" "0,1,2,3" newline bitfld.long 0x00 0.--5. "MINOR,inor Release Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" group.long 0x10++0x03 line.long 0x00 "VIP_SYSCONFIG," hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "Force-standby mode,No-standby mode,Same behavior as bit-field value of 0x1,Reserved" newline bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "Force-idle mode,No-idle mode,Smart-idle mode,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x3F line.long 0x00 "VIP_INTC_INTR0_STATUS_RAW0,INTC INTR0 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x00 22.--31. 1. "RESERVED," newline bitfld.long 0x00 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x00 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 16. "VPDMA_INT0_DESCRIPTOR_RAW,VPDMA INT0 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 15. "VPDMA_INT0_LIST7_NOTIFY_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 14. "VPDMA_INT0_LIST7_COMPLETE_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 13. "VPDMA_INT0_LIST6_NOTIFY_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 12. "VPDMA_INT0_LIST6_COMPLETE_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 11. "VPDMA_INT0_LIST5_NOTIFY_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 10. "VPDMA_INT0_LIST5_COMPLETE_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 9. "VPDMA_INT0_LIST4_NOTIFY_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 8. "VPDMA_INT0_LIST4_COMPLETE_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 7. "VPDMA_INT0_LIST3_NOTIFY_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 6. "VPDMA_INT0_LIST3_COMPLETE_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 5. "VPDMA_INT0_LIST2_NOTIFY_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 4. "VPDMA_INT0_LIST2_COMPLETE_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 3. "VPDMA_INT0_LIST1_NOTIFY_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 2. "VPDMA_INT0_LIST1_COMPLETE_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 1. "VPDMA_INT0_LIST0_NOTIFY_RAW,VPDMA INT0 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 0. "VPDMA_INT0_LIST0_COMPLETE_RAW,VPDMA INT0 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x04 "VIP_INTC_INTR0_STATUS_RAW1,INTC INTR0 Interrupt Status Raw/Set Register 1" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x04 8.--21. 1. "RESERVED," newline bitfld.long 0x04 7. "VPDMA_INT0_CLIENT_RAW,VPDMA INT0 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "VPDMA_INT0_CHANNEL_GROUP5_RAW,VPDMA INT0 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 4. "VPDMA_INT0_CHANNEL_GROUP4_RAW,VPDMA INT0 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 3. "VPDMA_INT0_CHANNEL_GROUP3_RAW,VPDMA INT0 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 2. "VPDMA_INT0_CHANNEL_GROUP2_RAW,VPDMA INT0 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 1. "VPDMA_INT0_CHANNEL_GROUP1_RAW,VPDMA INT0 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 0. "VPDMA_INT0_CHANNEL_GROUP0_RAW,VPDMA INT0 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x08 "VIP_INTC_INTR0_STATUS_ENA0,INTC INTR0 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x08 22.--31. 1. "RESERVED," newline bitfld.long 0x08 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x08 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x08 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 16. "VPDMA_INT0_DESCRIPTOR_ENA,VPDMA INT0 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 15. "VPDMA_INT0_LIST7_NOTIFY_ENA,VPDMA INT0 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 14. "VPDMA_INT0_LIST7_COMPLETE_ENA,VPDMA INT0 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 13. "VPDMA_INT0_LIST6_NOTIFY_ENA,VPDMA INT0 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 12. "VPDMA_INT0_LIST6_COMPLETE_ENA,VPDMA INT0 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 11. "VPDMA_INT0_LIST5_NOTIFY_ENA,VPDMA INT0 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 10. "VPDMA_INT0_LIST5_COMPLETE_ENA,VPDMA INT0 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 9. "VPDMA_INT0_LIST4_NOTIFY_ENA,VPDMA INT0 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 8. "VPDMA_INT0_LIST4_COMPLETE_ENA,VPDMA INT0 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 7. "VPDMA_INT0_LIST3_NOTIFY_ENA,VPDMA INT0 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 6. "VPDMA_INT0_LIST3_COMPLETE_ENA,VPDMA INT0 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 5. "VPDMA_INT0_LIST2_NOTIFY_ENA,VPDMA INT0 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 4. "VPDMA_INT0_LIST2_COMPLETE_ENA,VPDMA INT0 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 3. "VPDMA_INT0_LIST1_NOTIFY_ENA,VPDMA INT0 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 2. "VPDMA_INT0_LIST1_COMPLETE_ENA,VPDMA INT0 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 1. "VPDMA_INT0_LIST0_NOTIFY_ENA,VPDMA INT0 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 0. "VPDMA_INT0_LIST0_COMPLETE_ENA,VPDMA INT0 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x0C "VIP_INTC_INTR0_STATUS_ENA1,INTC INTR0 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x0C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x0C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x0C 8.--21. 1. "RESERVED," newline bitfld.long 0x0C 7. "VPDMA_INT0_CLIENT_ENA,VPDMA INT0 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x0C 6. "RESERVED," "0,1" newline bitfld.long 0x0C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA,VPDMA INT0 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA,VPDMA INT0 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA,VPDMA INT0 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA,VPDMA INT0 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x10 "VIP_INTC_INTR0_ENA_SET0,INTC INTR0 Interrupt Enable/Set Register 0" hexmask.long.word 0x10 22.--31. 1. "RESERVED," newline bitfld.long 0x10 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x10 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 16. "VPDMA_INT0_DESCRIPTOR_ENA_SET,VPDMA INT0 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_SET,VPDMA INT0 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_SET,VPDMA INT0 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_SET,VPDMA INT0 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_SET,VPDMA INT0 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_SET,VPDMA INT0 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_SET,VPDMA INT0 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_SET,VPDMA INT0 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_SET,VPDMA INT0 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_SET,VPDMA INT0 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_SET,VPDMA INT0 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_SET,VPDMA INT0 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_SET,VPDMA INT0 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_SET,VPDMA INT0 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_SET,VPDMA INT0 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_SET,VPDMA INT0 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_SET,VPDMA INT0 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x14 "VIP_INTC_INTR0_ENA_SET1,INTC INTR0 Interrupt Enable/Set Register 1" rbitfld.long 0x14 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x14 8.--21. 1. "RESERVED," newline bitfld.long 0x14 7. "VPDMA_INT0_CLIENT_ENA_SET,VPDMA INT0 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_SET,VPDMA INT0 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_SET,VPDMA INT0 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_SET,VPDMA INT0 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_SET,VPDMA INT0 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_SET,VPDMA INT0 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_SET,VPDMA INT0 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_SET,VPDMA INT0 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x18 "VIP_INTC_INTR0_ENA_CLR0,INTC INTR0 Interrupt Enable/Clear Register 0" hexmask.long.word 0x18 22.--31. 1. "RESERVED," newline bitfld.long 0x18 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x18 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 16. "VPDMA_INT0_DESCRIPTOR_ENA_CLR,VPDMA INT0 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_CLR,VPDMA INT0 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_CLR,VPDMA INT0 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_CLR,VPDMA INT0 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_CLR,VPDMA INT0 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_CLR,VPDMA INT0 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_CLR,VPDMA INT0 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_CLR,VPDMA INT0 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_CLR,VPDMA INT0 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_CLR,VPDMA INT0 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_CLR,VPDMA INT0 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_CLR,VPDMA INT0 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_CLR,VPDMA INT0 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_CLR,VPDMA INT0 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_CLR,VPDMA INT0 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_CLR,VPDMA INT0 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_CLR,VPDMA INT0 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x1C "VIP_INTC_INTR0_ENA_CLR1,INTC INTR0 Interrupt Enable/Clear Register 1" rbitfld.long 0x1C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x1C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x1C 8.--21. 1. "RESERVED," newline bitfld.long 0x1C 7. "VPDMA_INT0_CLIENT_ENA_CLR,VPDMA INT0 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 6. "VPDMA_INT0_CHANNEL_GROUP6_ENA_CLR,VPDMA INT0 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_CLR,VPDMA INT0 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_CLR,VPDMA INT0 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_CLR,VPDMA INT0 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_CLR,VPDMA INT0 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_CLR,VPDMA INT0 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_CLR,VPDMA INT0 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x20 "VIP_INTC_INTR1_STATUS_RAW0,INTC intr1 Interrupt Status Raw/Set Register 0" hexmask.long.word 0x20 22.--31. 1. "RESERVED," newline bitfld.long 0x20 21. "VIP2_PARSER_INT_RAW,VIP2 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 20. "VIP1_PARSER_INT_RAW,VIP1 Parser Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x20 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 16. "VPDMA_INT1_DESCRIPTOR_RAW,VPDMA INT1 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 15. "VPDMA_INT1_LIST7_NOTIFY_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 14. "VPDMA_INT1_LIST7_COMPLETE_RAW,VPDMA INT1 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 13. "VPDMA_INT1_LIST6_NOTIFY_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 12. "VPDMA_INT1_LIST6_COMPLETE_RAW,VPDMA INT1 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 11. "VPDMA_INT1_LIST5_NOTIFY_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 10. "VPDMA_INT1_LIST5_COMPLETE_RAW,VPDMA INT1 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 9. "VPDMA_INT1_LIST4_NOTIFY_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 8. "VPDMA_INT1_LIST4_COMPLETE_RAW,VPDMA INT1 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 7. "VPDMA_INT1_LIST3_NOTIFY_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 6. "VPDMA_INT1_LIST3_COMPLETE_RAW,VPDMA INT1 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 5. "VPDMA_INT1_LIST2_NOTIFY_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 4. "VPDMA_INT1_LIST2_COMPLETE_RAW,VPDMA INT1 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 3. "VPDMA_INT1_LIST1_NOTIFY_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 2. "VPDMA_INT1_LIST1_COMPLETE_RAW,VPDMA INT1 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 1. "VPDMA_INT1_LIST0_NOTIFY_RAW,VPDMA INT1 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x20 0. "VPDMA_INT1_LIST0_COMPLETE_RAW,VPDMA INT1 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x24 "VIP_INTC_INTR1_STATUS_RAW1,INTC intr1 Interrupt Status Raw/Set Register 1" rbitfld.long 0x24 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x24 25. "VIP2_CHR_DS_2_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 24. "VIP2_CHR_DS_1_UV_ERR_INT_RAW,VIP2 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 23. "VIP1_CHR_DS_2_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 2 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x24 8.--21. 1. "RESERVED," newline bitfld.long 0x24 7. "VPDMA_INT1_CLIENT_RAW,VPDMA INT1 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x24 6. "RESERVED," "0,1" newline bitfld.long 0x24 5. "VPDMA_INT1_CHANNEL_GROUP5_RAW,VPDMA INT1 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 4. "VPDMA_INT1_CHANNEL_GROUP4_RAW,VPDMA INT1 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 3. "VPDMA_INT1_CHANNEL_GROUP3_RAW,VPDMA INT1 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 2. "VPDMA_INT1_CHANNEL_GROUP2_RAW,VPDMA INT1 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 1. "VPDMA_INT1_CHANNEL_GROUP1_RAW,VPDMA INT1 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x24 0. "VPDMA_INT1_CHANNEL_GROUP0_RAW,VPDMA INT1 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x28 "VIP_INTC_INTR1_STATUS_ENA0,INTC intr1 Interrupt Status Enabled/Clear Register 0" hexmask.long.word 0x28 22.--31. 1. "RESERVED," newline bitfld.long 0x28 21. "VIP2_PARSER_INT_ENA,VIP2 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x28 20. "VIP1_PARSER_INT_ENA,VIP1 Parser Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline rbitfld.long 0x28 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x28 16. "VPDMA_INT1_DESCRIPTOR_ENA,VPDMA INT1 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 15. "VPDMA_INT1_LIST7_NOTIFY_ENA,VPDMA INT1 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 14. "VPDMA_INT1_LIST7_COMPLETE_ENA,VPDMA INT1 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 13. "VPDMA_INT1_LIST6_NOTIFY_ENA,VPDMA INT1 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 12. "VPDMA_INT1_LIST6_COMPLETE_ENA,VPDMA INT1 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 11. "VPDMA_INT1_LIST5_NOTIFY_ENA,VPDMA INT1 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 10. "VPDMA_INT1_LIST5_COMPLETE_ENA,VPDMA INT1 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 9. "VPDMA_INT1_LIST4_NOTIFY_ENA,VPDMA INT1 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 8. "VPDMA_INT1_LIST4_COMPLETE_ENA,VPDMA INT1 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 7. "VPDMA_INT1_LIST3_NOTIFY_ENA,VPDMA INT1 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 6. "VPDMA_INT1_LIST3_COMPLETE_ENA,VPDMA INT1 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 5. "VPDMA_INT1_LIST2_NOTIFY_ENA,VPDMA INT1 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 4. "VPDMA_INT1_LIST2_COMPLETE_ENA,VPDMA INT1 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 3. "VPDMA_INT1_LIST1_NOTIFY_ENA,VPDMA INT1 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 2. "VPDMA_INT1_LIST1_COMPLETE_ENA,VPDMA INT1 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 1. "VPDMA_INT1_LIST0_NOTIFY_ENA,VPDMA INT1 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x28 0. "VPDMA_INT1_LIST0_COMPLETE_ENA,VPDMA INT1 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x2C "VIP_INTC_INTR1_STATUS_ENA1,INTC intr1 Interrupt Status Enabled/Clear Register 1" rbitfld.long 0x2C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x2C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA,VIP2 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 2 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.word 0x2C 8.--21. 1. "RESERVED," newline bitfld.long 0x2C 7. "VPDMA_INT1_CLIENT_ENA,VPDMA INT1 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline rbitfld.long 0x2C 6. "RESERVED," "0,1" newline bitfld.long 0x2C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA,VPDMA INT1 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA,VPDMA INT1 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA,VPDMA INT1 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA,VPDMA INT1 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x2C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA,VPDMA INT1 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x30 "VIP_INTC_INTR1_ENA_SET0,INTC intr1 Interrupt Enable/Set Register 0" hexmask.long.word 0x30 22.--31. 1. "RESERVED," newline bitfld.long 0x30 21. "VIP2_PARSER_INT_ENA_SET,VIP2 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 20. "VIP1_PARSER_INT_ENA_SET,VIP1 Parser Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x30 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x30 16. "VPDMA_INT1_DESCRIPTOR_ENA_SET,VPDMA INT1 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_SET,VPDMA INT1 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_SET,VPDMA INT1 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_SET,VPDMA INT1 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_SET,VPDMA INT1 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_SET,VPDMA INT1 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_SET,VPDMA INT1 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_SET,VPDMA INT1 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_SET,VPDMA INT1 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_SET,VPDMA INT1 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_SET,VPDMA INT1 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_SET,VPDMA INT1 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_SET,VPDMA INT1 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_SET,VPDMA INT1 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_SET,VPDMA INT1 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_SET,VPDMA INT1 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x30 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_SET,VPDMA INT1 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x34 "VIP_INTC_INTR1_ENA_SET1,INTC intr1 Interrupt Enable/Set Register 1" rbitfld.long 0x34 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x34 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP2 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 2 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x34 8.--21. 1. "RESERVED," newline bitfld.long 0x34 7. "VPDMA_INT1_CLIENT_ENA_SET,VPDMA INT1 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_SET,VPDMA INT1 Channel Group6 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_SET,VPDMA INT1 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_SET,VPDMA INT1 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_SET,VPDMA INT1 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_SET,VPDMA INT1 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_SET,VPDMA INT1 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x34 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_SET,VPDMA INT1 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x38 "VIP_INTC_INTR1_ENA_CLR0,INTC intr1 Interrupt Enable/Clear Register 0" hexmask.long.word 0x38 22.--31. 1. "RESERVED," newline bitfld.long 0x38 21. "VIP2_PARSER_INT_ENA_CLR,VIP2 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 20. "VIP1_PARSER_INT_ENA_CLR,VIP1 Parser Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x38 17.--19. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x38 16. "VPDMA_INT1_DESCRIPTOR_ENA_CLR,VPDMA INT1 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 15. "VPDMA_INT1_LIST7_NOTIFY_ENA_CLR,VPDMA INT1 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 14. "VPDMA_INT1_LIST7_COMPLETE_ENA_CLR,VPDMA INT1 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 13. "VPDMA_INT1_LIST6_NOTIFY_ENA_CLR,VPDMA INT1 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 12. "VPDMA_INT1_LIST6_COMPLETE_ENA_CLR,VPDMA INT1 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 11. "VPDMA_INT1_LIST5_NOTIFY_ENA_CLR,VPDMA INT1 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 10. "VPDMA_INT1_LIST5_COMPLETE_ENA_CLR,VPDMA INT1 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 9. "VPDMA_INT1_LIST4_NOTIFY_ENA_CLR,VPDMA INT1 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 8. "VPDMA_INT1_LIST4_COMPLETE_ENA_CLR,VPDMA INT1 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 7. "VPDMA_INT1_LIST3_NOTIFY_ENA_CLR,VPDMA INT1 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 6. "VPDMA_INT1_LIST3_COMPLETE_ENA_CLR,VPDMA INT1 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 5. "VPDMA_INT1_LIST2_NOTIFY_ENA_CLR,VPDMA INT1 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 4. "VPDMA_INT1_LIST2_COMPLETE_ENA_CLR,VPDMA INT1 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 3. "VPDMA_INT1_LIST1_NOTIFY_ENA_CLR,VPDMA INT1 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 2. "VPDMA_INT1_LIST1_COMPLETE_ENA_CLR,VPDMA INT1 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 1. "VPDMA_INT1_LIST0_NOTIFY_ENA_CLR,VPDMA INT1 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x38 0. "VPDMA_INT1_LIST0_COMPLETE_ENA_CLR,VPDMA INT1 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x3C "VIP_INTC_INTR1_ENA_CLR1,INTC intr1 Interrupt Enable/Clear Register 1" rbitfld.long 0x3C 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x3C 25. "VIP2_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 24. "VIP2_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP2 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 23. "VIP1_CHR_DS_2_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 2 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.word 0x3C 8.--21. 1. "RESERVED," newline bitfld.long 0x3C 7. "VPDMA_INT1_CLIENT_ENA_CLR,VPDMA INT1 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 6. "VPDMA_INT1_CHANNEL_GROUP6_ENA_CLR,VPDMA INT1 Channel Group6 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 5. "VPDMA_INT1_CHANNEL_GROUP5_ENA_CLR,VPDMA INT1 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 4. "VPDMA_INT1_CHANNEL_GROUP4_ENA_CLR,VPDMA INT1 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 3. "VPDMA_INT1_CHANNEL_GROUP3_ENA_CLR,VPDMA INT1 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 2. "VPDMA_INT1_CHANNEL_GROUP2_ENA_CLR,VPDMA INT1 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 1. "VPDMA_INT1_CHANNEL_GROUP1_ENA_CLR,VPDMA INT1 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x3C 0. "VPDMA_INT1_CHANNEL_GROUP0_ENA_CLR,VPDMA INT1 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." group.long 0xA0++0x03 line.long 0x00 "VIP_INTC_EOI,INTC EOI Register" group.long 0x100++0x13 line.long 0x00 "VIP_CLKC_CLKEN,CLKC Module Clock Enable Register" hexmask.long.word 0x00 18.--31. 1. "RESERVED," newline bitfld.long 0x00 17. "VIP2_DP_EN,VIP Slice1 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline bitfld.long 0x00 16. "VIP1_DP_EN,VIP Slice0 Data Path Clock Enable " "Clock Disabled,Clock Enabled" newline hexmask.long.word 0x00 1.--15. 1. "RESERVED," newline bitfld.long 0x00 0. "VPDMA_EN,VPDMA Clock Enable " "Clock Disabled,Clock Enabled" line.long 0x04 "VIP_CLKC_RST,CLKC Module Reset Register" bitfld.long 0x04 31. "MAIN_RST,Reset for all modules in VIP Main Data Path" "0,1" newline rbitfld.long 0x04 29.--30. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x04 28. "S1_CHR_DS_1_RST,VIP Slice1 CHRDS1 reset" "0,1" newline bitfld.long 0x04 27. "S0_CHR_DS_1_RST,VIP Slice0 CHRDS1 reset" "0,1" newline bitfld.long 0x04 26. "S1_CHR_DS_0_RST,VIP Slice1 CHRDS0 reset" "0,1" newline bitfld.long 0x04 25. "S0_CHR_DS_0_RST,VIP Slice0 CHRDS0 reset" "0,1" newline bitfld.long 0x04 24. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 23. "S1_SC_RST,VIP Slice1 SC reset" "0,1" newline bitfld.long 0x04 22. "S0_SC_RST,VIP Slice0 SC reset" "0,1" newline bitfld.long 0x04 21. "S1_CSC_RST,VIP Slice1 CSC reset" "0,1" newline bitfld.long 0x04 20. "S0_CSC_RST,VIP Slice0 CSC reset" "0,1" newline bitfld.long 0x04 19. "S1_PARSER_RST,VIP Slice1 parser reset" "0,1" newline bitfld.long 0x04 18. "S0_PARSER_RST,VIP Slice0 parser reset" "0,1" newline bitfld.long 0x04 17. "VIP2_DP_RST,VIP Slice1 Data Path Reset" "0,1" newline bitfld.long 0x04 16. "VIP1_DP_RST,VIP Slice0 Data Path Reset" "0,1" newline hexmask.long.word 0x04 1.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x04 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x08 "VIP_CLKC_DPS,CLKC Main Data Path Select Register" bitfld.long 0x08 31. "MAIN_RST,Reset for all modules in DSS Main Data Path" "0,1" newline hexmask.long.word 0x08 18.--30. 1. "RESERVED," newline bitfld.long 0x08 17. "VIP2_DP_RST,Video Input Port 2 Data Path Reset" "0,1" newline bitfld.long 0x08 16. "VIP1_DP_RST,Video Input Port 1 Data Path Reset" "0,1" newline hexmask.long.word 0x08 1.--15. 1. "RESERVED," newline bitfld.long 0x08 0. "VPDMA_RST,VPDMA Reset" "0,1" line.long 0x0C "VIP_CLKC_VIP0DPS,CLKC Video Input Port 1 Data Path Select Register" bitfld.long 0x0C 28.--31. "VIP1_DATAPATH_SELECT,VIP1 Datapath Register Field Enable" "All fields written,Only vip1_csc_src_select written,Only vip1_sc_src_select written,Only vip1_rgb_src_select written,Only vip1_rgb_out_lo_select written,Only vip1_rgb_out_hi_select written,Only vip1_chr_ds_1_src_select written,Only vip1_chr_ds_2_src_select written,Only vip1_multi_channel_select written,Only vip1_chr_ds_1_bypass written,Only vip1_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x0C 27. "VIP1_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x0C 26. "VIP1_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x0C 18.--25. 1. "RESERVED," newline bitfld.long 0x0C 17. "VIP1_CHR_DS_2_BYPASS,Video Input Port 1 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 16. "VIP1_CHR_DS_1_BYPASS,Video Input Port 1 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x0C 15. "VIP1_MULTI_CHANNEL_SELECT,Video Input Port 1 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x0C 12.--14. "VIP1_CHR_DS_2_SRC_SELECT,Video Input Port 1 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 9.--11. "VIP1_CHR_DS_1_SRC_SELECT,Video Input Port 1 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x0C 8. "VIP1_RGB_OUT_HI_SELECT,Video Input Port 1 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 7. "VIP1_RGB_OUT_LO_SELECT,Video Input Port 1 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x0C 6. "VIP1_RGB_SRC_SELECT,Video Input Port 1 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x0C 3.--5. "VIP1_SC_SRC_SELECT,Video Input Port 1 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x0C 0.--2. "VIP1_CSC_SRC_SELECT,Video Input Port 1 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" line.long 0x10 "VIP_CLKC_VIP1DPS,CLKC Video Input Port 2 Data Path Select Register" bitfld.long 0x10 28.--31. "VIP2_DATAPATH_SELECT,VIP2 Datapath Register Field Enable" "All fields written,Only vip2_csc_src_select written,Only vip2_sc_src_select written,Only vip2_rgb_src_select written,Only vip2_rgb_out_lo_select written,Only vip2_rgb_out_hi_select written,Only vip2_chr_ds_1_src_select written,Only vip2_chr_ds_2_src_select written,Only vip2_multi_channel_select written,Only vip2_chr_ds_1_bypass written,Only vip2_chr_ds_2_bypass written,Reserved,Reserved,Reserved,Reserved,Reserved" newline bitfld.long 0x10 27. "VIP2_TESTPORT_A_SELECT," "0,1" newline bitfld.long 0x10 26. "VIP2_TESTPORT_B_SELECT," "0,1" newline hexmask.long.byte 0x10 18.--25. 1. "RESERVED," newline bitfld.long 0x10 17. "VIP2_CHR_DS_2_BYPASS,Video Input Port 2 Chroma Downsampler 2 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 16. "VIP2_CHR_DS_1_BYPASS,Video Input Port 2 Chroma Downsampler 1 Bypass" "VIP Chroma Downsampler 1 selected,VIP Chroma Downsampler 1 Bypassed Chroma.." newline bitfld.long 0x10 15. "VIP2_MULTI_CHANNEL_SELECT,Video Input Port 2 Multi Channel Select" "VIP_PARSER A and B channels operate in single..,VIP_PARSER A and B channels directly drive VPDMA.." newline bitfld.long 0x10 12.--14. "VIP2_CHR_DS_2_SRC_SELECT,Video Input Port 2 Chroma Downsampler 2 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 9.--11. "VIP2_CHR_DS_1_SRC_SELECT,Video Input Port 2 Chroma Downsampler 1 Source Select" "Path Disabled (no input to CHR_DS),Source from Scaler (SC_M),Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved" newline bitfld.long 0x10 8. "VIP2_RGB_OUT_HI_SELECT,Video Input Port 2 HI RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 7. "VIP2_RGB_OUT_LO_SELECT,Video Input Port 2 LO RGB Output Select" "Output Type is 420/422,Output Type is RGB" newline bitfld.long 0x10 6. "VIP2_RGB_SRC_SELECT,Video Input Port 2 RGB Output Path Select" "Source from Compositor RGB input,Source from CSC" newline bitfld.long 0x10 3.--5. "VIP2_SC_SRC_SELECT,Video Input Port 2 SC_M Source Select" "Path Disabled,Source from Color Space Converter (CSC),Source from VIP_PARSER A port,Source from VIP_PARSER B port,Source from Transcode (422),Reserved,Reserved,Reserved" newline bitfld.long 0x10 0.--2. "VIP2_CSC_SRC_SELECT,Video Input Port 2 CSC Source Select" "Path Disabled,Source from VIP_PARSER A (422) port,Source from VIP_PARSER B port,Source from Transcode (422),Source from VIP_PARSER A (RGB) port,Source from Compositor (RGB),Reserved,Reserved" tree.end tree "VIP3_VPDMA" base ad:0x489BD000 rgroup.long 0x00++0x0F line.long 0x00 "VIP_PID,PID VIP VPDMA register" line.long 0x04 "VIP_LIST_ADDR,The location of a new list to begin processing" line.long 0x08 "VIP_LIST_ATTR,The attributes of a new list" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x08 24.--26. "LIST_NUM,The list number that should be assigned to the list located atVIP_LIST_ADDR" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x08 20. "STOP,This bit is written with the LIST_NUMBER field to stop a self-modifying list" "0,1" newline rbitfld.long 0x08 19. "RDY,This bit is low when a new list cannot be written to theVIP_LIST_ADDR register" "0,1" bitfld.long 0x08 16.--18. "LIST_TYPE,The type of list that has been generated.\\n0: Normal List\\n1: Self-Modifying List\\n2: List Doorbell\\nOthers Reserved for future use" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x08 0.--15. 1. "LIST_SIZE,Number of 128 bit word in the new list of descriptors" line.long 0x0C "VIP_LIST_STAT_SYNC,The register is used for processor to List Manager syncronization and status registers for the list" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," rbitfld.long 0x0C 23. "LIST7_BUSY,The list 7 is currently running" "0,1" newline rbitfld.long 0x0C 22. "LIST6_BUSY,The list 6 is currently running" "0,1" rbitfld.long 0x0C 21. "LIST5_BUSY,The list 5 is currently running" "0,1" newline rbitfld.long 0x0C 20. "LIST4_BUSY,The list 4 is currently running" "0,1" rbitfld.long 0x0C 19. "LIST3_BUSY,The list 3 is currently running" "0,1" newline rbitfld.long 0x0C 18. "LIST2_BUSY,The list 2 is currently running" "0,1" rbitfld.long 0x0C 17. "LIST1_BUSY,The list 1 is currently running" "0,1" newline rbitfld.long 0x0C 16. "LIST0_BUSY,The list 0 is currently running" "0,1" hexmask.long.byte 0x0C 8.--15. 1. "RESERVED,Reserved" newline bitfld.long 0x0C 7. "SYNC_LISTS7,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 7 waiting on it" "0,1" bitfld.long 0x0C 6. "SYNC_LISTS6,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 6 waiting on it" "0,1" newline bitfld.long 0x0C 5. "SYNC_LISTS5,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 5 waiting on it" "0,1" bitfld.long 0x0C 4. "SYNC_LISTS4,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 4 waiting on it" "0,1" newline bitfld.long 0x0C 3. "SYNC_LISTS3,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 3 waiting on it" "0,1" bitfld.long 0x0C 2. "SYNC_LISTS2,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 2 waiting on it" "0,1" newline bitfld.long 0x0C 1. "SYNC_LISTS1,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 1 waiting on it" "0,1" bitfld.long 0x0C 0. "SYNC_LISTS0,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 0 waiting on it" "0,1" group.long 0x18++0x07 line.long 0x00 "VIP_BG_RGB,The registers used to set the background color for RGB" hexmask.long.byte 0x00 24.--31. 1. "RED,The red value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 16.--23. 1. "GREEN,The green value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 8.--15. 1. "BLUE,The blue value to give on an RGB data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x00 0.--7. 1. "BLEND,The blend value to give on an RGB data port for a blank pixel when using virtual video buffering" line.long 0x04 "VIP_BG_YUV,The registers used to set the background color for YUV" hexmask.long.byte 0x04 24.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x04 16.--23. 1. "Y,The Y value to give on a YUV data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x04 8.--15. 1. "CR,The Cr value to give on a YUV data port for a blank pixel when using virtual video buffering" hexmask.long.byte 0x04 0.--7. 1. "CB,The Cb value to give on a YUV data port for a blank pixel when using virtual video buffering" group.long 0x30++0x3F line.long 0x00 "VIP_VPDMA_SETUP,Configures global parameters that are shared by all clients" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "SEC_BASE_CH,Use Secondary Channels for Mosaic mode" "0,1" line.long 0x04 "VIP_MAX_SIZE1,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 1 in write descriptor" hexmask.long.word 0x04 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 1 in a write descriptor" hexmask.long.word 0x04 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 1 in a write descriptor" line.long 0x08 "VIP_MAX_SIZE2,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 2 in write descriptor" hexmask.long.word 0x08 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 2 in a write descriptor" hexmask.long.word 0x08 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 2 in a write descriptor" line.long 0x0C "VIP_MAX_SIZE3,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 3 in write descriptor" hexmask.long.word 0x0C 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 3 in a write descriptor" hexmask.long.word 0x0C 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 3 in a write descriptor" line.long 0x10 "VIP_INT0_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_GRPX3,The last read DMA transaction has occurred for channel grpx3 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 30. "INT_STAT_GRPX2,The last read DMA transaction has occurred for channel grpx2 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 29. "INT_STAT_GRPX1,The last read DMA transaction has occurred for channel grpx1 and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x10 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x10 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x10 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_chroma" "0,1" newline bitfld.long 0x10 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x10 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x10 16. "RESERVED,Reserved" "0,1" bitfld.long 0x10 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x10 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x10 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x10 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x10 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x10 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x10 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x10 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x10 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x10 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x14 "VIP_INT0_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline hexmask.long.byte 0x14 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x14 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 16. "RESERVED,Reserved" "0,1" bitfld.long 0x14 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x14 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x14 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT0_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x18 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x18 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x18 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x18 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x18 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x18 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x18 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x18 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x18 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x18 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x18 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x18 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x18 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x18 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x18 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x18 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x18 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x18 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x18 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x18 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x18 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x18 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x18 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x18 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x18 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x18 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x18 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "VIP_INT0_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x1C 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x1C 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x1C 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x20 "VIP_INT0_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x20 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x20 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x20 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x20 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x20 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x20 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x24 "VIP_INT0_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x24 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x28 "VIP_INT0_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x28 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x2C "VIP_INT0_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x2C 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x30 "VIP_INT0_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x34 "VIP_INT0_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x38 "VIP_INT0_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x38 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x38 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x38 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" bitfld.long 0x38 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x38 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x38 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x38 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x38 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x38 23. "RESERVED,Reserved" "0,1" bitfld.long 0x38 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x38 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x38 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x38 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x38 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x3C "VIP_INT0_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x3C 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x3C 23. "RESERVED,Reserved" "0,1" bitfld.long 0x3C 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" group.long 0x78++0x47 line.long 0x00 "VIP_INT0_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x00 31. "INT_STAT_GRPX1_DATA,The client interface grpx1_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 30. "INT_STAT_COMP_WRBK,The client interface comp_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 29. "INT_STAT_SC_OUT,The client interface sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" hexmask.long.byte 0x00 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x00 20. "INT_STAT_SC_IN_LUMA,The client interface sc_in_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 19. "INT_STAT_SC_IN_CHROMA,The client interface sc_in_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 18. "INT_STAT_PIP_WRBK,The client interface pip_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 17. "INT_STAT_DEI_SC_OUT,The client interface dei_sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 16. "RESERVED,Reserved" "0,1" bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT0_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x08 "VIP_INT0_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT0_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x10 "VIP_INT0_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT0_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VIP_INT1_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x18 31. "INT_STAT_GRPX3,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 30. "INT_STAT_GRPX2,The last write DMA transaction has completed for channel scaler_out" "0,1" newline bitfld.long 0x18 29. "INT_STAT_GRPX1,The last write DMA transaction has completed for channel scaler_out" "0,1" bitfld.long 0x18 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x18 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x18 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" newline bitfld.long 0x18 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" bitfld.long 0x18 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x18 16. "RESERVED,Reserved" "0,1" bitfld.long 0x18 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x18 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x18 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x18 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x18 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x18 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" bitfld.long 0x18 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x18 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" bitfld.long 0x18 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x18 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x1C "VIP_INT1_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x1C 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline hexmask.long.byte 0x1C 20.--27. 1. "RESERVED,Reserved" bitfld.long 0x1C 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 16. "RESERVED,Reserved" "0,1" bitfld.long 0x1C 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x1C 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x1C 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x1C 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x1C 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x1C 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x20 "VIP_INT1_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x20 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x24 "VIP_INT1_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x24 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x28 "VIP_INT1_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x28 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" bitfld.long 0x28 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" bitfld.long 0x28 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" bitfld.long 0x28 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" bitfld.long 0x28 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" bitfld.long 0x28 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" bitfld.long 0x28 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" bitfld.long 0x28 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" bitfld.long 0x28 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" bitfld.long 0x28 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" bitfld.long 0x28 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" bitfld.long 0x28 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" bitfld.long 0x28 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" bitfld.long 0x28 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x2C "VIP_INT1_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x2C 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x30 "VIP_INT1_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" bitfld.long 0x30 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" bitfld.long 0x30 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" bitfld.long 0x30 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" bitfld.long 0x30 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" bitfld.long 0x30 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" bitfld.long 0x30 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x34 "VIP_INT1_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x34 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x38 "VIP_INT1_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x38 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" bitfld.long 0x38 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x38 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" bitfld.long 0x38 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x38 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" bitfld.long 0x38 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x38 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" bitfld.long 0x38 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x38 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" bitfld.long 0x38 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x38 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" bitfld.long 0x38 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x38 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" bitfld.long 0x38 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" bitfld.long 0x38 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" bitfld.long 0x38 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" bitfld.long 0x38 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x3C "VIP_INT1_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x3C 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x40 "VIP_INT1_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x40 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" bitfld.long 0x40 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x40 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_chroma" "0,1" bitfld.long 0x40 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x40 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" bitfld.long 0x40 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x40 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" bitfld.long 0x40 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x40 23. "RESERVED,Reserved" "0,1" bitfld.long 0x40 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x40 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" bitfld.long 0x40 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x40 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" bitfld.long 0x40 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x40 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" bitfld.long 0x40 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x40 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" bitfld.long 0x40 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x40 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" bitfld.long 0x40 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x40 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" bitfld.long 0x40 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x40 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" bitfld.long 0x40 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x40 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" bitfld.long 0x40 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x40 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" bitfld.long 0x40 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x40 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" bitfld.long 0x40 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x40 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" bitfld.long 0x40 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x44 "VIP_INT1_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x44 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x44 23. "RESERVED,Reserved" "0,1" bitfld.long 0x44 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x44 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x44 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0xC8++0x17 line.long 0x00 "VIP_INT1_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x00 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x00 23. "RESERVED,Reserved" "0,1" bitfld.long 0x00 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 18. "INT_MASK_NF_READ,The interrupt for Noise Filter Input Data 422 Interleaved should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x00 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VIP_INT1_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x08 "VIP_INT1_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VIP_INT1_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" line.long 0x10 "VIP_INT1_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int1" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VIP_INT1_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int1" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int1" "0,1" group.long 0x200++0x03 line.long 0x00 "VIP_PERF_MON0,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x280++0x2B line.long 0x00 "VIP_PERF_MON32,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON33,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_lo_y,vip1_lo_uv" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON34,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_y,?,vip1_lo_uv,vip1_up_y" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON35,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_lo_uv,vip1_lo_y,vip1_up_y,vip1_up_uv" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON36,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_y,vip1_lo_uv,vip1_up_uv,vip2_lo_y" newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON37,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_up_uv,vip1_up_y,vip2_lo_y,vip2_lo_uv" newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x18 "VIP_PERF_MON38,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x18 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x18 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 27. "RESERVED," "0,1" bitfld.long 0x18 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x18 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x18 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_y,vip1_up_uv,vip2_lo_uv,vip2_up_y" newline rbitfld.long 0x18 19. "RESERVED," "0,1" bitfld.long 0x18 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x18 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x1C "VIP_PERF_MON39,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x1C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x1C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 27. "RESERVED," "0,1" bitfld.long 0x1C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x1C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x1C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_lo_uv,vip2_lo_y,vip2_up_y,vip2_up_uv" newline rbitfld.long 0x1C 19. "RESERVED," "0,1" bitfld.long 0x1C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x1C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x20 "VIP_PERF_MON40,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x20 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x20 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 27. "RESERVED," "0,1" bitfld.long 0x20 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x20 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x20 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_y,vip2_lo_uv,vip2_up_uv,?..." newline rbitfld.long 0x20 19. "RESERVED," "0,1" bitfld.long 0x20 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x20 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x24 "VIP_PERF_MON41,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x24 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x24 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 27. "RESERVED," "0,1" bitfld.long 0x24 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x24 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x24 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_up_uv,vip2_up_y,?..." newline rbitfld.long 0x24 19. "RESERVED," "0,1" bitfld.long 0x24 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x24 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x28 "VIP_PERF_MON42,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x28 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x28 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 27. "RESERVED," "0,1" bitfld.long 0x28 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x28 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x28 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x28 19. "RESERVED," "0,1" bitfld.long 0x28 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x28 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2C8++0x0F line.long 0x00 "VIP_PERF_MON50,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON51,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON52,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON53,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x2E0++0x17 line.long 0x00 "VIP_PERF_MON56,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED,Sets the client whose event stops the performance monitor counter" "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 22.--23. "RESERVED,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd" bitfld.long 0x00 20.--21. "START_CLIENT," "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED,Sets the client whose event starts the performance monitor counter" "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x04 "VIP_PERF_MON57,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x04 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x04 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 27. "RESERVED," "0,1" bitfld.long 0x04 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x04 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x04 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "?,?,vip1_anc_a,vip1_anc_b" newline rbitfld.long 0x04 19. "RESERVED," "0,1" bitfld.long 0x04 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x04 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x08 "VIP_PERF_MON58,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x08 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x08 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 27. "RESERVED," "0,1" bitfld.long 0x08 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x08 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x08 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_a,?,vip1_anc_b,vip2_anc_a" newline rbitfld.long 0x08 19. "RESERVED," "0,1" bitfld.long 0x08 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x08 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x0C "VIP_PERF_MON59,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x0C 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x0C 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 27. "RESERVED," "0,1" bitfld.long 0x0C 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x0C 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x0C 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip1_anc_b,vip1_anc_a,vip2_anc_a,vip2_anc_b" newline rbitfld.long 0x0C 19. "RESERVED," "0,1" bitfld.long 0x0C 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x0C 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x10 "VIP_PERF_MON60,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x10 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x10 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 27. "RESERVED," "0,1" bitfld.long 0x10 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x10 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x10 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_a,vip1_anc_b,vip2_anc_b,?..." newline rbitfld.long 0x10 19. "RESERVED," "0,1" bitfld.long 0x10 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x10 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" line.long 0x14 "VIP_PERF_MON61,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x14 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x14 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 27. "RESERVED," "0,1" bitfld.long 0x14 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x14 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x14 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "vip2_anc_b,vip2_anc_a,?..." newline rbitfld.long 0x14 19. "RESERVED," "0,1" bitfld.long 0x14 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x14 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" group.long 0x388++0x1F line.long 0x00 "VIP0_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP0_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP0_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," line.long 0x10 "VIP1_LO_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x10 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x10 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x10 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x10 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x10 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "VIP1_LO_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x14 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x14 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x14 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x14 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x14 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--9. 1. "RESERVED," line.long 0x18 "VIP1_UP_Y_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x18 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x18 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x18 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x18 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x18 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x18 0.--9. 1. "RESERVED," line.long 0x1C "VIP1_UP_UV_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x1C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x1C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x1C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x1C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x1C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x1C 0.--9. 1. "RESERVED," group.long 0x3D0++0x03 line.long 0x00 "VPI_CTL_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," group.long 0x3E8++0x0F line.long 0x00 "VIP0_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VIP0_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VIP1_ANC_A_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VIP1_ANC_B_CSTAT,The register holds status information and control for the client.\\n" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client.\\n0 : Change in value of hdmi_field_id\\n1 : Change in value of dvo2_field_id\\n2 : Change in value of hdcomp_field_id\\n3 : Change in value of sd_field_id\\n4 : Use List Manager Internal.." "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--9. 1. "RESERVED," repeat 8. (list 44. 45. 46. 47. 48. 49. 54. 55. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x28 0x2C ) group.long ($2+0x2B0)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 43. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x68 ) group.long ($2+0x244)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x204)++0x03 line.long 0x00 "VIP_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA\\n" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "command request,command accept,data request,data rcvd,data empty,data full,frame start,frame end" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end tree.end repeat 3. (list 0. 1. 2. )(list ad:0x489D0300 ad:0x489D0400 ad:0x489D0500 ) tree "VPE_CHR_US_INST_$1" base $2 rgroup.long 0x00++0x23 line.long 0x00 "VPE_PID," line.long 0x04 "VPE_REG0," hexmask.long.word 0x04 18.--31. 1. "ANCHOR_FID0_C0,C0 coefficient for Anchor Pixel" bitfld.long 0x04 16.--17. "CFG_MODE," "?,CFG_MODE_1,?,?" hexmask.long.word 0x04 2.--15. 1. "ANCHOR_FID0_C1,C1 coefficient for Anchor Pixel" bitfld.long 0x04 0.--1. "RESERVED," "0,1,2,3" line.long 0x08 "VPE_REG1," hexmask.long.word 0x08 18.--31. 1. "ANCHOR_FID0_C2,C2 coefficient for Anchor Pixel" bitfld.long 0x08 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x08 2.--15. 1. "ANCHOR_FID0_C3,C3 coefficient for Anchor Pixel" bitfld.long 0x08 0.--1. "RESERVED," "0,1,2,3" line.long 0x0C "VPE_REG2," hexmask.long.word 0x0C 18.--31. 1. "INTERP_FID0_C0,C0 coefficient for Interpolated Pixel" bitfld.long 0x0C 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x0C 2.--15. 1. "INTERP_FID0_C1,C1 coefficient for Interpolated Pixel" bitfld.long 0x0C 0.--1. "RESERVED," "0,1,2,3" line.long 0x10 "VPE_REG3," hexmask.long.word 0x10 18.--31. 1. "INTERP_FID0_C2,C2 coefficient for Interpolated Pixel" bitfld.long 0x10 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x10 2.--15. 1. "INTERP_FID0_C3,C3 coefficient for Interpolated Pixel" bitfld.long 0x10 0.--1. "RESERVED," "0,1,2,3" line.long 0x14 "VPE_REG4," hexmask.long.word 0x14 18.--31. 1. "ANCHOR_FID1_C0,C0 coefficient for Anchor Pixel" rbitfld.long 0x14 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x14 2.--15. 1. "ANCHOR_FID1_C1,C1 coefficient for Anchor Pixel" rbitfld.long 0x14 0.--1. "RESERVED," "0,1,2,3" line.long 0x18 "VPE_REG5," hexmask.long.word 0x18 18.--31. 1. "ANCHOR_FID1_C2,C2 coefficient for Anchor Pixel" rbitfld.long 0x18 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x18 2.--15. 1. "ANCHOR_FID1_C3,C3 coefficient for Anchor Pixel" rbitfld.long 0x18 0.--1. "RESERVED," "0,1,2,3" line.long 0x1C "VPE_REG6," hexmask.long.word 0x1C 18.--31. 1. "INTERP_FID1_C0,C0 coefficient for Interpolated Pixel" rbitfld.long 0x1C 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x1C 2.--15. 1. "INTERP_FID1_C1,C1 coefficient for Interpolated Pixel" rbitfld.long 0x1C 0.--1. "RESERVED," "0,1,2,3" line.long 0x20 "VPE_REG7," hexmask.long.word 0x20 18.--31. 1. "INTERP_FID1_C2,C2 coefficient for Interpolated Pixel" rbitfld.long 0x20 16.--17. "RESERVED," "0,1,2,3" hexmask.long.word 0x20 2.--15. 1. "INTERP_FID1_C3,C3 coefficient for Interpolated Pixel" rbitfld.long 0x20 0.--1. "RESERVED," "0,1,2,3" tree.end repeat.end tree "VPE_CSC" base ad:0x489D5700 group.long 0x00++0x17 line.long 0x00 "VPE_CSC00," rbitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 16.--28. 1. "B0,Coefficients of color space converter" rbitfld.long 0x00 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x00 0.--12. 1. "A0,Its is represented as Q3.10 number" line.long 0x04 "VPE_CSC01," rbitfld.long 0x04 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 16.--28. 1. "A1,Coefficients of color space converter" rbitfld.long 0x04 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x04 0.--12. 1. "C0,Coefficients of color space converter" line.long 0x08 "VPE_CSC02," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 16.--28. 1. "C1,Coefficients of color space converter" rbitfld.long 0x08 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 0.--12. 1. "B1,Coefficients of color space converter" line.long 0x0C "VPE_CSC03," rbitfld.long 0x0C 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 16.--28. 1. "B2,Coefficients of color space converter" rbitfld.long 0x0C 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x0C 0.--12. 1. "A2,Coefficients of color space converter" line.long 0x10 "VPE_CSC04," rbitfld.long 0x10 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x10 16.--27. 1. "D0,Coefficients of color space converter" rbitfld.long 0x10 13.--15. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x10 0.--12. 1. "C2,Coefficients of color space converter" line.long 0x14 "VPE_CSC05," rbitfld.long 0x14 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x14 28. "BYPASS,Full CSC bypass mode" "0,1" hexmask.long.word 0x14 16.--27. 1. "D2,Coefficients of color space converter" rbitfld.long 0x14 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x14 0.--11. 1. "D1,Coefficients of color space converter" tree.end tree "VPE_DEI" base ad:0x489D0600 group.long 0x00++0x0F line.long 0x00 "VPE_DEI_REG0," bitfld.long 0x00 31. "PROGRESSIVE_BYPASS,Progressive Mode" "PROGRESSIVE_BYPASS_0,PROGRESSIVE_BYPASS_1" bitfld.long 0x00 30. "FIELD_FLUSH,Field Flush Mode" "FIELD_FLUSH_0,FIELD_FLUSH_1" bitfld.long 0x00 29. "INTERLACE_BYPASS,Interlace Bypass Mode" "INTERLACE_BYPASS_0,INTERLACE_BYPASS_1" newline rbitfld.long 0x00 27.--28. "RESERVED,Always read as 0" "0,1,2,3" hexmask.long.word 0x00 16.--26. 1. "HEIGHT,Frame Height" rbitfld.long 0x00 11.--15. "RESERVED,Always read as 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "WIDTH,Frame Width" line.long 0x04 "VPE_DEI_REG1," hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 1. "MDT_SPATMAX_BYPASS,Spatial Maximum Filtering Bypass for motion values used in EDI" "MDT_SPATMAX_BYPASS_0,MDT_SPATMAX_BYPASS_1" bitfld.long 0x04 0. "MDT_TEMPMAX_BYPASS,Spatio-temporal Maximum Filtering Bypass for motion valued used in EDI" "MDT_TEMPMAX_BYPASS_0,MDT_TEMPMAX_BYPASS_1" line.long 0x08 "VPE_DEI_REG2," bitfld.long 0x08 28.--31. "MDT_MVSTMAX_COR_THR,This is used for increasing noise robustness" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "MDT_MV_COR_THR,This threshold is for the coring for motion value mv" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.byte 0x08 16.--23. 1. "MDT_SF_SC_THR3,Spatial frequency threshold 3" newline hexmask.long.byte 0x08 8.--15. 1. "MDT_SF_SC_THR2,Spatial frequency threshold 2" hexmask.long.byte 0x08 0.--7. 1. "MDT_SF_SC_THR1,Spatial frequency threshold It is used for adaptive scaling of motion values according to how busy the texture is" line.long 0x0C "VPE_DEI_REG3," hexmask.long.byte 0x0C 24.--31. 1. "EDI_COR_SCALE_FACTOR,Scaling factor for correlation along detected edge" hexmask.long.byte 0x0C 16.--23. 1. "EDI_DIR_COR_LOWER_THR,Lower threshold used for correlation along detected edge" hexmask.long.byte 0x0C 8.--15. 1. "EDI_CHROMA3D_COR_THR,Correlation threshold used in 3D processing for chroma" newline rbitfld.long 0x0C 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x0C 3. "EDI_CHROMA_3D_ENABLE,3D Chroma Enable" "EDI_CHROMA_3D_ENABLE_0,EDI_CHROMA_3D_ENABLE_1" bitfld.long 0x0C 2. "EDI_ENABLE_3D,3D Enable" "EDI_ENABLE_3D_0,EDI_ENABLE_3D_1" newline bitfld.long 0x0C 0.--1. "EDI_INP_MODE,Interpolation mode" "EDI_INP_MODE_0,EDI_INP_MODE_1,EDI_INP_MODE_2,EDI_INP_MODE_3" group.long 0x20++0x1B line.long 0x00 "VPE_DEI_REG8," bitfld.long 0x00 31. "FMD_WINDOW_ENABLE,Enable FMD operation window" "0,1" rbitfld.long 0x00 27.--30. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x00 16.--26. 1. "FMD_WINDOW_MAXX,Right boundary of FMD operation window Must be less than width" newline rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 0.--10. 1. "FMD_WINDOW_MINX,Left boundary of FMD operation window" line.long 0x04 "VPE_DEI_REG9," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 16.--26. 1. "FMD_WINDOW_MAXY,Bottom boundary of FMD operation window Must be less than height/2" rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "FMD_WINDOW_MINY,Top boundary of FMD operation window" line.long 0x08 "VPE_DEI_REG10," hexmask.long.byte 0x08 24.--31. 1. "FMD_CAF_LINE_THR,CAF threshold used for the pixels from two lines in one field This is the threshold used for combing artifacts detection" hexmask.long.byte 0x08 16.--23. 1. "FMD_CAF_FIELD_THR,CAF threshold used for the pixels from two fields This is the threshold used for combing artifacts detection" hexmask.long.word 0x08 4.--15. 1. "RESERVED," newline bitfld.long 0x08 3. "FMD_BED_ENABLE,Film Mode Bad Edit Detection" "FMD_BED_ENABLE_0,FMD_BED_ENABLE_1" bitfld.long 0x08 2. "FMD_JAM_DIR,Film Mode Field Jamming Direction" "FMD_JAM_DIR_0,FMD_JAM_DIR_1" bitfld.long 0x08 1. "FMD_LOCK,Film Mode Field Jamming Direction" "FMD_LOCK_0,FMD_LOCK_1" newline bitfld.long 0x08 0. "FMD_ENABLE,Enable film mode processing" "FMD_ENABLE_0,FMD_ENABLE_1" line.long 0x0C "VPE_DEI_REG11," hexmask.long.word 0x0C 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x0C 0.--19. 1. "FMD_CAF_THR,CAF threshold used for leaving film mode: If the combing artifacts is greater than this threshold CAF is detected and thus the state machine will be forced to leave the film mode" line.long 0x10 "VPE_DEI_REG12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," bitfld.long 0x10 24. "FMD_RESET,When '1' the film mode detection module needs to be reset by the software" "0,1" bitfld.long 0x10 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline hexmask.long.tbyte 0x10 0.--20. 1. "FMD_CAF,Detected combing artifacts" line.long 0x14 "VPE_DEI_REG13," bitfld.long 0x14 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x14 0.--27. 1. "FMD_FIELD_DIFF,Field difference (difference between two neighboring fields one top and one bottom)" line.long 0x18 "VPE_DEI_REG14," hexmask.long.word 0x18 20.--31. 1. "RESERVED," hexmask.long.tbyte 0x18 0.--19. 1. "FMD_FRAME_DIFF,Frame difference (difference between two top or two bottom fields)" repeat 4. (list 4. 5. 6. 7. )(list 0x00 0x04 0x08 0x0C ) group.long ($2+0x10)++0x03 line.long 0x00 "VPE_DEI_REG$1," rbitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 24.--28. "EDI_LUT3,EDI Lookup Table 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 21.--23. "RESERVED,Always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 16.--20. "EDI_LUT2,EDI Lookup Table 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 13.--15. "RESERVED,Always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 8.--12. "EDI_LUT1,EDI Lookup Table 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x00 5.--7. "RESERVED,Always read as 0" "0,1,2,3,4,5,6,7" bitfld.long 0x00 0.--4. "EDI_LUT0,EDI Lookup Table 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end tree.end tree "VPE_PRM" base ad:0x4AE07C80 group.long 0x00++0x07 line.long 0x00 "PM_VPE_PWRSTCTRL,This register controls the VPE power state to reach upon a domain sleep transition" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "VPE_BANK_ONSTATE,DSP_L1 state when domain is ON" "?,?,?,VPE_BANK_ONSTATE_3" hexmask.long.byte 0x00 9.--15. 1. "RESERVED," newline bitfld.long 0x00 8. "VPE_BANK_RETSTATE,VPE_BANK state when domain is RETENTION" "VPE_BANK_RETSTATE_0,VPE_BANK_RETSTATE_1" rbitfld.long 0x00 5.--7. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x00 4. "LOWPOWERSTATECHANGE,Power state change request when domain has already performed a sleep transition" "LOWPOWERSTATECHANGE_0,LOWPOWERSTATECHANGE_1" newline rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "LOGICRETSTATE,Logic state when power domain is RETENTION" "LOGICRETSTATE_0,LOGICRETSTATE_1" bitfld.long 0x00 0.--1. "POWERSTATE,Power state control" "POWERSTATE_0,POWERSTATE_1,POWERSTATE_2,POWERSTATE_3" line.long 0x04 "PM_VPE_PWRSTST,This register provides a status on the VPE domain current power state" rbitfld.long 0x04 26.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x04 24.--25. "LASTPOWERSTATEENTERED,Last low power state entered" "LASTPOWERSTATEENTERED_0,LASTPOWERSTATEENTERED_1,LASTPOWERSTATEENTERED_2,LASTPOWERSTATEENTERED_3" rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 20. "INTRANSITION,Domain transition status" "INTRANSITION_0,INTRANSITION_1" hexmask.long.word 0x04 6.--19. 1. "RESERVED," rbitfld.long 0x04 4.--5. "VPE_BANK_STATEST,VPE_BANK memory state status" "VPE_BANK_STATEST_0,VPE_BANK_STATEST_1,VPE_BANK_STATEST_2,VPE_BANK_STATEST_3" newline rbitfld.long 0x04 3. "RESERVED," "0,1" rbitfld.long 0x04 2. "LOGICSTATEST,Logic state status" "LOGICSTATEST_0,LOGICSTATEST_1" rbitfld.long 0x04 0.--1. "POWERSTATEST,Current power state status" "POWERSTATEST_0,POWERSTATEST_1,POWERSTATEST_2,POWERSTATEST_3" group.long 0x20++0x07 line.long 0x00 "PM_VPE_VPE_WKDEP,This register controls wakeup dependency based on VPE service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_VPE_EVE4,Wakeup dependency from VPE module (Swakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_EVE4_0,WKUPDEP_VPE_EVE4_1" bitfld.long 0x00 8. "WKUPDEP_VPE_EVE3,Wakeup dependency from VPE module (Swakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_EVE3_0,WKUPDEP_VPE_EVE3_1" newline bitfld.long 0x00 7. "WKUPDEP_VPE_EVE2,Wakeup dependency from VPE module (Swakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_EVE2_0,WKUPDEP_VPE_EVE2_1" bitfld.long 0x00 6. "WKUPDEP_VPE_EVE1,Wakeup dependency from VPE module ( Swakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_EVE1_0,WKUPDEP_VPE_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_VPE_DSP2,Wakeup dependency from VPE module (Swakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_DSP2_0,WKUPDEP_VPE_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_VPE_IPU1,Wakeup dependency from VPE module (Swakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_IPU1_0,WKUPDEP_VPE_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" bitfld.long 0x00 2. "WKUPDEP_VPE_DSP1,Wakeup dependency from VPE module (Swakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_DSP1_0,WKUPDEP_VPE_DSP1_1" newline bitfld.long 0x00 1. "WKUPDEP_VPE_IPU2,Wakeup dependency from VPE module ( Swakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_IPU2_0,WKUPDEP_VPE_IPU2_1" bitfld.long 0x00 0. "WKUPDEP_VPE_MPU,Wakeup dependency from VPE module (Swakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_VPE_MPU_0,WKUPDEP_VPE_MPU_1" line.long 0x04 "RM_VPE_VPE_CONTEXT,This register contains dedicated VPE context statuses" hexmask.long.tbyte 0x04 9.--31. 1. "RESERVED," bitfld.long 0x04 8. "LOSTMEM_VPE_BANK,Specify if memory-based context in VPE memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_VPE_BANK_0,LOSTMEM_VPE_BANK_1" hexmask.long.byte 0x04 1.--7. 1. "RESERVED," newline bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "VPE_SC" base ad:0x489D0700 group.long 0x00++0x1B line.long 0x00 "VPE_CFG_SC0," hexmask.long.word 0x00 17.--31. 1. "RESERVED," bitfld.long 0x00 16. "CFG_FID_SELFGEN,FID self generate enable" "0,1" bitfld.long 0x00 15. "CFG_TRIM,Trimming enable" "CFG_TRIM_0,CFG_TRIM_1" newline bitfld.long 0x00 14. "CFG_Y_PK_EN,This parameter is used by peaking block" "CFG_Y_PK_EN_0,CFG_Y_PK_EN_1" rbitfld.long 0x00 12.--13. "RESERVED," "0,1,2,3" rbitfld.long 0x00 11. "RESERVED," "0,1" newline bitfld.long 0x00 10. "CFG_INTERLACE_I,This parameter is used by horizontal and vertical scaling" "CFG_INTERLACE_I_0,CFG_INTERLACE_I_1" bitfld.long 0x00 9. "CFG_HP_BYPASS,This parameter is used by horizontal scaling" "CFG_HP_BYPASS_0,CFG_HP_BYPASS_1" bitfld.long 0x00 8. "CFG_DCM_4X,This parameter is used by horizontal scaling.Note" "CFG_DCM_4X_0,CFG_DCM_4X_1" newline bitfld.long 0x00 7. "CFG_DCM_2X,This parameter is used by horizontal scaling.Note" "CFG_DCM_2X_0,CFG_DCM_2X_1" bitfld.long 0x00 6. "CFG_AUTO_HS,This parameter is used by horizontal scaling.SR >" "CFG_AUTO_HS_0,CFG_AUTO_HS_1" rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 4. "CFG_USE_RAV,This parameter is used by vertical scaling" "CFG_USE_RAV_0,CFG_USE_RAV_1" bitfld.long 0x00 3. "CFG_INVT_FID,This parameter is used by vertical scaling" "CFG_INVT_FID_0,CFG_INVT_FID_1" bitfld.long 0x00 2. "CFG_SC_BYPASS,This parameter is general purpose" "CFG_SC_BYPASS_0,CFG_SC_BYPASS_1" newline bitfld.long 0x00 1. "CFG_LINEAR,This parameter is used by horizontal scaling" "CFG_LINEAR_0,CFG_LINEAR_1" bitfld.long 0x00 0. "CFG_INTERLACE_O,This parameter is used by vertical scaling" "CFG_INTERLACE_O_0,CFG_INTERLACE_O_1" line.long 0x04 "VPE_CFG_SC1," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long 0x04 0.--26. 1. "CFG_ROW_ACC_INC,This parameter is used by vertical scaling" line.long 0x08 "VPE_CFG_SC2," rbitfld.long 0x08 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x08 0.--27. 1. "CFG_ROW_ACC_OFFSET,This parameter is used by vertical scaling" line.long 0x0C "VPE_CFG_SC3," rbitfld.long 0x0C 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long 0x0C 0.--27. 1. "CFG_ROW_ACC_OFFSET_B,This parameter is used by vertical scaling" line.long 0x10 "VPE_CFG_SC4," rbitfld.long 0x10 31. "RESERVED," "0,1" bitfld.long 0x10 28.--30. "CFG_NLIN_ACC_INIT_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" bitfld.long 0x10 27. "RESERVED," "0,1" newline bitfld.long 0x10 24.--26. "CFG_LIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" bitfld.long 0x10 23. "RESERVED," "0,1" hexmask.long.word 0x10 12.--22. 1. "CFG_TAR_W,This parameter is a general purpose" newline bitfld.long 0x10 11. "RESERVED," "0,1" hexmask.long.word 0x10 0.--10. 1. "CFG_TAR_H,This parameter is a general purpose" line.long 0x14 "VPE_CFG_SC5," rbitfld.long 0x14 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x14 24.--26. "CFG_NLIN_ACC_INC_U,This parameter is used by horizontal scaling" "0,1,2,3,4,5,6,7" bitfld.long 0x14 23. "RESERVED," "0,1" newline hexmask.long.word 0x14 12.--22. 1. "CFG_SRC_W,This parameter is a general purpose" bitfld.long 0x14 11. "RESERVED," "0,1" hexmask.long.word 0x14 0.--10. 1. "CFG_SRC_H,This parameter is a general purpose" line.long 0x18 "VPE_CFG_SC6," hexmask.long.word 0x18 20.--31. 1. "RESERVED," hexmask.long.word 0x18 10.--19. 1. "CFG_ROW_ACC_INIT_RAV_B,This parameter is used by vertical scaling" hexmask.long.word 0x18 0.--9. 1. "CFG_ROW_ACC_INIT_RAV,This parameter is used by vertical scaling" group.long 0x20++0x17 line.long 0x00 "VPE_CFG_SC8," hexmask.long.word 0x00 23.--31. 1. "RESERVED," hexmask.long.word 0x00 12.--22. 1. "CFG_NLIN_RIGHT,This parameter is used by horizontal scaling" bitfld.long 0x00 11. "RESERVED," "0,1" newline hexmask.long.word 0x00 0.--10. 1. "CFG_NLIN_LEFT,This parameter is used by horizontal scaling" line.long 0x04 "VPE_CFG_SC9," line.long 0x08 "VPE_CFG_SC10," line.long 0x0C "VPE_CFG_SC11," line.long 0x10 "VPE_CFG_SC12," hexmask.long.byte 0x10 25.--31. 1. "RESERVED," hexmask.long 0x10 0.--24. 1. "CFG_COL_ACC_OFFSET,This parameter is used in horizontal scaling" line.long 0x14 "VPE_CFG_SC13," hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED," hexmask.long.word 0x14 0.--9. 1. "CFG_SC_FACTOR_RAV,This parameter is used by vertical scaling" group.long 0x48++0x13 line.long 0x00 "VPE_CFG_SC18," hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "CFG_HS_FACTOR,This parameter is used by horizontal scaling" line.long 0x04 "VPE_CFG_SC19," hexmask.long.byte 0x04 24.--31. 1. "CFG_HPF_COEF3,This parameter is used by the peaking block" hexmask.long.byte 0x04 16.--23. 1. "CFG_HPF_COEF2,This parameter is used by the peaking block" hexmask.long.byte 0x04 8.--15. 1. "CFG_HPF_COEF1,This parameter is used by the peaking block" newline hexmask.long.byte 0x04 0.--7. 1. "CFG_HPF_COEF0,This parameter is used by the peaking block" line.long 0x08 "VPE_CFG_SC20," rbitfld.long 0x08 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" hexmask.long.word 0x08 20.--28. 1. "CFG_NL_LIMIT,This parameter is used by the peaking block" rbitfld.long 0x08 19. "RESERVED," "0,1" newline bitfld.long 0x08 16.--18. "CFG_HPF_NORM_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 8.--15. 1. "CFG_HPF_COEF5,This parameter is used by the peaking block" hexmask.long.byte 0x08 0.--7. 1. "CFG_HPF_COEF4,This parameter is used by the peaking block" line.long 0x0C "VPE_CFG_SC21," hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," hexmask.long.byte 0x0C 16.--23. 1. "CFG_NL_LO_SLOPE,This parameter is used by the peaking block" hexmask.long.byte 0x0C 9.--15. 1. "RESERVED," newline hexmask.long.word 0x0C 0.--8. 1. "CFG_NL_LO_THR,This parameter is used by the peaking block" line.long 0x10 "VPE_CFG_SC22," hexmask.long.word 0x10 19.--31. 1. "RESERVED," bitfld.long 0x10 16.--18. "CFG_NL_HI_SLOPE_SHIFT,This parameter is used by the peaking block" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x10 9.--15. 1. "RESERVED," newline hexmask.long.word 0x10 0.--8. 1. "CFG_NL_HI_THR,This parameter is used by the peaking block" group.long 0x60++0x07 line.long 0x00 "VPE_CFG_SC24," rbitfld.long 0x00 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x00 16.--26. 1. "CFG_ORG_W,This parameter is used by the trimmer" rbitfld.long 0x00 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--10. 1. "CFG_ORG_H,This parameter is used by the trimmer" line.long 0x04 "VPE_CFG_SC25," rbitfld.long 0x04 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" hexmask.long.word 0x04 16.--26. 1. "CFG_OFF_W,This parameter is used by the trimmer" rbitfld.long 0x04 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x04 0.--10. 1. "CFG_OFF_H,This parameter is used by the trimmer" tree.end tree "VPE_TARG" base ad:0x489E0000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "VPE_TOP_LEVEL" base ad:0x489D0000 rgroup.long 0x00++0x03 line.long 0x00 "VPE_CLKC_PID," group.long 0x10++0x03 line.long 0x00 "VPE_SYSCONFIG," hexmask.long 0x00 6.--31. 1. "RESERVED," newline bitfld.long 0x00 4.--5. "STANDBYMODE,Configuration of the local initiator state management mode" "Force-standby mode,No-standby mode,Same behavior as bit-field value of 0x1,Reserved" newline bitfld.long 0x00 2.--3. "IDLEMODE,Configuration of the local target state management mode" "Force-idle mode,No-idle mode,Smart-idle mode,Smart-idle wakeup-capable mode" newline rbitfld.long 0x00 0.--1. "RESERVED," "0,1,2,3" group.long 0x20++0x1F line.long 0x00 "VPE_INTC_INTR0_STATUS_RAW0," hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline bitfld.long 0x00 18. "DEI_FMD_INT_RAW,DEI Film Mode Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 17. "RESERVED," "0,1" newline bitfld.long 0x00 16. "VPDMA_INT0_DESCRIPTOR_RAW,VPDMA INT0 Descriptor Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 15. "VPDMA_INT0_LIST7_NOTIFY_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 14. "VPDMA_INT0_LIST7_COMPLETE_RAW,VPDMA INT0 List7 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 13. "VPDMA_INT0_LIST6_NOTIFY_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 12. "VPDMA_INT0_LIST6_COMPLETE_RAW,VPDMA INT0 List6 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 11. "VPDMA_INT0_LIST5_NOTIFY_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 10. "VPDMA_INT0_LIST5_COMPLETE_RAW,VPDMA INT0 List5 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 9. "VPDMA_INT0_LIST4_NOTIFY_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 8. "VPDMA_INT0_LIST4_COMPLETE_RAW,VPDMA INT0 List4 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 7. "VPDMA_INT0_LIST3_NOTIFY_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 6. "VPDMA_INT0_LIST3_COMPLETE_RAW,VPDMA INT0 List3 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 5. "VPDMA_INT0_LIST2_NOTIFY_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 4. "VPDMA_INT0_LIST2_COMPLETE_RAW,VPDMA INT0 List2 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 3. "VPDMA_INT0_LIST1_NOTIFY_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 2. "VPDMA_INT0_LIST1_COMPLETE_RAW,VPDMA INT0 List1 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 1. "VPDMA_INT0_LIST0_NOTIFY_RAW,VPDMA INT0 List0 Notify Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x00 0. "VPDMA_INT0_LIST0_COMPLETE_RAW,VPDMA INT0 List0 Complete Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x04 "VPE_INTC_INTR0_STATUS_RAW1," hexmask.long.word 0x04 23.--31. 1. "RESERVED," newline bitfld.long 0x04 22. "VIP1_CHR_DS_1_UV_ERR_INT_RAW,VIP1 Chroma Downsampler 1 UV Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 17.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x04 16. "DEI_ERROR_INT_RAW,DEI Error Interrupt Status Read indicates raw status" "inactive,active Writing 1 will.." newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," newline bitfld.long 0x04 7. "VPDMA_INT0_CLIENT_RAW,VPDMA INT0 Client Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 6. "RESERVED," "0,1" newline bitfld.long 0x04 5. "VPDMA_INT0_CHANNEL_GROUP5_RAW,VPDMA INT0 Channel Group5 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 4. "VPDMA_INT0_CHANNEL_GROUP4_RAW,VPDMA INT0 Channel Group4 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 3. "VPDMA_INT0_CHANNEL_GROUP3_RAW,VPDMA INT0 Channel Group3 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 2. "VPDMA_INT0_CHANNEL_GROUP2_RAW,VPDMA INT0 Channel Group2 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 1. "VPDMA_INT0_CHANNEL_GROUP1_RAW,VPDMA INT0 Channel Group1 Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x04 0. "VPDMA_INT0_CHANNEL_GROUP0_RAW,VPDMA INT0 Channel Group0 Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x08 "VPE_INTC_INTR0_STATUS_ENA0," hexmask.long.word 0x08 19.--31. 1. "RESERVED," newline bitfld.long 0x08 18. "DEI_FMD_INT_ENA,DEI Film Mode Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x08 17. "RESERVED," "0,1" newline bitfld.long 0x08 16. "VPDMA_INT0_DESCRIPTOR_ENA,VPDMA INT0 Descriptor Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 15. "VPDMA_INT0_LIST7_NOTIFY_ENA,VPDMA INT0 List7 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 14. "VPDMA_INT0_LIST7_COMPLETE_ENA,VPDMA INT0 List7 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 13. "VPDMA_INT0_LIST6_NOTIFY_ENA,VPDMA INT0 List6 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 12. "VPDMA_INT0_LIST6_COMPLETE_ENA,VPDMA INT0 List6 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 11. "VPDMA_INT0_LIST5_NOTIFY_ENA,VPDMA INT0 List5 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 10. "VPDMA_INT0_LIST5_COMPLETE_ENA,VPDMA INT0 List5 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 9. "VPDMA_INT0_LIST4_NOTIFY_ENA,VPDMA INT0 List4 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 8. "VPDMA_INT0_LIST4_COMPLETE_ENA,VPDMA INT0 List4 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 7. "VPDMA_INT0_LIST3_NOTIFY_ENA,VPDMA INT0 List3 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 6. "VPDMA_INT0_LIST3_COMPLETE_ENA,VPDMA INT0 List3 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 5. "VPDMA_INT0_LIST2_NOTIFY_ENA,VPDMA INT0 List2 Notify Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 4. "VPDMA_INT0_LIST2_COMPLETE_ENA,VPDMA INT0 List2 Complete Enabled Statust Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 3. "VPDMA_INT0_LIST1_NOTIFY_ENA,VPDMA INT0 List1 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 2. "VPDMA_INT0_LIST1_COMPLETE_ENA,VPDMA INT0 List1 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 1. "VPDMA_INT0_LIST0_NOTIFY_ENA,VPDMA INT0 List0 Notify Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x08 0. "VPDMA_INT0_LIST0_COMPLETE_ENA,VPDMA INT0 List0 Complete Enabled Status Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x0C "VPE_INTC_INTR0_STATUS_ENA1," hexmask.long.word 0x0C 23.--31. 1. "RESERVED," newline bitfld.long 0x0C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA,VIP1 Chroma Downsampler 1 UV Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 17.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x0C 16. "DEI_ERROR_INT_ENA,DEI Error Enabled Interrupt Status Read indicates enabled status" "inactive,active Writing 1 will.." newline hexmask.long.byte 0x0C 8.--15. 1. "RESERVED," newline bitfld.long 0x0C 7. "VPDMA_INT0_CLIENT_ENA,VPDMA INT0 Client Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 6. "RESERVED," "0,1" newline bitfld.long 0x0C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA,VPDMA INT0 Channel Group5 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA,VPDMA INT0 Channel Group4 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA,VPDMA INT0 Channel Group3 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA,VPDMA INT0 Channel Group1 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." newline bitfld.long 0x0C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA,VPDMA INT0 Channel Group0 Enabled Status Read indicates raw status" "inactive,active Writing 1 will.." line.long 0x10 "VPE_INTC_INTR0_ENA_SET0," hexmask.long.word 0x10 19.--31. 1. "RESERVED," newline bitfld.long 0x10 18. "DEI_FMD_INT_ENA_SET,DEI Film Mode Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x10 17. "RESERVED," "0,1" newline bitfld.long 0x10 16. "VPDMA_INT0_DESCRIPTOR_ENA_SET,VPDMA INT0 Descriptor Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_SET,VPDMA INT0 List7 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_SET,VPDMA INT0 List7 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_SET,VPDMA INT0 List6 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_SET,VPDMA INT0 List6 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_SET,VPDMA INT0 List5 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_SET,VPDMA INT0 List5 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_SET,VPDMA INT0 List4 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_SET,VPDMA INT0 List4 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_SET,VPDMA INT0 List3 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_SET,VPDMA INT0 List3 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_SET,VPDMA INT0 List2 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_SET,VPDMA INT0 List2 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_SET,VPDMA INT0 List1 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_SET,VPDMA INT0 List1 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_SET,VPDMA INT0 List0 Notify Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x10 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_SET,VPDMA INT0 List0 Complete Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x14 "VPE_INTC_INTR0_ENA_SET1," hexmask.long.word 0x14 23.--31. 1. "RESERVED," newline bitfld.long 0x14 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_SET,VIP1 Chroma Downsampler 1 UV Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x14 17.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x14 16. "DEI_ERROR_INT_ENA_SET,DEI Error Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.byte 0x14 8.--15. 1. "RESERVED," newline bitfld.long 0x14 7. "VPDMA_INT0_CLIENT_ENA_SET,VPDMA INT0 Client Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x14 6. "RESERVED," "0,1" newline bitfld.long 0x14 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_SET,VPDMA INT0 Channel Group5 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_SET,VPDMA INT0 Channel Group4 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_SET,VPDMA INT0 Channel Group3 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_SET,VPDMA INT0 Channel Group2 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_SET,VPDMA INT0 Channel Group1 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x14 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_SET,VPDMA INT0 Channel Group0 Enable/Set Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x18 "VPE_INTC_INTR0_ENA_CLR0," hexmask.long.word 0x18 19.--31. 1. "RESERVED," newline bitfld.long 0x18 18. "DEI_FMD_INT_ENA_CLR,DEI Film Mode Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x18 17. "RESERVED," "0,1" newline bitfld.long 0x18 16. "VPDMA_INT0_DESCRIPTOR_ENA_CLR,VPDMA INT0 Descriptor Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 15. "VPDMA_INT0_LIST7_NOTIFY_ENA_CLR,VPDMA INT0 List7 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 14. "VPDMA_INT0_LIST7_COMPLETE_ENA_CLR,VPDMA INT0 List7 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 13. "VPDMA_INT0_LIST6_NOTIFY_ENA_CLR,VPDMA INT0 List6 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 12. "VPDMA_INT0_LIST6_COMPLETE_ENA_CLR,VPDMA INT0 List6 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 11. "VPDMA_INT0_LIST5_NOTIFY_ENA_CLR,VPDMA INT0 List5 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 10. "VPDMA_INT0_LIST5_COMPLETE_ENA_CLR,VPDMA INT0 List5 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 9. "VPDMA_INT0_LIST4_NOTIFY_ENA_CLR,VPDMA INT0 List4 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 8. "VPDMA_INT0_LIST4_COMPLETE_ENA_CLR,VPDMA INT0 List4 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 7. "VPDMA_INT0_LIST3_NOTIFY_ENA_CLR,VPDMA INT0 List3 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 6. "VPDMA_INT0_LIST3_COMPLETE_ENA_CLR,VPDMA INT0 List3 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 5. "VPDMA_INT0_LIST2_NOTIFY_ENA_CLR,VPDMA INT0 List2 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 4. "VPDMA_INT0_LIST2_COMPLETE_ENA_CLR,VPDMA INT0 List2 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 3. "VPDMA_INT0_LIST1_NOTIFY_ENA_CLR,VPDMA INT0 List1 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 2. "VPDMA_INT0_LIST1_COMPLETE_ENA_CLR,VPDMA INT0 List1 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 1. "VPDMA_INT0_LIST0_NOTIFY_ENA_CLR,VPDMA INT0 List0 Notify Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x18 0. "VPDMA_INT0_LIST0_COMPLETE_ENA_CLR,VPDMA INT0 List0 Complete Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." line.long 0x1C "VPE_INTC_INTR0_ENA_CLR1," hexmask.long.word 0x1C 23.--31. 1. "RESERVED," newline bitfld.long 0x1C 22. "VIP1_CHR_DS_1_UV_ERR_INT_ENA_CLR,VIP1 Chroma Downsampler 1 UV Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x1C 17.--21. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 16. "DEI_ERROR_INT_ENA_CLR,DEI Error Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline hexmask.long.byte 0x1C 8.--15. 1. "RESERVED," newline bitfld.long 0x1C 7. "VPDMA_INT0_CLIENT_ENA_CLR,VPDMA INT0 Client Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline rbitfld.long 0x1C 6. "RESERVED," "0,1" newline bitfld.long 0x1C 5. "VPDMA_INT0_CHANNEL_GROUP5_ENA_CLR,VPDMA INT0 Channel Group5 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 4. "VPDMA_INT0_CHANNEL_GROUP4_ENA_CLR,VPDMA INT0 Channel Group4 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 3. "VPDMA_INT0_CHANNEL_GROUP3_ENA_CLR,VPDMA INT0 Channel Group3 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 2. "VPDMA_INT0_CHANNEL_GROUP2_ENA_CLR,VPDMA INT0 Channel Group2 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 1. "VPDMA_INT0_CHANNEL_GROUP1_ENA_CLR,VPDMA INT0 Channel Group1 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." newline bitfld.long 0x1C 0. "VPDMA_INT0_CHANNEL_GROUP0_ENA_CLR,VPDMA INT0 Channel Group0 Enable/Clear Read indicates interrupt enable" "disabled,enabled Writing 1 will.." group.long 0xA0++0x03 line.long 0x00 "VPE_INTC_EOI,INTC EOI Register" group.long 0x100++0x07 line.long 0x00 "VPE_CLKC_CLKEN," hexmask.long 0x00 2.--31. 1. "RESERVED," newline bitfld.long 0x00 1. "PRIM_DP_EN,Primary Video Data Path Clock Enable" "PRIM_DP_EN_0,PRIM_DP_EN_1" newline bitfld.long 0x00 0. "VPDMA_EN,VPDMA Clock Enable" "VPDMA_EN_0,VPDMA_EN_1" line.long 0x04 "VPE_CLKC_RST," bitfld.long 0x04 31. "MAIN_RST,Reset for entire data path in VPE0" "0,1" newline hexmask.long 0x04 2.--30. 1. "RESERVED," newline bitfld.long 0x04 1. "PRIM_DP_RST,Primary Video Data Path Reset" "0,1" newline bitfld.long 0x04 0. "VPDMA_RST,VPDMA Reset" "0,1" group.long 0x10C++0x03 line.long 0x00 "VPE_CLKC_DPS," hexmask.long.word 0x00 19.--31. 1. "RESERVED," newline bitfld.long 0x00 18. "COLOR_SEPARATE_422,422 Color Separate SelectThis bit controls whether 422 output will be color separate or interleaved" "COLOR_SEPARATE_422_0,COLOR_SEPARATE_422_1" newline rbitfld.long 0x00 17. "RESERVED,RESERVED" "0,1" newline bitfld.long 0x00 16. "CHR_DS_BYPASS,Chroma Downsampler Bypass" "CHR_DS_BYPASS_0,CHR_DS_BYPASS_1" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 9.--11. "CHR_DS_SRC_SELECT,Chroma Downsampler Source Select" "CHR_DS_SRC_SELECT_0,CHR_DS_SRC_SELECT_1,?,?,?,?,?,?" newline bitfld.long 0x00 8. "RGB_OUT_SELECT,RGB Output Select" "RGB_OUT_SELECT_0,RGB_OUT_SELECT_1" newline rbitfld.long 0x00 3.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 0.--2. "CSC_SRC_SELECT,CSC Source Select" "CSC_SRC_SELECT_0,CSC_SRC_SELECT_1,?,?,?,?,?,?" group.long 0x11C++0x03 line.long 0x00 "VPE_RANGE_MAP," rbitfld.long 0x00 29.--31. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 28. "RANGE_REDUCTION_PRIM_ON,Range Reduction ON for Primary input" "0,1" newline hexmask.long.tbyte 0x00 7.--27. 1. "RESERVED," newline bitfld.long 0x00 6. "RANGE_MAP_PRIM_ON,Range Mapping ON for Primary input" "0,1" newline bitfld.long 0x00 3.--5. "RANGE_MAPUV_PRIM,Range Map UV for Primary input" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0.--2. "RANGE_MAPY_PRIM,Range Map Y for Primary input" "0,1,2,3,4,5,6,7" tree.end tree "VPE_VPDMA" base ad:0x489DD000 rgroup.long 0x00++0x0F line.long 0x00 "VPE_VPDMA_PID,This register follows the format described in PDR3.5" bitfld.long 0x00 30.--31. "SCHEME,The scheme of the register used" "0,1,2,3" newline hexmask.long.word 0x00 16.--29. 1. "FUNC,The funcition of the module being used" newline bitfld.long 0x00 11.--15. "RTL,RTL Release Version The PDR release number of this IP" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8.--10. "MAJOR,Major Release Number" "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 7. "VPDMA_LOAD_COMPLETE,This bit will be 1 when the VPDMA state machines image and data image have successfuly been fetched and loaded" "0,1" newline bitfld.long 0x00 6. "VPDMA_ACCESS_TYPE,After bootup this bit states how DMA transaction are setup by lists or through register access" "VPDMA_ACCESS_TYPE_0,VPDMA_ACCESS_TYPE_1" newline bitfld.long 0x00 0.--5. "MINOR,Minor Release Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "VPE_LIST_ADDR,The location of a new list to begin processing" line.long 0x08 "VPE_LIST_ATTR,The attributes of a new list" rbitfld.long 0x08 27.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x08 24.--26. "LIST_NUM,The list number that should be assigned to the list located at LIST_ADDR" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x08 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x08 20. "STOP,This bit is written with the LIST_NUMBER field to stop a self-modifying list" "0,1" newline rbitfld.long 0x08 19. "RDY,This bit is low when a new list cannot be written to theVPE_LIST_ADDR register" "0,1" newline bitfld.long 0x08 16.--18. "LIST_TYPE,The type of list that has been generated" "LIST_TYPE_0,LIST_TYPE_1,LIST_TYPE_2,?,?,?,?,?" newline hexmask.long.word 0x08 0.--15. 1. "LIST_SIZE,Number of 128 bit word in the new list of descriptors" line.long 0x0C "VPE_LIST_STAT_SYNC,The register is used for processor to List Manager syncronization and status registers for the list" hexmask.long.byte 0x0C 24.--31. 1. "RESERVED," newline rbitfld.long 0x0C 23. "LIST7_BUSY,The list 7 is currently running" "0,1" newline rbitfld.long 0x0C 22. "LIST6_BUSY,The list 6 is currently running" "0,1" newline rbitfld.long 0x0C 21. "LIST5_BUSY,The list 5 is currently running" "0,1" newline rbitfld.long 0x0C 20. "LIST4_BUSY,The list 4 is currently running" "0,1" newline rbitfld.long 0x0C 19. "LIST3_BUSY,The list 3 is currently running" "0,1" newline rbitfld.long 0x0C 18. "LIST2_BUSY,The list 2 is currently running" "0,1" newline rbitfld.long 0x0C 17. "LIST1_BUSY,The list 1 is currently running" "0,1" newline rbitfld.long 0x0C 16. "LIST0_BUSY,The list 0 is currently running" "0,1" newline hexmask.long.byte 0x0C 8.--15. 1. "RESERVED," newline bitfld.long 0x0C 7. "SYNC_LISTS7,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 7 waiting on it" "0,1" newline bitfld.long 0x0C 6. "SYNC_LISTS6,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 6 waiting on it" "0,1" newline bitfld.long 0x0C 5. "SYNC_LISTS5,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 5 waiting on it" "0,1" newline bitfld.long 0x0C 4. "SYNC_LISTS4,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 4 waiting on it" "0,1" newline bitfld.long 0x0C 3. "SYNC_LISTS3,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 3 waiting on it" "0,1" newline bitfld.long 0x0C 2. "SYNC_LISTS2,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 2 waiting on it" "0,1" newline bitfld.long 0x0C 1. "SYNC_LISTS1,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 1 waiting on it" "0,1" newline bitfld.long 0x0C 0. "SYNC_LISTS0,Writing a 1 to this field causes a sync event to fire that clears a Control Descriptor in List 0 waiting on it" "0,1" group.long 0x18++0x07 line.long 0x00 "VPE_BG_RGB,The registers used to set the background color for RGB" hexmask.long.byte 0x00 24.--31. 1. "RED,The red value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 16.--23. 1. "GREEN,The green value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 8.--15. 1. "BLUE,The blue value to give on an RGB data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x00 0.--7. 1. "BLEND,The blend value to give on an RGB data port for a blank pixel when using virtual video buffering" line.long 0x04 "VPE_BG_YUV,The registers used to set the background color for YUV" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," newline hexmask.long.byte 0x04 16.--23. 1. "Y,The Y value to give on a YUV data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x04 8.--15. 1. "CR,The Cr value to give on a YUV data port for a blank pixel when using virtual video buffering" newline hexmask.long.byte 0x04 0.--7. 1. "CB,The Cb value to give on a YUV data port for a blank pixel when using virtual video buffering" group.long 0x30++0x3F line.long 0x00 "VPE_VPDMA_SETUP,Configures global parameters that are shared by all clients" hexmask.long 0x00 1.--31. 1. "RESERVED," newline bitfld.long 0x00 0. "SEC_BASE_CH,Use Secondary Channels for Mosaic mode" "0,1" line.long 0x04 "VPE_MAX_SIZE1,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 1 in write descriptor" hexmask.long.word 0x04 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 1 in a write descriptor" newline hexmask.long.word 0x04 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 1 in a write descriptor" line.long 0x08 "VPE_MAX_SIZE2,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 2 in write descriptor" hexmask.long.word 0x08 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 2 in a write descriptor" newline hexmask.long.word 0x08 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 2 in a write descriptor" line.long 0x0C "VPE_MAX_SIZE3,Configures maximum width and maximum height global parameters that are shared by all clients to allow for configurable max width and max height when setting is 3 in write descriptor" hexmask.long.word 0x0C 16.--31. 1. "MAX_WIDTH,The maximum width to use for setting of max_width 3 in a write descriptor" newline hexmask.long.word 0x0C 0.--15. 1. "MAX_HEIGHT,The maximum height to use for setting of max_height 3 in a write descriptor" line.long 0x10 "VPE_INT0_CHANNEL0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_GRPX3,The last read DMA transaction has occurred for channel grpx3 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 30. "INT_STAT_GRPX2,The last read DMA transaction has occurred for channel grpx2 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 29. "INT_STAT_GRPX1,The last read DMA transaction has occurred for channel grpx1 and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x10 28. "INT_STAT_SCALER_OUT,The last write DMA transaction has completed for channel scaler_out" "0,1" newline hexmask.long.byte 0x10 20.--27. 1. "RESERVED,Reserved" newline bitfld.long 0x10 19. "INT_STAT_SCALER_CHROMA,The last write DMA transaction has completed for channel scaler_chroma" "0,1" newline bitfld.long 0x10 18. "INT_STAT_SCALER_LUMA,The last write DMA transaction has completed for channel scaler_luma" "0,1" newline bitfld.long 0x10 17. "INT_STAT_HQ_SCALER,The last write DMA transaction has completed for channel hq_scaler" "0,1" newline rbitfld.long 0x10 16. "RESERVED,Reserved" "0,1" newline bitfld.long 0x10 15. "INT_STAT_HQ_MV_OUT,The last write DMA transaction has completed for channel hq_mv_out" "0,1" newline rbitfld.long 0x10 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x10 12. "INT_STAT_HQ_MV,The last read DMA transaction has occurred for channel hq_mv and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x10 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x10 5. "INT_STAT_HQ_VID3_CHROMA,The last write DMA transaction has completed for channel hq_vid3_chroma" "0,1" newline bitfld.long 0x10 4. "INT_STAT_HQ_VID3_LUMA,The last write DMA transaction has completed for channel hq_vid3_luma" "0,1" newline bitfld.long 0x10 3. "INT_STAT_HQ_VID2_CHROMA,The last write DMA transaction has completed for channel hq_vid2_chroma" "0,1" newline bitfld.long 0x10 2. "INT_STAT_HQ_VID2_LUMA,The last write DMA transaction has completed for channel hq_vid2_luma" "0,1" newline bitfld.long 0x10 1. "INT_STAT_HQ_VID1_CHROMA,The last write DMA transaction has completed for channel hq_vid1_chroma" "0,1" newline bitfld.long 0x10 0. "INT_STAT_HQ_VID1_LUMA,The last write DMA transaction has completed for channel hq_vid1_luma" "0,1" line.long 0x14 "VPE_INT0_CHANNEL0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_GRPX3,The interrupt for Graphcis 2 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 30. "INT_MASK_GRPX2,The interrupt for Graphics 1 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_GRPX1,The interrupt for Graphics 0 Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 28. "INT_MASK_SCALER_OUT,The interrupt for Low Cost DEI Scalar Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline hexmask.long.byte 0x14 20.--27. 1. "RESERVED,Reserved" newline bitfld.long 0x14 19. "INT_MASK_SCALER_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 18. "INT_MASK_SCALER_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 17. "INT_MASK_HQ_SCALER,The interrupt for High Quality DEI Scaler Write to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 16. "RESERVED,Reserved" "0,1" newline bitfld.long 0x14 15. "INT_MASK_HQ_MV_OUT,The interrupt for Low Cost DEI Motion Vector Write should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x14 12. "INT_MASK_HQ_MV,The interrupt for Low Cost DEI Motion Vector should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x14 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x14 5. "INT_MASK_HQ_VID3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 4. "INT_MASK_HQ_VID3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 3. "INT_MASK_HQ_VID2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 2. "INT_MASK_HQ_VID2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 1. "INT_MASK_HQ_VID1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 0. "INT_MASK_HQ_VID1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x18 "VPE_INT0_CHANNEL1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x18 31. "INT_STAT_VIP1_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip1_mult_portb_src9" "0,1" newline bitfld.long 0x18 30. "INT_STAT_VIP1_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip1_mult_portb_src8" "0,1" newline bitfld.long 0x18 29. "INT_STAT_VIP1_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip1_mult_portb_src7" "0,1" newline bitfld.long 0x18 28. "INT_STAT_VIP1_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip1_mult_portb_src6" "0,1" newline bitfld.long 0x18 27. "INT_STAT_VIP1_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip1_mult_portb_src5" "0,1" newline bitfld.long 0x18 26. "INT_STAT_VIP1_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip1_mult_portb_src4" "0,1" newline bitfld.long 0x18 25. "INT_STAT_VIP1_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip1_mult_portb_src3" "0,1" newline bitfld.long 0x18 24. "INT_STAT_VIP1_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip1_mult_portb_src2" "0,1" newline bitfld.long 0x18 23. "INT_STAT_VIP1_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip1_mult_portb_src1" "0,1" newline bitfld.long 0x18 22. "INT_STAT_VIP1_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip1_mult_portb_src0" "0,1" newline bitfld.long 0x18 21. "INT_STAT_VIP1_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip1_mult_porta_src15" "0,1" newline bitfld.long 0x18 20. "INT_STAT_VIP1_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip1_mult_porta_src14" "0,1" newline bitfld.long 0x18 19. "INT_STAT_VIP1_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip1_mult_porta_src13" "0,1" newline bitfld.long 0x18 18. "INT_STAT_VIP1_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip1_mult_porta_src12" "0,1" newline bitfld.long 0x18 17. "INT_STAT_VIP1_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip1_mult_porta_src11" "0,1" newline bitfld.long 0x18 16. "INT_STAT_VIP1_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip1_mult_porta_src10" "0,1" newline bitfld.long 0x18 15. "INT_STAT_VIP1_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip1_mult_porta_src9" "0,1" newline bitfld.long 0x18 14. "INT_STAT_VIP1_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip1_mult_porta_src8" "0,1" newline bitfld.long 0x18 13. "INT_STAT_VIP1_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip1_mult_porta_src7" "0,1" newline bitfld.long 0x18 12. "INT_STAT_VIP1_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip1_mult_porta_src6" "0,1" newline bitfld.long 0x18 11. "INT_STAT_VIP1_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip1_mult_porta_src5" "0,1" newline bitfld.long 0x18 10. "INT_STAT_VIP1_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip1_mult_porta_src4" "0,1" newline bitfld.long 0x18 9. "INT_STAT_VIP1_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip1_mult_porta_src3" "0,1" newline bitfld.long 0x18 8. "INT_STAT_VIP1_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip1_mult_porta_src2" "0,1" newline bitfld.long 0x18 7. "INT_STAT_VIP1_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip1_mult_porta_src1" "0,1" newline bitfld.long 0x18 6. "INT_STAT_VIP1_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip1_mult_porta_src0" "0,1" newline rbitfld.long 0x18 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x1C "VPE_INT0_CHANNEL1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x1C 31. "INT_MASK_VIP1_MULT_PORTB_SRC9,The interrupt for Video Input 1 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 30. "INT_MASK_VIP1_MULT_PORTB_SRC8,The interrupt for Video Input 1 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 29. "INT_MASK_VIP1_MULT_PORTB_SRC7,The interrupt for Video Input 1 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 28. "INT_MASK_VIP1_MULT_PORTB_SRC6,The interrupt for Video Input 1 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 27. "INT_MASK_VIP1_MULT_PORTB_SRC5,The interrupt for Video Input 1 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 26. "INT_MASK_VIP1_MULT_PORTB_SRC4,The interrupt for Video Input 1 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 25. "INT_MASK_VIP1_MULT_PORTB_SRC3,The interrupt for Video Input 1 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 24. "INT_MASK_VIP1_MULT_PORTB_SRC2,The interrupt for Video Input 1 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 23. "INT_MASK_VIP1_MULT_PORTB_SRC1,The interrupt for Video Input 1 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 22. "INT_MASK_VIP1_MULT_PORTB_SRC0,The interrupt for Video Input 1 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 21. "INT_MASK_VIP1_MULT_PORTA_SRC15,The interrupt for Video Input 1 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 20. "INT_MASK_VIP1_MULT_PORTA_SRC14,The interrupt for Video Input 1 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 19. "INT_MASK_VIP1_MULT_PORTA_SRC13,The interrupt for Video Input 1 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 18. "INT_MASK_VIP1_MULT_PORTA_SRC12,The interrupt for Video Input 1 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 17. "INT_MASK_VIP1_MULT_PORTA_SRC11,The interrupt for Video Input 1 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 16. "INT_MASK_VIP1_MULT_PORTA_SRC10,The interrupt for Video Input 1 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 15. "INT_MASK_VIP1_MULT_PORTA_SRC9,The interrupt for Video Input 1 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 14. "INT_MASK_VIP1_MULT_PORTA_SRC8,The interrupt for Video Input 1 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 13. "INT_MASK_VIP1_MULT_PORTA_SRC7,The interrupt for Video Input 1 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 12. "INT_MASK_VIP1_MULT_PORTA_SRC6,The interrupt for Video Input 1 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 11. "INT_MASK_VIP1_MULT_PORTA_SRC5,The interrupt for Video Input 1 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 10. "INT_MASK_VIP1_MULT_PORTA_SRC4,The interrupt for Video Input 1 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 9. "INT_MASK_VIP1_MULT_PORTA_SRC3,The interrupt for Video Input 1 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 8. "INT_MASK_VIP1_MULT_PORTA_SRC2,The interrupt for Video Input 1 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 7. "INT_MASK_VIP1_MULT_PORTA_SRC1,The interrupt for Video Input 1 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x1C 6. "INT_MASK_VIP1_MULT_PORTA_SRC0,The interrupt for Video Input 1 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x1C 0.--5. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x20 "VPE_INT0_CHANNEL2_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x20 31. "INT_STAT_VIP1_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip1_mult_ancb_src9" "0,1" newline bitfld.long 0x20 30. "INT_STAT_VIP1_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip1_mult_ancb_src8" "0,1" newline bitfld.long 0x20 29. "INT_STAT_VIP1_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip1_mult_ancb_src7" "0,1" newline bitfld.long 0x20 28. "INT_STAT_VIP1_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip1_mult_ancb_src6" "0,1" newline bitfld.long 0x20 27. "INT_STAT_VIP1_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip1_mult_ancb_src5" "0,1" newline bitfld.long 0x20 26. "INT_STAT_VIP1_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip1_mult_ancb_src4" "0,1" newline bitfld.long 0x20 25. "INT_STAT_VIP1_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip1_mult_ancb_src3" "0,1" newline bitfld.long 0x20 24. "INT_STAT_VIP1_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip1_mult_ancb_src2" "0,1" newline bitfld.long 0x20 23. "INT_STAT_VIP1_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip1_mult_ancb_src1" "0,1" newline bitfld.long 0x20 22. "INT_STAT_VIP1_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip1_mult_ancb_src0" "0,1" newline bitfld.long 0x20 21. "INT_STAT_VIP1_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip1_mult_anca_src15" "0,1" newline bitfld.long 0x20 20. "INT_STAT_VIP1_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip1_mult_anca_src14" "0,1" newline bitfld.long 0x20 19. "INT_STAT_VIP1_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip1_mult_anca_src13" "0,1" newline bitfld.long 0x20 18. "INT_STAT_VIP1_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip1_mult_anca_src12" "0,1" newline bitfld.long 0x20 17. "INT_STAT_VIP1_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip1_mult_anca_src11" "0,1" newline bitfld.long 0x20 16. "INT_STAT_VIP1_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip1_mult_anca_src10" "0,1" newline bitfld.long 0x20 15. "INT_STAT_VIP1_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip1_mult_anca_src9" "0,1" newline bitfld.long 0x20 14. "INT_STAT_VIP1_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip1_mult_anca_src8" "0,1" newline bitfld.long 0x20 13. "INT_STAT_VIP1_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip1_mult_anca_src7" "0,1" newline bitfld.long 0x20 12. "INT_STAT_VIP1_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip1_mult_anca_src6" "0,1" newline bitfld.long 0x20 11. "INT_STAT_VIP1_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip1_mult_anca_src5" "0,1" newline bitfld.long 0x20 10. "INT_STAT_VIP1_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip1_mult_anca_src4" "0,1" newline bitfld.long 0x20 9. "INT_STAT_VIP1_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip1_mult_anca_src3" "0,1" newline bitfld.long 0x20 8. "INT_STAT_VIP1_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip1_mult_anca_src2" "0,1" newline bitfld.long 0x20 7. "INT_STAT_VIP1_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip1_mult_anca_src1" "0,1" newline bitfld.long 0x20 6. "INT_STAT_VIP1_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip1_mult_anca_src0" "0,1" newline bitfld.long 0x20 5. "INT_STAT_VIP1_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip1_mult_portb_src15" "0,1" newline bitfld.long 0x20 4. "INT_STAT_VIP1_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip1_mult_portb_src14" "0,1" newline bitfld.long 0x20 3. "INT_STAT_VIP1_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip1_mult_portb_src13" "0,1" newline bitfld.long 0x20 2. "INT_STAT_VIP1_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip1_mult_portb_src12" "0,1" newline bitfld.long 0x20 1. "INT_STAT_VIP1_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip1_mult_portb_src11" "0,1" newline bitfld.long 0x20 0. "INT_STAT_VIP1_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip1_mult_portb_src10" "0,1" line.long 0x24 "VPE_INT0_CHANNEL2_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x24 31. "INT_MASK_VIP1_MULT_ANCB_SRC9,The interrupt for Video Input 1 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 30. "INT_MASK_VIP1_MULT_ANCB_SRC8,The interrupt for Video Input 1 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 29. "INT_MASK_VIP1_MULT_ANCB_SRC7,The interrupt for Video Input 1 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 28. "INT_MASK_VIP1_MULT_ANCB_SRC6,The interrupt for Video Input 1 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 27. "INT_MASK_VIP1_MULT_ANCB_SRC5,The interrupt for Video Input 1 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 26. "INT_MASK_VIP1_MULT_ANCB_SRC4,The interrupt for Video Input 1 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 25. "INT_MASK_VIP1_MULT_ANCB_SRC3,The interrupt for Video Input 1 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 24. "INT_MASK_VIP1_MULT_ANCB_SRC2,The interrupt for Video Input 1 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 23. "INT_MASK_VIP1_MULT_ANCB_SRC1,The interrupt for Video Input 1 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 22. "INT_MASK_VIP1_MULT_ANCB_SRC0,The interrupt for Video Input 1 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 21. "INT_MASK_VIP1_MULT_ANCA_SRC15,The interrupt for Video Input 1 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 20. "INT_MASK_VIP1_MULT_ANCA_SRC14,The interrupt for Video Input 1 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 19. "INT_MASK_VIP1_MULT_ANCA_SRC13,The interrupt for Video Input 1 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 18. "INT_MASK_VIP1_MULT_ANCA_SRC12,The interrupt for Video Input 1 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 17. "INT_MASK_VIP1_MULT_ANCA_SRC11,The interrupt for Video Input 1 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 16. "INT_MASK_VIP1_MULT_ANCA_SRC10,The interrupt for Video Input 1 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 15. "INT_MASK_VIP1_MULT_ANCA_SRC9,The interrupt for Video Input 1 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 14. "INT_MASK_VIP1_MULT_ANCA_SRC8,The interrupt for Video Input 1 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 13. "INT_MASK_VIP1_MULT_ANCA_SRC7,The interrupt for Video Input 1 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 12. "INT_MASK_VIP1_MULT_ANCA_SRC6,The interrupt for Video Input 1 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 11. "INT_MASK_VIP1_MULT_ANCA_SRC5,The interrupt for Video Input 1 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 10. "INT_MASK_VIP1_MULT_ANCA_SRC4,The interrupt for Video Input 1 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 9. "INT_MASK_VIP1_MULT_ANCA_SRC3,The interrupt for Video Input 1 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 8. "INT_MASK_VIP1_MULT_ANCA_SRC2,The interrupt for Video Input 1 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 7. "INT_MASK_VIP1_MULT_ANCA_SRC1,The interrupt for Video Input 1 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 6. "INT_MASK_VIP1_MULT_ANCA_SRC0,The interrupt for Video Input 1 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 5. "INT_MASK_VIP1_MULT_PORTB_SRC15,The interrupt for Video Input 1 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 4. "INT_MASK_VIP1_MULT_PORTB_SRC14,The interrupt for Video Input 1 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 3. "INT_MASK_VIP1_MULT_PORTB_SRC13,The interrupt for Video Input 1 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 2. "INT_MASK_VIP1_MULT_PORTB_SRC12,The interrupt for Video Input 1 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 1. "INT_MASK_VIP1_MULT_PORTB_SRC11,The interrupt for Video Input 1 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x24 0. "INT_MASK_VIP1_MULT_PORTB_SRC10,The interrupt for Video Input 1 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x28 "VPE_INT0_CHANNEL3_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x28 31. "INT_STAT_VIP2_MULT_PORTB_SRC3,The last write DMA transaction has completed for channel vip2_mult_portb_src3" "0,1" newline bitfld.long 0x28 30. "INT_STAT_VIP2_MULT_PORTB_SRC2,The last write DMA transaction has completed for channel vip2_mult_portb_src2" "0,1" newline bitfld.long 0x28 29. "INT_STAT_VIP2_MULT_PORTB_SRC1,The last write DMA transaction has completed for channel vip2_mult_portb_src1" "0,1" newline bitfld.long 0x28 28. "INT_STAT_VIP2_MULT_PORTB_SRC0,The last write DMA transaction has completed for channel vip2_mult_portb_src0" "0,1" newline bitfld.long 0x28 27. "INT_STAT_VIP2_MULT_PORTA_SRC15,The last write DMA transaction has completed for channel vip2_mult_porta_src15" "0,1" newline bitfld.long 0x28 26. "INT_STAT_VIP2_MULT_PORTA_SRC14,The last write DMA transaction has completed for channel vip2_mult_porta_src14" "0,1" newline bitfld.long 0x28 25. "INT_STAT_VIP2_MULT_PORTA_SRC13,The last write DMA transaction has completed for channel vip2_mult_porta_src13" "0,1" newline bitfld.long 0x28 24. "INT_STAT_VIP2_MULT_PORTA_SRC12,The last write DMA transaction has completed for channel vip2_mult_porta_src12" "0,1" newline bitfld.long 0x28 23. "INT_STAT_VIP2_MULT_PORTA_SRC11,The last write DMA transaction has completed for channel vip2_mult_porta_src11" "0,1" newline bitfld.long 0x28 22. "INT_STAT_VIP2_MULT_PORTA_SRC10,The last write DMA transaction has completed for channel vip2_mult_porta_src10" "0,1" newline bitfld.long 0x28 21. "INT_STAT_VIP2_MULT_PORTA_SRC9,The last write DMA transaction has completed for channel vip2_mult_porta_src9" "0,1" newline bitfld.long 0x28 20. "INT_STAT_VIP2_MULT_PORTA_SRC8,The last write DMA transaction has completed for channel vip2_mult_porta_src8" "0,1" newline bitfld.long 0x28 19. "INT_STAT_VIP2_MULT_PORTA_SRC7,The last write DMA transaction has completed for channel vip2_mult_porta_src7" "0,1" newline bitfld.long 0x28 18. "INT_STAT_VIP2_MULT_PORTA_SRC6,The last write DMA transaction has completed for channel vip2_mult_porta_src6" "0,1" newline bitfld.long 0x28 17. "INT_STAT_VIP2_MULT_PORTA_SRC5,The last write DMA transaction has completed for channel vip2_mult_porta_src5" "0,1" newline bitfld.long 0x28 16. "INT_STAT_VIP2_MULT_PORTA_SRC4,The last write DMA transaction has completed for channel vip2_mult_porta_src4" "0,1" newline bitfld.long 0x28 15. "INT_STAT_VIP2_MULT_PORTA_SRC3,The last write DMA transaction has completed for channel vip2_mult_porta_src3" "0,1" newline bitfld.long 0x28 14. "INT_STAT_VIP2_MULT_PORTA_SRC2,The last write DMA transaction has completed for channel vip2_mult_porta_src2" "0,1" newline bitfld.long 0x28 13. "INT_STAT_VIP2_MULT_PORTA_SRC1,The last write DMA transaction has completed for channel vip2_mult_porta_src1" "0,1" newline bitfld.long 0x28 12. "INT_STAT_VIP2_MULT_PORTA_SRC0,The last write DMA transaction has completed for channel vip2_mult_porta_src0" "0,1" newline bitfld.long 0x28 11. "INT_STAT_VIP1_PORTB_RGB,The last write DMA transaction has completed for channel vip1_portb_rgb" "0,1" newline bitfld.long 0x28 10. "INT_STAT_VIP1_PORTA_RGB,The last write DMA transaction has completed for channel vip1_porta_rgb" "0,1" newline bitfld.long 0x28 9. "INT_STAT_VIP1_PORTB_CHROMA,The last write DMA transaction has completed for channel vip1_portb_chroma" "0,1" newline bitfld.long 0x28 8. "INT_STAT_VIP1_PORTB_LUMA,The last write DMA transaction has completed for channel vip1_portb_luma" "0,1" newline bitfld.long 0x28 7. "INT_STAT_VIP1_PORTA_CHROMA,The last write DMA transaction has completed for channel vip1_porta_chroma" "0,1" newline bitfld.long 0x28 6. "INT_STAT_VIP1_PORTA_LUMA,The last write DMA transaction has completed for channel vip1_porta_luma" "0,1" newline bitfld.long 0x28 5. "INT_STAT_VIP1_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip1_mult_ancb_src15" "0,1" newline bitfld.long 0x28 4. "INT_STAT_VIP1_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip1_mult_ancb_src14" "0,1" newline bitfld.long 0x28 3. "INT_STAT_VIP1_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip1_mult_ancb_src13" "0,1" newline bitfld.long 0x28 2. "INT_STAT_VIP1_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip1_mult_ancb_src12" "0,1" newline bitfld.long 0x28 1. "INT_STAT_VIP1_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip1_mult_ancb_src11" "0,1" newline bitfld.long 0x28 0. "INT_STAT_VIP1_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip1_mult_ancb_src10" "0,1" line.long 0x2C "VPE_INT0_CHANNEL3_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x2C 31. "INT_MASK_VIP2_MULT_PORTB_SRC3,The interrupt for Video Input 2 Port B Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 30. "INT_MASK_VIP2_MULT_PORTB_SRC2,The interrupt for Video Input 2 Port B Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 29. "INT_MASK_VIP2_MULT_PORTB_SRC1,The interrupt for Video Input 2 Port B Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 28. "INT_MASK_VIP2_MULT_PORTB_SRC0,The interrupt for Video Input 2 Port B Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 27. "INT_MASK_VIP2_MULT_PORTA_SRC15,The interrupt for Video Input 2 Port A Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 26. "INT_MASK_VIP2_MULT_PORTA_SRC14,The interrupt for Video Input 2 Port A Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 25. "INT_MASK_VIP2_MULT_PORTA_SRC13,The interrupt for Video Input 2 Port A Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 24. "INT_MASK_VIP2_MULT_PORTA_SRC12,The interrupt for Video Input 2 Port A Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 23. "INT_MASK_VIP2_MULT_PORTA_SRC11,The interrupt for Video Input 2 Port A Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 22. "INT_MASK_VIP2_MULT_PORTA_SRC10,The interrupt for Video Input 2 Port A Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 21. "INT_MASK_VIP2_MULT_PORTA_SRC9,The interrupt for Video Input 2 Port A Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 20. "INT_MASK_VIP2_MULT_PORTA_SRC8,The interrupt for Video Input 2 Port A Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 19. "INT_MASK_VIP2_MULT_PORTA_SRC7,The interrupt for Video Input 2 Port A Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 18. "INT_MASK_VIP2_MULT_PORTA_SRC6,The interrupt for Video Input 2 Port A Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 17. "INT_MASK_VIP2_MULT_PORTA_SRC5,The interrupt for Video Input 2 Port A Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 16. "INT_MASK_VIP2_MULT_PORTA_SRC4,The interrupt for Video Input 2 Port A Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 15. "INT_MASK_VIP2_MULT_PORTA_SRC3,The interrupt for Video Input 2 Port A Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 14. "INT_MASK_VIP2_MULT_PORTA_SRC2,The interrupt for Video Input 2 Port A Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 13. "INT_MASK_VIP2_MULT_PORTA_SRC1,The interrupt for Video Input 2 Port A Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 12. "INT_MASK_VIP2_MULT_PORTA_SRC0,The interrupt for Video Input 2 Port A Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 11. "INT_MASK_VIP1_PORTB_RGB,The interrupt for Video Input 1 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 10. "INT_MASK_VIP1_PORTA_RGB,The interrupt for Video Input 1 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 9. "INT_MASK_VIP1_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 8. "INT_MASK_VIP1_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 7. "INT_MASK_VIP1_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 6. "INT_MASK_VIP1_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 5. "INT_MASK_VIP1_MULT_ANCB_SRC15,The interrupt for Video Input 1 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 4. "INT_MASK_VIP1_MULT_ANCB_SRC14,The interrupt for Video Input 1 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 3. "INT_MASK_VIP1_MULT_ANCB_SRC13,The interrupt for Video Input 1 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 2. "INT_MASK_VIP1_MULT_ANCB_SRC12,The interrupt for Video Input 1 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 1. "INT_MASK_VIP1_MULT_ANCB_SRC11,The interrupt for Video Input 1 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x2C 0. "INT_MASK_VIP1_MULT_ANCB_SRC10,The interrupt for Video Input 1 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x30 "VPE_INT0_CHANNEL4_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x30 31. "INT_STAT_VIP2_MULT_ANCB_SRC3,The last write DMA transaction has completed for channel vip2_mult_ancb_src3" "0,1" newline bitfld.long 0x30 30. "INT_STAT_VIP2_MULT_ANCB_SRC2,The last write DMA transaction has completed for channel vip2_mult_ancb_src2" "0,1" newline bitfld.long 0x30 29. "INT_STAT_VIP2_MULT_ANCB_SRC1,The last write DMA transaction has completed for channel vip2_mult_ancb_src1" "0,1" newline bitfld.long 0x30 28. "INT_STAT_VIP2_MULT_ANCB_SRC0,The last write DMA transaction has completed for channel vip2_mult_ancb_src0" "0,1" newline bitfld.long 0x30 27. "INT_STAT_VIP2_MULT_ANCA_SRC15,The last write DMA transaction has completed for channel vip2_mult_anca_src15" "0,1" newline bitfld.long 0x30 26. "INT_STAT_VIP2_MULT_ANCA_SRC14,The last write DMA transaction has completed for channel vip2_mult_anca_src14" "0,1" newline bitfld.long 0x30 25. "INT_STAT_VIP2_MULT_ANCA_SRC13,The last write DMA transaction has completed for channel vip2_mult_anca_src13" "0,1" newline bitfld.long 0x30 24. "INT_STAT_VIP2_MULT_ANCA_SRC12,The last write DMA transaction has completed for channel vip2_mult_anca_src12" "0,1" newline bitfld.long 0x30 23. "INT_STAT_VIP2_MULT_ANCA_SRC11,The last write DMA transaction has completed for channel vip2_mult_anca_src11" "0,1" newline bitfld.long 0x30 22. "INT_STAT_VIP2_MULT_ANCA_SRC10,The last write DMA transaction has completed for channel vip2_mult_anca_src10" "0,1" newline bitfld.long 0x30 21. "INT_STAT_VIP2_MULT_ANCA_SRC9,The last write DMA transaction has completed for channel vip2_mult_anca_src9" "0,1" newline bitfld.long 0x30 20. "INT_STAT_VIP2_MULT_ANCA_SRC8,The last write DMA transaction has completed for channel vip2_mult_anca_src8" "0,1" newline bitfld.long 0x30 19. "INT_STAT_VIP2_MULT_ANCA_SRC7,The last write DMA transaction has completed for channel vip2_mult_anca_src7" "0,1" newline bitfld.long 0x30 18. "INT_STAT_VIP2_MULT_ANCA_SRC6,The last write DMA transaction has completed for channel vip2_mult_anca_src6" "0,1" newline bitfld.long 0x30 17. "INT_STAT_VIP2_MULT_ANCA_SRC5,The last write DMA transaction has completed for channel vip2_mult_anca_src5" "0,1" newline bitfld.long 0x30 16. "INT_STAT_VIP2_MULT_ANCA_SRC4,The last write DMA transaction has completed for channel vip2_mult_anca_src4" "0,1" newline bitfld.long 0x30 15. "INT_STAT_VIP2_MULT_ANCA_SRC3,The last write DMA transaction has completed for channel vip2_mult_anca_src3" "0,1" newline bitfld.long 0x30 14. "INT_STAT_VIP2_MULT_ANCA_SRC2,The last write DMA transaction has completed for channel vip2_mult_anca_src2" "0,1" newline bitfld.long 0x30 13. "INT_STAT_VIP2_MULT_ANCA_SRC1,The last write DMA transaction has completed for channel vip2_mult_anca_src1" "0,1" newline bitfld.long 0x30 12. "INT_STAT_VIP2_MULT_ANCA_SRC0,The last write DMA transaction has completed for channel vip2_mult_anca_src0" "0,1" newline bitfld.long 0x30 11. "INT_STAT_VIP2_MULT_PORTB_SRC15,The last write DMA transaction has completed for channel vip2_mult_portb_src15" "0,1" newline bitfld.long 0x30 10. "INT_STAT_VIP2_MULT_PORTB_SRC14,The last write DMA transaction has completed for channel vip2_mult_portb_src14" "0,1" newline bitfld.long 0x30 9. "INT_STAT_VIP2_MULT_PORTB_SRC13,The last write DMA transaction has completed for channel vip2_mult_portb_src13" "0,1" newline bitfld.long 0x30 8. "INT_STAT_VIP2_MULT_PORTB_SRC12,The last write DMA transaction has completed for channel vip2_mult_portb_src12" "0,1" newline bitfld.long 0x30 7. "INT_STAT_VIP2_MULT_PORTB_SRC11,The last write DMA transaction has completed for channel vip2_mult_portb_src11" "0,1" newline bitfld.long 0x30 6. "INT_STAT_VIP2_MULT_PORTB_SRC10,The last write DMA transaction has completed for channel vip2_mult_portb_src10" "0,1" newline bitfld.long 0x30 5. "INT_STAT_VIP2_MULT_PORTB_SRC9,The last write DMA transaction has completed for channel vip2_mult_portb_src9" "0,1" newline bitfld.long 0x30 4. "INT_STAT_VIP2_MULT_PORTB_SRC8,The last write DMA transaction has completed for channel vip2_mult_portb_src8" "0,1" newline bitfld.long 0x30 3. "INT_STAT_VIP2_MULT_PORTB_SRC7,The last write DMA transaction has completed for channel vip2_mult_portb_src7" "0,1" newline bitfld.long 0x30 2. "INT_STAT_VIP2_MULT_PORTB_SRC6,The last write DMA transaction has completed for channel vip2_mult_portb_src6" "0,1" newline bitfld.long 0x30 1. "INT_STAT_VIP2_MULT_PORTB_SRC5,The last write DMA transaction has completed for channel vip2_mult_portb_src5" "0,1" newline bitfld.long 0x30 0. "INT_STAT_VIP2_MULT_PORTB_SRC4,The last write DMA transaction has completed for channel vip2_mult_portb_src4" "0,1" line.long 0x34 "VPE_INT0_CHANNEL4_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x34 31. "INT_MASK_VIP2_MULT_ANCB_SRC3,The interrupt for Video Input 2 Port B Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 30. "INT_MASK_VIP2_MULT_ANCB_SRC2,The interrupt for Video Input 2 Port B Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 29. "INT_MASK_VIP2_MULT_ANCB_SRC1,The interrupt for Video Input 2 Port B Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 28. "INT_MASK_VIP2_MULT_ANCB_SRC0,The interrupt for Video Input 2 Port B Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 27. "INT_MASK_VIP2_MULT_ANCA_SRC15,The interrupt for Video Input 2 Port A Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 26. "INT_MASK_VIP2_MULT_ANCA_SRC14,The interrupt for Video Input 2 Port A Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 25. "INT_MASK_VIP2_MULT_ANCA_SRC13,The interrupt for Video Input 2 Port A Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 24. "INT_MASK_VIP2_MULT_ANCA_SRC12,The interrupt for Video Input 2 Port A Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 23. "INT_MASK_VIP2_MULT_ANCA_SRC11,The interrupt for Video Input 2 Port A Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 22. "INT_MASK_VIP2_MULT_ANCA_SRC10,The interrupt for Video Input 2 Port A Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 21. "INT_MASK_VIP2_MULT_ANCA_SRC9,The interrupt for Video Input 2 Port A Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 20. "INT_MASK_VIP2_MULT_ANCA_SRC8,The interrupt for Video Input 2 Port A Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 19. "INT_MASK_VIP2_MULT_ANCA_SRC7,The interrupt for Video Input 2 Port A Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 18. "INT_MASK_VIP2_MULT_ANCA_SRC6,The interrupt for Video Input 2 Port A Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 17. "INT_MASK_VIP2_MULT_ANCA_SRC5,The interrupt for Video Input 2 Port A Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 16. "INT_MASK_VIP2_MULT_ANCA_SRC4,The interrupt for Video Input 2 Port A Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 15. "INT_MASK_VIP2_MULT_ANCA_SRC3,The interrupt for Video Input 2 Port A Ancillary Data Channel 3 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 14. "INT_MASK_VIP2_MULT_ANCA_SRC2,The interrupt for Video Input 2 Port A Ancillary Data Channel 2 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 13. "INT_MASK_VIP2_MULT_ANCA_SRC1,The interrupt for Video Input 2 Port A Ancillary Data Channel 1 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 12. "INT_MASK_VIP2_MULT_ANCA_SRC0,The interrupt for Video Input 2 Port A Ancillary Data Channel 0 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 11. "INT_MASK_VIP2_MULT_PORTB_SRC15,The interrupt for Video Input 2 Port B Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 10. "INT_MASK_VIP2_MULT_PORTB_SRC14,The interrupt for Video Input 2 Port B Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 9. "INT_MASK_VIP2_MULT_PORTB_SRC13,The interrupt for Video Input 2 Port B Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 8. "INT_MASK_VIP2_MULT_PORTB_SRC12,The interrupt for Video Input 2 Port B Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 7. "INT_MASK_VIP2_MULT_PORTB_SRC11,The interrupt for Video Input 2 Port B Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 6. "INT_MASK_VIP2_MULT_PORTB_SRC10,The interrupt for Video Input 2 Port B Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 5. "INT_MASK_VIP2_MULT_PORTB_SRC9,The interrupt for Video Input 2 Port B Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 4. "INT_MASK_VIP2_MULT_PORTB_SRC8,The interrupt for Video Input 2 Port B Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 3. "INT_MASK_VIP2_MULT_PORTB_SRC7,The interrupt for Video Input 2 Port B Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 2. "INT_MASK_VIP2_MULT_PORTB_SRC6,The interrupt for Video Input 2 Port B Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 1. "INT_MASK_VIP2_MULT_PORTB_SRC5,The interrupt for Video Input 2 Port B Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x34 0. "INT_MASK_VIP2_MULT_PORTB_SRC4,The interrupt for Video Input 2 Port B Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x38 "VPE_INT0_CHANNEL5_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x38 31. "INT_STAT_TRANSCODE2_CHROMA,The last write DMA transaction has completed for channel transcode2_chroma" "0,1" newline bitfld.long 0x38 30. "INT_STAT_TRANSCODE2_LUMA,The last write DMA transaction has completed for channel transcode2_luma" "0,1" newline bitfld.long 0x38 29. "INT_STAT_TRANSCODE1_CHROMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x38 28. "INT_STAT_TRANSCODE1_LUMA,The last write DMA transaction has completed for channel transcode1_luma" "0,1" newline bitfld.long 0x38 27. "INT_STAT_AUX_IN,The last read DMA transaction has occurred for channel aux_in and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x38 26. "INT_STAT_PIP_FRAME,The last read DMA transaction has occurred for channel pip_frame and the channel is free to be updated for the next transfer" "0,1" newline bitfld.long 0x38 25. "INT_STAT_POST_COMP_WR,The last write DMA transaction has completed for channel post_comp_wr" "0,1" newline bitfld.long 0x38 24. "INT_STAT_VBI_SD_VENC,The last read DMA transaction has occurred for channel vbi_sd_venc and the channel is free to be updated for the next transfer" "0,1" newline rbitfld.long 0x38 23. "RESERVED,Reserved" "0,1" newline bitfld.long 0x38 22. "INT_STAT_NF_LAST_CHROMA,The last write DMA transaction has completed for channel nf_last_chroma" "0,1" newline bitfld.long 0x38 21. "INT_STAT_NF_LAST_LUMA,The last write DMA transaction has completed for channel nf_last_luma" "0,1" newline bitfld.long 0x38 20. "INT_STAT_NF_WRITE_CHROMA,The last write DMA transaction has completed for channel nf_write_chroma" "0,1" newline bitfld.long 0x38 19. "INT_STAT_NF_WRITE_LUMA,The last write DMA transaction has completed for channel nf_write_luma" "0,1" newline bitfld.long 0x38 18. "INT_STAT_OTHER,This event will cause a one to be set in this register until cleared by software" "0,1" newline bitfld.long 0x38 17. "INT_STAT_VIP2_PORTB_RGB,The last write DMA transaction has completed for channel vip2_portb_rgb" "0,1" newline bitfld.long 0x38 16. "INT_STAT_VIP2_PORTA_RGB,The last write DMA transaction has completed for channel vip2_porta_rgb" "0,1" newline bitfld.long 0x38 15. "INT_STAT_VIP2_PORTB_CHROMA,The last write DMA transaction has completed for channel vip2_portb_chroma" "0,1" newline bitfld.long 0x38 14. "INT_STAT_VIP2_PORTB_LUMA,The last write DMA transaction has completed for channel vip2_portb_luma" "0,1" newline bitfld.long 0x38 13. "INT_STAT_VIP2_PORTA_CHROMA,The last write DMA transaction has completed for channel vip2_porta_chroma" "0,1" newline bitfld.long 0x38 12. "INT_STAT_VIP2_PORTA_LUMA,The last write DMA transaction has completed for channel vip2_porta_luma" "0,1" newline bitfld.long 0x38 11. "INT_STAT_VIP2_MULT_ANCB_SRC15,The last write DMA transaction has completed for channel vip2_mult_ancb_src15" "0,1" newline bitfld.long 0x38 10. "INT_STAT_VIP2_MULT_ANCB_SRC14,The last write DMA transaction has completed for channel vip2_mult_ancb_src14" "0,1" newline bitfld.long 0x38 9. "INT_STAT_VIP2_MULT_ANCB_SRC13,The last write DMA transaction has completed for channel vip2_mult_ancb_src13" "0,1" newline bitfld.long 0x38 8. "INT_STAT_VIP2_MULT_ANCB_SRC12,The last write DMA transaction has completed for channel vip2_mult_ancb_src12" "0,1" newline bitfld.long 0x38 7. "INT_STAT_VIP2_MULT_ANCB_SRC11,The last write DMA transaction has completed for channel vip2_mult_ancb_src11" "0,1" newline bitfld.long 0x38 6. "INT_STAT_VIP2_MULT_ANCB_SRC10,The last write DMA transaction has completed for channel vip2_mult_ancb_src10" "0,1" newline bitfld.long 0x38 5. "INT_STAT_VIP2_MULT_ANCB_SRC9,The last write DMA transaction has completed for channel vip2_mult_ancb_src9" "0,1" newline bitfld.long 0x38 4. "INT_STAT_VIP2_MULT_ANCB_SRC8,The last write DMA transaction has completed for channel vip2_mult_ancb_src8" "0,1" newline bitfld.long 0x38 3. "INT_STAT_VIP2_MULT_ANCB_SRC7,The last write DMA transaction has completed for channel vip2_mult_ancb_src7" "0,1" newline bitfld.long 0x38 2. "INT_STAT_VIP2_MULT_ANCB_SRC6,The last write DMA transaction has completed for channel vip2_mult_ancb_src6" "0,1" newline bitfld.long 0x38 1. "INT_STAT_VIP2_MULT_ANCB_SRC5,The last write DMA transaction has completed for channel vip2_mult_ancb_src5" "0,1" newline bitfld.long 0x38 0. "INT_STAT_VIP2_MULT_ANCB_SRC4,The last write DMA transaction has completed for channel vip2_mult_ancb_src4" "0,1" line.long 0x3C "VPE_INT0_CHANNEL5_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x3C 31. "INT_MASK_TRANSCODE2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 30. "INT_MASK_TRANSCODE2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 29. "INT_MASK_TRANSCODE1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 28. "INT_MASK_TRANSCODE1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 27. "INT_MASK_AUX_IN,The interrupt for Auxilary Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 26. "INT_MASK_PIP_FRAME,The interrupt for PIP Data for the Compositor Frame From Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 25. "INT_MASK_POST_COMP_WR,The interrupt for Post Compositer Writeback to Memory should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 24. "INT_MASK_VBI_SD_VENC,The interrupt for SD Video Encoder VBI Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x3C 23. "RESERVED,Reserved" "0,1" newline bitfld.long 0x3C 22. "INT_MASK_NF_LAST_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 21. "INT_MASK_NF_LAST_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 20. "INT_MASK_NF_WRITE_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 19. "INT_MASK_NF_WRITE_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 18. "INT_MASK_OTHER,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 17. "INT_MASK_VIP2_PORTB_RGB,The interrupt for Video Input 2 Port B RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 16. "INT_MASK_VIP2_PORTA_RGB,The interrupt for Video Input 2 Port A RGB Data should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 15. "INT_MASK_VIP2_PORTB_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 14. "INT_MASK_VIP2_PORTB_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 13. "INT_MASK_VIP2_PORTA_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 12. "INT_MASK_VIP2_PORTA_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 11. "INT_MASK_VIP2_MULT_ANCB_SRC15,The interrupt for Video Input 2 Port B Ancillary Data Channel 15 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 10. "INT_MASK_VIP2_MULT_ANCB_SRC14,The interrupt for Video Input 2 Port B Ancillary Data Channel 14 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 9. "INT_MASK_VIP2_MULT_ANCB_SRC13,The interrupt for Video Input 2 Port B Ancillary Data Channel 13 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 8. "INT_MASK_VIP2_MULT_ANCB_SRC12,The interrupt for Video Input 2 Port B Ancillary Data Channel 12 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 7. "INT_MASK_VIP2_MULT_ANCB_SRC11,The interrupt for Video Input 2 Port B Ancillary Data Channel 11 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 6. "INT_MASK_VIP2_MULT_ANCB_SRC10,The interrupt for Video Input 2 Port B Ancillary Data Channel 10 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 5. "INT_MASK_VIP2_MULT_ANCB_SRC9,The interrupt for Video Input 2 Port B Ancillary Data Channel 9 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 4. "INT_MASK_VIP2_MULT_ANCB_SRC8,The interrupt for Video Input 2 Port B Ancillary Data Channel 8 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 3. "INT_MASK_VIP2_MULT_ANCB_SRC7,The interrupt for Video Input 2 Port B Ancillary Data Channel 7 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 2. "INT_MASK_VIP2_MULT_ANCB_SRC6,The interrupt for Video Input 2 Port B Ancillary Data Channel 6 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 1. "INT_MASK_VIP2_MULT_ANCB_SRC5,The interrupt for Video Input 2 Port B Ancillary Data Channel 5 should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x3C 0. "INT_MASK_VIP2_MULT_ANCB_SRC4,The interrupt for Video Input 2 Port B Ancillary Data Channel 4 should generate an interrupt on interrupt vpdma_int0" "0,1" group.long 0x78++0x17 line.long 0x00 "VPE_INT0_CLIENT0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x00 31. "INT_STAT_GRPX1_DATA,The client interface grpx1_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 30. "INT_STAT_COMP_WRBK,The client interface comp_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 29. "INT_STAT_SC_OUT,The client interface sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline hexmask.long.byte 0x00 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x00 20. "INT_STAT_SC_IN_LUMA,The client interface sc_in_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 19. "INT_STAT_SC_IN_CHROMA,The client interface sc_in_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 18. "INT_STAT_PIP_WRBK,The client interface pip_wrbk has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 17. "INT_STAT_DEI_SC_OUT,The client interface dei_sc_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 16. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 15. "INT_STAT_DEI_HQ_MV_OUT,The client interface dei_hq_mv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x00 12. "INT_STAT_DEI_HQ_MV_IN,The client interface dei_hq_mv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x00 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 5. "INT_STAT_DEI_HQ_3_CHROMA,The client interface dei_hq_3_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 4. "INT_STAT_DEI_HQ_3_LUMA,The client interface dei_hq_3_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 3. "INT_STAT_DEI_HQ_2_CHROMA,The client interface dei_hq_2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 2. "INT_STAT_DEI_HQ_2_LUMA,The client interface dei_hq_2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 1. "INT_STAT_DEI_HQ_1_LUMA,The client interface dei_hq_1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x00 0. "INT_STAT_DEI_HQ_1_CHROMA,The client interface dei_hq_1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x04 "VPE_INT0_CLIENT0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x04 31. "INT_MASK_GRPX1_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 30. "INT_MASK_COMP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 29. "INT_MASK_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline hexmask.long.byte 0x04 21.--28. 1. "RESERVED,Reserved" newline bitfld.long 0x04 20. "INT_MASK_SC_IN_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 19. "INT_MASK_SC_IN_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 18. "INT_MASK_PIP_WRBK,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 17. "INT_MASK_DEI_SC_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 16. "RESERVED,Reserved" "0,1" newline bitfld.long 0x04 15. "INT_MASK_DEI_HQ_MV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 13.--14. "RESERVED,Reserved" "0,1,2,3" newline bitfld.long 0x04 12. "INT_MASK_DEI_HQ_MV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x04 6.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x04 5. "INT_MASK_DEI_HQ_3_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 4. "INT_MASK_DEI_HQ_3_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 3. "INT_MASK_DEI_HQ_2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 2. "INT_MASK_DEI_HQ_2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 1. "INT_MASK_DEI_HQ_1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x04 0. "INT_MASK_DEI_HQ_1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x08 "VPE_INT0_CLIENT1_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" rbitfld.long 0x08 31. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x08 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 29. "INT_STAT_VIP2_ANC_B,The client interface vip2_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 28. "INT_STAT_VIP2_ANC_A,The client interface vip2_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 27. "INT_STAT_VIP1_ANC_B,The client interface vip1_anc_b has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 26. "INT_STAT_VIP1_ANC_A,The client interface vip1_anc_a has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 25. "INT_STAT_TRANS2_LUMA,The client interface trans2_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 24. "INT_STAT_TRANS2_CHROMA,The client interface trans2_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 23. "INT_STAT_TRANS1_LUMA,The client interface trans1_luma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 22. "INT_STAT_TRANS1_CHROMA,The client interface trans1_chroma has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 21. "INT_STAT_HDMI_WRBK_OUT,The client interface hdmi_wrbk_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 20. "INT_STAT_VPI_CTL,The client interface vpi_ctl has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 19. "INT_STAT_VBI_SDVENC,The client interface vbi_sdvenc has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline rbitfld.long 0x08 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x08 17. "INT_STAT_NF_420_UV_OUT,The client interface nf_420_uv_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 16. "INT_STAT_NF_420_Y_OUT,The client interface nf_420_y_out has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 15. "INT_STAT_NF_420_UV_IN,The client interface nf_420_uv_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 14. "INT_STAT_NF_420_Y_IN,The client interface nf_420_y_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 13. "INT_STAT_NF_422_IN,The client interface nf_422_in has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 12. "INT_STAT_GRPX3_ST,The client interface grpx3_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 11. "INT_STAT_GRPX2_ST,The client interface grpx2_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 10. "INT_STAT_GRPX1_ST,The client interface grpx1_st has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 9. "INT_STAT_VIP2_UP_UV,The client interface vip2_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 8. "INT_STAT_VIP2_UP_Y,The client interface vip2_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 7. "INT_STAT_VIP2_LO_UV,The client interface vip2_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 6. "INT_STAT_VIP2_LO_Y,The client interface vip2_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 5. "INT_STAT_VIP1_UP_UV,The client interface vip1_up_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 4. "INT_STAT_VIP1_UP_Y,The client interface vip1_up_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 3. "INT_STAT_VIP1_LO_UV,The client interface vip1_lo_uv has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 2. "INT_STAT_VIP1_LO_Y,The client interface vip1_lo_y has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 1. "INT_STAT_GRPX3_DATA,The client interface grpx3_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" newline bitfld.long 0x08 0. "INT_STAT_GRPX2_DATA,The client interface grpx2_data has reached its current configured interrupt event as specified by the last received control descriptor for this client" "0,1" line.long 0x0C "VPE_INT0_CLIENT1_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" rbitfld.long 0x0C 31. "RESERVED,Reserved" "0,1" newline rbitfld.long 0x0C 30. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 29. "INT_MASK_VIP2_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 28. "INT_MASK_VIP2_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 27. "INT_MASK_VIP1_ANC_B,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 26. "INT_MASK_VIP1_ANC_A,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 25. "INT_MASK_TRANS2_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 24. "INT_MASK_TRANS2_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 23. "INT_MASK_TRANS1_LUMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 22. "INT_MASK_TRANS1_CHROMA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 21. "INT_MASK_HDMI_WRBK_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 20. "INT_MASK_VPI_CTL,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 19. "INT_MASK_VBI_SDVENC,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline rbitfld.long 0x0C 18. "RESERVED,Reserved" "0,1" newline bitfld.long 0x0C 17. "INT_MASK_NF_420_UV_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 16. "INT_MASK_NF_420_Y_OUT,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 15. "INT_MASK_NF_420_UV_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 14. "INT_MASK_NF_420_Y_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 13. "INT_MASK_NF_422_IN,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 12. "INT_MASK_GRPX3_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 11. "INT_MASK_GRPX2_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 10. "INT_MASK_GRPX1_ST,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 9. "INT_MASK_VIP2_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 8. "INT_MASK_VIP2_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 7. "INT_MASK_VIP2_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 6. "INT_MASK_VIP2_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 5. "INT_MASK_VIP1_UP_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 4. "INT_MASK_VIP1_UP_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 3. "INT_MASK_VIP1_LO_UV,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 2. "INT_MASK_VIP1_LO_Y,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 1. "INT_MASK_GRPX3_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x0C 0. "INT_MASK_GRPX2_DATA,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" line.long 0x10 "VPE_INT0_LIST0_INT_STAT,This register gives the information of the interrupts that have triggered since last cleared by the process that is servicing vpdma_int0" bitfld.long 0x10 31. "INT_STAT_CONTROL_DESCRIPTOR_INT15,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 15" "0,1" newline bitfld.long 0x10 30. "INT_STAT_CONTROL_DESCRIPTOR_INT14,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 14" "0,1" newline bitfld.long 0x10 29. "INT_STAT_CONTROL_DESCRIPTOR_INT13,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 13" "0,1" newline bitfld.long 0x10 28. "INT_STAT_CONTROL_DESCRIPTOR_INT12,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 12" "0,1" newline bitfld.long 0x10 27. "INT_STAT_CONTROL_DESCRIPTOR_INT11,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 11" "0,1" newline bitfld.long 0x10 26. "INT_STAT_CONTROL_DESCRIPTOR_INT10,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 10" "0,1" newline bitfld.long 0x10 25. "INT_STAT_CONTROL_DESCRIPTOR_INT9,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 9" "0,1" newline bitfld.long 0x10 24. "INT_STAT_CONTROL_DESCRIPTOR_INT8,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 8" "0,1" newline bitfld.long 0x10 23. "INT_STAT_CONTROL_DESCRIPTOR_INT7,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 7" "0,1" newline bitfld.long 0x10 22. "INT_STAT_CONTROL_DESCRIPTOR_INT6,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 6" "0,1" newline bitfld.long 0x10 21. "INT_STAT_CONTROL_DESCRIPTOR_INT5,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 5" "0,1" newline bitfld.long 0x10 20. "INT_STAT_CONTROL_DESCRIPTOR_INT4,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 4" "0,1" newline bitfld.long 0x10 19. "INT_STAT_CONTROL_DESCRIPTOR_INT3,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 3" "0,1" newline bitfld.long 0x10 18. "INT_STAT_CONTROL_DESCRIPTOR_INT2,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 2" "0,1" newline bitfld.long 0x10 17. "INT_STAT_CONTROL_DESCRIPTOR_INT1,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 1" "0,1" newline bitfld.long 0x10 16. "INT_STAT_CONTROL_DESCRIPTOR_INT0,A Send Interrupt Control Descriptor has been received by the list manager with a source value of 0" "0,1" newline bitfld.long 0x10 15. "INT_STAT_LIST7_NOTIFY,A channel set by List 7 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 14. "INT_STAT_LIST7_COMPLETE,List 7 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 13. "INT_STAT_LIST6_NOTIFY,A channel set by List 6 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 12. "INT_STAT_LIST6_COMPLETE,List 6 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 11. "INT_STAT_LIST5_NOTIFY,A channel set by List 5 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 10. "INT_STAT_LIST5_COMPLETE,List 5 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 9. "INT_STAT_LIST4_NOTIFY,A channel set by List 4 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 8. "INT_STAT_LIST4_COMPLETE,List 4 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 7. "INT_STAT_LIST3_NOTIFY,A channel set by List 3 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 6. "INT_STAT_LIST3_COMPLETE,List 3 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 5. "INT_STAT_LIST2_NOTIFY,A channel set by List 2 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 4. "INT_STAT_LIST2_COMPLETE,List 2 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 3. "INT_STAT_LIST1_NOTIFY,A channel set by List 1 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 2. "INT_STAT_LIST1_COMPLETE,List 1 has completed and a new list can be loaded" "0,1" newline bitfld.long 0x10 1. "INT_STAT_LIST0_NOTIFY,A channel set by List 0 has completed and the Notify bit had been set in the descriptor for that channel" "0,1" newline bitfld.long 0x10 0. "INT_STAT_LIST0_COMPLETE,List 0 has completed and a new list can be loaded" "0,1" line.long 0x14 "VPE_INT0_LIST0_INT_MASK,The register gives the information of the interrupts that should be masked and not generate an interrupt for vpdma_int0" bitfld.long 0x14 31. "INT_MASK_CONTROL_DESCRIPTOR_INT15,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 30. "INT_MASK_CONTROL_DESCRIPTOR_INT14,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 29. "INT_MASK_CONTROL_DESCRIPTOR_INT13,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 28. "INT_MASK_CONTROL_DESCRIPTOR_INT12,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 27. "INT_MASK_CONTROL_DESCRIPTOR_INT11,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 26. "INT_MASK_CONTROL_DESCRIPTOR_INT10,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 25. "INT_MASK_CONTROL_DESCRIPTOR_INT9,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 24. "INT_MASK_CONTROL_DESCRIPTOR_INT8,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 23. "INT_MASK_CONTROL_DESCRIPTOR_INT7,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 22. "INT_MASK_CONTROL_DESCRIPTOR_INT6,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 21. "INT_MASK_CONTROL_DESCRIPTOR_INT5,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 20. "INT_MASK_CONTROL_DESCRIPTOR_INT4,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 19. "INT_MASK_CONTROL_DESCRIPTOR_INT3,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 18. "INT_MASK_CONTROL_DESCRIPTOR_INT2,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 17. "INT_MASK_CONTROL_DESCRIPTOR_INT1,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 16. "INT_MASK_CONTROL_DESCRIPTOR_INT0,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 15. "INT_MASK_LIST7_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 14. "INT_MASK_LIST7_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 13. "INT_MASK_LIST6_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 12. "INT_MASK_LIST6_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 11. "INT_MASK_LIST5_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 10. "INT_MASK_LIST5_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 9. "INT_MASK_LIST4_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 8. "INT_MASK_LIST4_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 7. "INT_MASK_LIST3_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 6. "INT_MASK_LIST3_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 5. "INT_MASK_LIST2_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 4. "INT_MASK_LIST2_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 3. "INT_MASK_LIST1_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 2. "INT_MASK_LIST1_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 1. "INT_MASK_LIST0_NOTIFY,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" newline bitfld.long 0x14 0. "INT_MASK_LIST0_COMPLETE,The interrupt for should generate an interrupt on interrupt vpdma_int0" "0,1" group.long 0x300++0x17 line.long 0x00 "VPE_PRI_CHROMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline bitfld.long 0x00 8.--9. "LINE_MODE,Selects the output mode of the line buffer" "LINE_MODE_0,LINE_MODE_1,LINE_MODE_2,LINE_MODE_3" newline hexmask.long.byte 0x00 0.--7. 1. "RESERVED," line.long 0x04 "VPE_PRI_LUMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x04 0.--9. 1. "RESERVED," line.long 0x08 "VPE_PRI_FLD1_LUMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x08 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x08 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x08 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x08 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x08 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x08 0.--9. 1. "RESERVED," line.long 0x0C "VPE_PRI_FLD1_CHROMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x0C 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x0C 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x0C 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x0C 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x0C 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline bitfld.long 0x0C 8.--9. "LINE_MODE,Selects the output mode of the line buffer" "LINE_MODE_0,LINE_MODE_1,LINE_MODE_2,LINE_MODE_3" newline hexmask.long.byte 0x0C 0.--7. 1. "LINE_NUMBERS," line.long 0x10 "VPE_PRI_FLD2_LUMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x10 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x10 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x10 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x10 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x10 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x10 0.--9. 1. "RESERVED," line.long 0x14 "VPE_PRI_FLD2_CHROMA_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x14 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x14 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x14 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x14 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x14 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline bitfld.long 0x14 8.--9. "LINE_MODE,Selects the output mode of the line buffer" "LINE_MODE_0,LINE_MODE_1,LINE_MODE_2,LINE_MODE_3" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED," group.long 0x330++0x03 line.long 0x00 "VPE_PRI_MV0_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x00 0.--9. 1. "RESERVED," group.long 0x33C++0x03 line.long 0x00 "VPE_PRI_MV_OUT_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x00 0.--9. 1. "RESERVED," group.long 0x390++0x07 line.long 0x00 "VPE_VIP0_UP_Y_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x00 0.--9. 1. "RESERVED," line.long 0x04 "VPE_VIP0_UP_UV_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x04 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x04 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x04 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x04 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x04 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x04 0.--9. 1. "RESERVED," group.long 0x3D0++0x03 line.long 0x00 "VPE_VPI_CTL_CSTAT,The register holds status information and control for the client" hexmask.long.byte 0x00 24.--31. 1. "REQ_DELAY,The minimum number of clock cycles between requests being issued" newline hexmask.long.byte 0x00 16.--23. 1. "REQ_RATE,The number of clock cycles between the last two requests issued" newline rbitfld.long 0x00 15. "BUSY,Signals if the client is currently active" "0,1" newline rbitfld.long 0x00 14. "DMA_ACTIVE,Signals if the client is currently actively sending DMA requests" "0,1" newline bitfld.long 0x00 10.--13. "FRAME_START,The source of the start frame event for the client" "FRAME_START_0,FRAME_START_1,FRAME_START_2,FRAME_START_3,FRAME_START_4,FRAME_START_5,FRAME_START_6,FRAME_START_7,?,?,?,?,?,?,?,?" newline hexmask.long.word 0x00 0.--9. 1. "RESERVED," repeat 11. (list 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 ) group.long ($2+0x29C)++0x03 line.long 0x00 "VPE_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "Running Average,Minimum Value,Maximum Value,Last Value" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "STOP_COUNT_0,STOP_COUNT_1,STOP_COUNT_2,STOP_COUNT_3,STOP_COUNT_4,STOP_COUNT_5,STOP_COUNT_6,STOP_COUNT_7" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "0,1,2,3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "START_COUNT_0,START_COUNT_1,START_COUNT_2,START_COUNT_3,START_COUNT_4,START_COUNT_5,START_COUNT_6,START_COUNT_7" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 10. (list 32. 33. 34. 35. 36. 37. 38. 50. 51. 52. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x48 0x4C 0x50 ) group.long ($2+0x280)++0x03 line.long 0x00 "VPE_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "CAPTURE_MODE_0,CAPTURE_MODE_1,CAPTURE_MODE_2,CAPTURE_MODE_3" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "STOP_CLIENT_0,STOP_CLIENT_1,STOP_CLIENT_2,STOP_CLIENT_3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "STOP_COUNT_0,STOP_COUNT_1,STOP_COUNT_2,STOP_COUNT_3,STOP_COUNT_4,STOP_COUNT_5,STOP_COUNT_6,STOP_COUNT_7" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "START_CLIENT_0,START_CLIENT_1,START_CLIENT_2,START_CLIENT_3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "START_COUNT_0,START_COUNT_1,START_COUNT_2,START_COUNT_3,START_COUNT_4,START_COUNT_5,START_COUNT_6,START_COUNT_7" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x240)++0x03 line.long 0x00 "VPE_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "CAPTURE_MODE_0,CAPTURE_MODE_1,CAPTURE_MODE_2,CAPTURE_MODE_3" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "STOP_CLIENT_0,STOP_CLIENT_1,STOP_CLIENT_2,STOP_CLIENT_3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "STOP_COUNT_0,STOP_COUNT_1,STOP_COUNT_2,STOP_COUNT_3,STOP_COUNT_4,STOP_COUNT_5,STOP_COUNT_6,STOP_COUNT_7" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "START_CLIENT_0,START_CLIENT_1,START_CLIENT_2,START_CLIENT_3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "START_COUNT_0,START_COUNT_1,START_COUNT_2,START_COUNT_3,START_COUNT_4,START_COUNT_5,START_COUNT_6,START_COUNT_7" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x200)++0x03 line.long 0x00 "VPE_PERF_MON$1,The register can be used to capture timing differences between events in the VPDMA" bitfld.long 0x00 30.--31. "CAPTURE_MODE,Sets how the counter should be updated" "CAPTURE_MODE_0,CAPTURE_MODE_1,CAPTURE_MODE_2,CAPTURE_MODE_3" bitfld.long 0x00 28.--29. "STOP_CLIENT,Sets the client whose event stops the performance monitor counter" "STOP_CLIENT_0,STOP_CLIENT_1,STOP_CLIENT_2,STOP_CLIENT_3" newline rbitfld.long 0x00 27. "RESERVED," "0,1" bitfld.long 0x00 24.--26. "STOP_COUNT,Sets the value that stops the performance monitor counter" "STOP_COUNT_0,STOP_COUNT_1,STOP_COUNT_2,STOP_COUNT_3,STOP_COUNT_4,STOP_COUNT_5,STOP_COUNT_6,STOP_COUNT_7" newline rbitfld.long 0x00 22.--23. "RESERVED," "0,1,2,3" bitfld.long 0x00 20.--21. "START_CLIENT,Sets the client whose event starts the performance monitor counter" "START_CLIENT_0,START_CLIENT_1,START_CLIENT_2,START_CLIENT_3" newline rbitfld.long 0x00 19. "RESERVED," "0,1" bitfld.long 0x00 16.--18. "START_COUNT,Sets the value that starts the performance monitor counter" "START_COUNT_0,START_COUNT_1,START_COUNT_2,START_COUNT_3,START_COUNT_4,START_COUNT_5,START_COUNT_6,START_COUNT_7" newline hexmask.long.word 0x00 0.--15. 1. "CURR_COUNT,The current value of the perfomance monitor counter" repeat.end tree.end tree "WD_TIMER2" base ad:0x4AE14000 rgroup.long 0x00++0x03 line.long 0x00 "WIDR,IP revision identifier" group.long 0x10++0x27 line.long 0x00 "WDSC,This register controls the various parameters of the L4 interface" hexmask.long 0x00 6.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x00 5. "EMUFREE,Emulation mode" "EMUFREE_0,EMUFREE_1" bitfld.long 0x00 3.--4. "IDLEMODE,Configuration of the local target state management mode" "IDLEMODE_0,IDLEMODE_1,IDLEMODE_2,IDLEMODE_3" rbitfld.long 0x00 2. "RESERVED,Write 0s for future compatibility" "0,1" newline bitfld.long 0x00 1. "SOFTRESET,Software reset" "SOFTRESET_0_w,SOFTRESET_1_r" rbitfld.long 0x00 0. "RESERVED,Write 0s for future compatibility" "0,1" line.long 0x04 "WDST,This register provides status information about the module" hexmask.long 0x04 1.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x04 0. "RESETDONE,Internal module reset monitoring" "RESETDONE_0_r,RESETDONE_1_r" line.long 0x08 "WISR,This register shows which interrupt events are pending inside the module" hexmask.long 0x08 2.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x08 1. "DLY_IT_FLAG,Pending delay interrupt status" "DLY_IT_FLAG_0_w,DLY_IT_FLAG_1_r" bitfld.long 0x08 0. "OVF_IT_FLAG,Pending overflow interrupt status" "OVF_IT_FLAG_0_w,OVF_IT_FLAG_1_r" line.long 0x0C "WIER,This register controls (enable/disable) the interrupt events" hexmask.long 0x0C 2.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x0C 1. "DLY_IT_ENA,Delay interrupt enable/disable" "DLY_IT_ENA_0,DLY_IT_ENA_1" bitfld.long 0x0C 0. "OVF_IT_ENA,Overflow interrupt enable/disable" "OVF_IT_ENA_0,OVF_IT_ENA_1" line.long 0x10 "WWER,This register controls (enable/disable) the wake-up events" hexmask.long 0x10 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x10 1. "DLY_WK_ENA,Delay wake-up enable" "DLY_WK_ENA_0,DLY_WK_ENA_1" bitfld.long 0x10 0. "OVF_WK_ENA,Overflow wake-up enable" "OVF_WK_ENA_0,OVF_WK_ENA_1" line.long 0x14 "WCLR,This register controls the prescaler stage of the counter" hexmask.long 0x14 6.--31. 1. "RESERVED,Reads return 0" bitfld.long 0x14 5. "PRE,Prescaler enable/disable configuration" "PRE_0,PRE_1" bitfld.long 0x14 2.--4. "PTV,Prescaler value The timer counter is prescaled with the value: 2" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 0.--1. "RESERVED,Write 0s for future compatibility" "0,1,2,3" line.long 0x18 "WCRR,This register holds the value of the internal counter" line.long 0x1C "WLDR,This register holds the timer load value" line.long 0x20 "WTGR,Writing a different value than the one already written in this register does a watchdog counter reload" line.long 0x24 "WWPS,This register contains the write posting bits for all writeable functional registers" hexmask.long 0x24 6.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x24 5. "W_PEND_WDLY,Write pending for registerWDLY" "W_PEND_WDLY_0_r,W_PEND_WDLY_1_r" bitfld.long 0x24 4. "W_PEND_WSPR,Write pending for registerWSPR" "W_PEND_WSPR_0_r,W_PEND_WSPR_1_r" bitfld.long 0x24 3. "W_PEND_WTGR,Write pending for registerWTGR" "W_PEND_WTGR_0_r,W_PEND_WTGR_1_r" newline bitfld.long 0x24 2. "W_PEND_WLDR,Write pending for registerWLDR" "W_PEND_WLDR_0_r,W_PEND_WLDR_1_r" bitfld.long 0x24 1. "W_PEND_WCRR,Write pending for registerWCRR" "W_PEND_WCRR_0_r,W_PEND_WCRR_1_r" bitfld.long 0x24 0. "W_PEND_WCLR,Write pending for registerWCLR" "W_PEND_WCLR_0_r,W_PEND_WCLR_1_r" group.long 0x44++0x07 line.long 0x00 "WDLY,This register holds the delay value that controls the internal pre-overflow event detection" line.long 0x04 "WSPR,This register holds the start-stop value that controls the internal start-stop FSM" group.long 0x50++0x17 line.long 0x00 "WIRQEOI,Software End Of Interrupt" hexmask.long 0x00 1.--31. 1. "RESERVED,Write 0's for future compatibility" bitfld.long 0x00 0. "LINE_NUMBER,EOI for interrupt output line Reads always 0 (no EOI memory)" "0,1" line.long 0x04 "WIRQSTATRAW,IRQ unmasked status. status set per-event raw interrupt status vector. line 0" hexmask.long 0x04 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x04 1. "EVENT_DLY,Settable raw status for delay event" "EVENT_DLY_0_w,EVENT_DLY_1_r" bitfld.long 0x04 0. "EVENT_OVF,Settable raw status for overflow event" "EVENT_OVF_0_w,EVENT_OVF_1_r" line.long 0x08 "WIRQSTAT,IRQ masked status. status clear per-event enabled interrupt status vector. line 0" hexmask.long 0x08 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x08 1. "EVENT_DLY,Clearable enabled status for delay event" "EVENT_DLY_0_w,EVENT_DLY_1_r" bitfld.long 0x08 0. "EVENT_OVF,Clearable enabled status for overflow event" "EVENT_OVF_0_w,EVENT_OVF_1_r" line.long 0x0C "WIRQENSET,IRQ enable set per-event interrupt enable bit vector. line 0" hexmask.long 0x0C 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x0C 1. "ENABLE_DLY,Enable for delay event" "ENABLE_DLY_0_w,ENABLE_DLY_1_r" bitfld.long 0x0C 0. "ENABLE_OVF,Enable for overflow event" "ENABLE_OVF_0_w,ENABLE_OVF_1_r" line.long 0x10 "WIRQENCLR,IRQ enable clear per-event interrupt enable bit vector. line 0" hexmask.long 0x10 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x10 1. "ENABLE_DLY,Enable for delay event" "ENABLE_DLY_0_w,ENABLE_DLY_1_r" bitfld.long 0x10 0. "ENABLE_OVF,Enable for overflow event" "ENABLE_OVF_0_w,ENABLE_OVF_1_r" line.long 0x14 "WIRQWAKEEN,This register controls (enable/disable) the wake-up events" hexmask.long 0x14 2.--31. 1. "RESERVED,Write 0s for future compatibility" bitfld.long 0x14 1. "DLY_WK_ENA,Enable delay wake-up" "DLY_WK_ENA_0,DLY_WK_ENA_1" bitfld.long 0x14 0. "OVF_WK_ENA,Enable overflow wakeup" "OVF_WK_ENA_0,OVF_WK_ENA_1" tree.end tree "WD_TIMER2_TARG" base ad:0x4AE15000 rgroup.long 0x00++0x07 line.long 0x00 "L4_TA_COMPONENT_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_TA_COMPONENT_H,Contains a component code and revision" rgroup.long 0x18++0x17 line.long 0x00 "L4_TA_CORE_L,Contains a component code and revision" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_TA_CORE_H,Contains a component code and revision" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_TA_AGENT_CONTROL_L,Enable error reporting" hexmask.long.byte 0x08 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x08 24. "SERROR_REP,Enable logging of error" "0,1" hexmask.long.word 0x08 11.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 8.--10. "REQ_TIMEOUT,Time-out Bound" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x08 1.--7. 1. "RESERVED,Read returns 0" bitfld.long 0x08 0. "OCP_RESET,The OCP_RESET field controls the OCP reset signal to the attached core" "0,1" line.long 0x0C "L4_TA_AGENT_CONTROL_H,Enable clock power management" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 9. "AUTO_WAKEUP_RESP_CODE," "0,1" bitfld.long 0x0C 8. "EXT_CLOCK,When set to 1 the ext_clk_off_i signal on a target agent indicates when the target agent should shut off" "0,1" newline hexmask.long.byte 0x0C 0.--7. 1. "RESERVED,Read returns 0" line.long 0x10 "L4_TA_AGENT_STATUS_L,Error reporting" hexmask.long.byte 0x10 25.--31. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 24. "SERROR,Value of OCP SError signal" "0,1" hexmask.long.word 0x10 9.--23. 1. "RESERVED,Read returns 0" newline bitfld.long 0x10 8. "REQ_TIMEOUT,Time-out status" "No request time-out,A request time-out has occurred" hexmask.long.byte 0x10 1.--7. 1. "RESERVED,Read returns 0" rbitfld.long 0x10 0. "OCP_RESET,L3 Reset" "0,1" line.long 0x14 "L4_TA_AGENT_STATUS_H,Error reporting" tree.end tree "WKUP_AP" base ad:0x4AE00000 rgroup.long 0x00++0x07 line.long 0x00 "L4_AP_COMPONENT_L,Contains a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_AP_COMPONENT_H,Contains a component code and revision. which are used to identify the hardware of the component" repeat 12. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 ) group.long ($2+0x404)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x384)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x304)++0x03 line.long 0x00 "L4_AP_REGION_l_H_$1,Define the size. protection group and segment ID of the region" rbitfld.long 0x00 28.--31. "MADDRSPACE,Target interconnect MAddrSpace" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" rbitfld.long 0x00 27. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 24.--26. "SEGMENT_ID,Segment ID of the region" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 23. "RESERVED,Read returns 0" "0,1" newline bitfld.long 0x00 20.--22. "PROT_GROUP_ID,Protection group ID" "0,1,2,3,4,5,6,7" rbitfld.long 0x00 19. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 17.--18. "BYTE_DATA_WIDTH_EXP,Target data byte width" "0,1,2,3" rbitfld.long 0x00 15.--16. "RESERVED,Read returns 0" "0,1,2,3" newline hexmask.long.byte 0x00 8.--14. 1. "PHY_TARGET_ID,Physical target ID" rbitfld.long 0x00 7. "RESERVED,Read returns 0" "0,1" newline rbitfld.long 0x00 1.--6. "SIZE,Define the size of the region in bytes" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 0. "ENABLE," "0,1" repeat.end repeat 12. (list 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 ) rgroup.long ($2+0x400)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x380)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) rgroup.long ($2+0x300)++0x03 line.long 0x00 "L4_AP_REGION_l_L_$1,Define the base address of the region in respect to the segment it belongs to" hexmask.long.word 0x00 21.--31. 1. "RESERVED,Read returns 0" hexmask.long.tbyte 0x00 0.--20. 1. "BASE,Sets the base address of the region relative to its segment base" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x284)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x280)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_ROLES_k_L_$1,Define MReqInfo bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x204)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_H_$1,Define ConnID bit vectors for a protection group" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) rgroup.long ($2+0x200)++0x03 line.long 0x00 "L4_AP_PROT_GROUP_MEMBERS_k_L_$1,Define ConnID bit vectors for a protection group" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x00 0.--15. 1. "CONNID_BIT_VECTOR,Specifies protection group members N is 2**W where W is the connID width" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x08 0x10 0x18 ) rgroup.long ($2+0x104)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_H_$1,Define the size of each segments" hexmask.long 0x00 5.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x00 0.--4. "SIZE,Segment size is a power of 2 where 2 is the byte size of a segment (all segment registers use the same size)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 4. (list 0. 1. 2. 3. )(list 0x00 0x08 0x10 0x18 ) rgroup.long ($2+0x100)++0x03 line.long 0x00 "L4_AP_SEGMENT_i_L_$1,Define the base address of each segments" repeat.end tree.end tree "WKUP_IA_IP0" base ad:0x4AE01000 rgroup.long 0x00++0x07 line.long 0x00 "L4_IA_COMPONENT_L,COMPONENT register identifies the component to which this register block belongs" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_IA_COMPONENT_H,COMPONENT register identifies the component to which this register block belongs" rgroup.long 0x18++0x17 line.long 0x00 "L4_IA_CORE_L,Provide information about the core initiator" hexmask.long.word 0x00 16.--31. 1. "CORE_CODE,Interconnect core code" hexmask.long.word 0x00 0.--15. 1. "CORE_REV,Component revision code code" line.long 0x04 "L4_IA_CORE_H,Provide information about the core initiator" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Reserved" hexmask.long.word 0x04 0.--15. 1. "VENDOR_CODE,Vendor revision core code" line.long 0x08 "L4_IA_AGENT_CONTROL_L,Core control for an initiator OCP interface" bitfld.long 0x08 31. "PROT_ERROR_SECONDARY_REP,Out-of-band reporting of protection mechanism secondary errors" "0,1" bitfld.long 0x08 30. "PROT_ERROR_PRIMARY_REP,Out-of-band reporting of protection mechanism primary errors" "0,1" rbitfld.long 0x08 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x08 27. "INBAND_ERROR_REP,Setting this field to 1 reports on in-band errors using the INBAND_ERROR log bit of IA.AGENT_STATUS register" "0,1" rbitfld.long 0x08 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x08 24. "MERROR_REP,OCP MError reporting control" "0,1" newline hexmask.long.tbyte 0x08 0.--23. 1. "RESERVED," line.long 0x0C "L4_IA_AGENT_CONTROL_H,Enable error reporting on an initiator interface" line.long 0x10 "L4_IA_AGENT_STATUS_L,Stores status information for an initiator" bitfld.long 0x10 31. "PROT_ERROR_SECONDARY," "0,1" bitfld.long 0x10 30. "PROT_ERROR_PRIMARY," "0,1" rbitfld.long 0x10 28.--29. "RESERVED,Read returns 0" "0,1,2,3" newline bitfld.long 0x10 27. "INBAND_ERROR,0x0 No In-Band error present.0x1 In-Band error present" "0,1" rbitfld.long 0x10 25.--26. "RESERVED,Read returns 0" "0,1,2,3" rbitfld.long 0x10 24. "MERROR,Value of the OCP MError signal" "0,1" newline hexmask.long.tbyte 0x10 0.--23. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_IA_AGENT_STATUS_H,Stores status information for an initiator" group.long 0x58++0x0F line.long 0x00 "L4_IA_ERROR_LOG_L,Log information about error conditions" bitfld.long 0x00 31. "MULTI,Multiple errors detected" "0,1" bitfld.long 0x00 30. "SECONDARY,Indicates whether protection violation was a primary or secondary error" "0,1" rbitfld.long 0x00 26.--29. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 24.--25. "CODE,The error code of an initiator request" "No errors,Reserved,?..." hexmask.long.word 0x00 14.--23. 1. "RESERVED,Read returns 0" rbitfld.long 0x00 8.--13. "CONNID,ConnID of request causing the error refer to" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x00 3.--7. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rbitfld.long 0x00 0.--2. "CMD,Command that caused error" "0,1,2,3,4,5,6,7" line.long 0x04 "L4_IA_ERROR_LOG_H,Log information about error conditions" hexmask.long.word 0x04 16.--31. 1. "RESERVED,Read returns 0" hexmask.long.word 0x04 0.--15. 1. "REQ_INFO,MReqInfo bits of request that caused the error REQ_INFO[0] = supervisor REQ_INFO[1] = Debug" line.long 0x08 "L4_IA_ERROR_LOG_ADDR_L,Extended error log (address information)" line.long 0x0C "L4_IA_ERROR_LOG_ADDR_H,Extended error log (address information)" tree.end tree "WKUP_LA" base ad:0x4AE00800 rgroup.long 0x00++0x07 line.long 0x00 "L4_LA_COMPONENT_L,Contain a component code and revision. which are used to identify the hardware of the component" hexmask.long.word 0x00 16.--31. 1. "CODE,Interconnect code" hexmask.long.word 0x00 0.--15. 1. "REV,Component revision code" line.long 0x04 "L4_LA_COMPONENT_H,Contain a component code and revision. which are used to identify the hardware of the component" rgroup.long 0x10++0x17 line.long 0x00 "L4_LA_NETWORK_L,Identify the interconnect" line.long 0x04 "L4_LA_NETWORK_H,Identify the interconnect" line.long 0x08 "L4_LA_INITIATOR_INFO_L,Contain initiator subsystem information" bitfld.long 0x08 28.--31. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 24.--27. "PROT_GROUPS,Number of protection group of in the current L4" "No protection group,1 protection group,2 protection groups,?,?,?,?,?,8 protection groups 0x9 to,?,?,?,?,?,?,Reserved" newline abitfld.long 0x08 16.--23. "NUMBER_REGIONS,Number of regions in the current L4" "0x00=Reserved,0x01=1 region,0x02=2 regions,0xFF=Reserved maximum regions is listed in" hexmask.long.word 0x08 4.--15. 1. "RESERVED,Read returns 0" newline bitfld.long 0x08 0.--3. "SEGMENTS,Number of segments in the current L4" "Reserved,1 segment,2 segments,?,?,?,?,?,8 segments,?..." line.long 0x0C "L4_LA_INITIATOR_INFO_H,Contain initiator subsystem information" hexmask.long.word 0x0C 19.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x0C 16.--18. "THREADS,The THREADS field specifies the number of initiator threads connected to the interconnect" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 15. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 12.--14. "CONNID_WIDTH,The initiator subsystem ConnID width" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0C 11. "RESERVED,Read returns 0" "0,1" bitfld.long 0x0C 8.--10. "BYTE_DATA_WIDTH_EXP,This field specifies the initiator subsystem data width.The BYTE_DATA_WIDTH_EXP field contains read-only configuration information for the initiator subsystem" "?,16-bit data width is specified,32-bit data width is specified,?..." newline bitfld.long 0x0C 6.--7. "RESERVED,Read returns 0" "0,1,2,3" bitfld.long 0x0C 0.--5. "ADDR_WIDTH,This field specifies the initiator subsystem address width" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x10 "L4_LA_NETWORK_CONTROL_L,Control interconnect minimum timeout values" hexmask.long.tbyte 0x10 11.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x10 8.--10. "TIMEOUT_BASE,The TIMEOUT_BASE field indicates the time-out period (that is base cycles) for the highest frequency time-base signal sent from the L4 initiator subsystem to all target agents that have time-out enabled" "Time-out disabled,L4 interconnect clock cycles divided by 64,L4 interconnect clock cycles divided by 256,L4 interconnect clock cycles divided by 1024,L4 interconnect clock cycles divided by 4096,?..." newline hexmask.long.byte 0x10 0.--7. 1. "RESERVED,Read returns 0" line.long 0x14 "L4_LA_NETWORK_CONTROL_H,Control interconnect global power control" hexmask.long.byte 0x14 25.--31. 1. "RESERVED,Read returns 0" bitfld.long 0x14 24. "CLOCK_GATE_DISABLE,When set to 1 this field disables all clock gating" "0,1" newline rbitfld.long 0x14 21.--23. "RESERVED,Read returns 0" "0,1,2,3,4,5,6,7" rbitfld.long 0x14 20. "THREAD0_PRI,Sets thread priority" "0,1" newline hexmask.long.word 0x14 9.--19. 1. "RESERVED,Read returns 0" rbitfld.long 0x14 8. "EXT_CLOCK,Global external clock control" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "RESERVED,Read returns 0" tree.end tree "WKUPAON_CM" base ad:0x4AE07800 group.long 0x00++0x03 line.long 0x00 "CM_WKUPAON_CLKSTCTRL,This register enables the domain power state transition" hexmask.long.word 0x00 20.--31. 1. "RESERVED," rbitfld.long 0x00 19. "RESERVED," "0,1" newline rbitfld.long 0x00 18. "CLKACTIVITY_UART10_GFCLK,This field indicates the state of the UART10_GFCLK clock in the domain" "CLKACTIVITY_UART10_GFCLK_0,CLKACTIVITY_UART10_GFCLK_1" rbitfld.long 0x00 17. "CLKACTIVITY_TIMER1_GFCLK,This field indicates the state of the TIMER1_GFCLK clock in the domain" "CLKACTIVITY_TIMER1_GFCLK_0,CLKACTIVITY_TIMER1_GFCLK_1" newline rbitfld.long 0x00 16. "CLKACTIVITY_DCAN1_SYS_CLK,This field indicates the state of the DCAN1_SYS_CLK clock in the domain" "CLKACTIVITY_DCAN1_SYS_CLK_0,CLKACTIVITY_DCAN1_SYS_CLK_1" rbitfld.long 0x00 15. "CLKACTIVITY_SYS_CLK_ALL,This field indicates the state of the SYS_CLK runing at SCRM level because of any SCRM clock request" "CLKACTIVITY_SYS_CLK_ALL_0,CLKACTIVITY_SYS_CLK_ALL_1" newline rbitfld.long 0x00 14. "CLKACTIVITY_SYS_CLK_FUNC,This field indicates the state of the functional SYS_CLK clocks in the domain (this exclude activity of EMU_GCLK clock)" "CLKACTIVITY_SYS_CLK_FUNC_0,CLKACTIVITY_SYS_CLK_FUNC_1" rbitfld.long 0x00 13. "RESERVED," "0,1" newline rbitfld.long 0x00 12. "CLKACTIVITY_WKUPAON_GICLK,This field indicates the state of the WKUPAON_GICLK clock in the domain" "CLKACTIVITY_WKUPAON_GICLK_0,CLKACTIVITY_WKUPAON_GICLK_1" rbitfld.long 0x00 11. "CLKACTIVITY_WKUPAON_SYS_GFCLK,This field indicates the state of the WKUPAON_SYS_GFCLK clock in the domain" "CLKACTIVITY_WKUPAON_SYS_GFCLK_0,CLKACTIVITY_WKUPAON_SYS_GFCLK_1" newline rbitfld.long 0x00 10. "RESERVED," "0,1" rbitfld.long 0x00 9. "CLKACTIVITY_ABE_LP_CLK,This field indicates the state of the ABE_LP_CLK clock in the domain" "CLKACTIVITY_ABE_LP_CLK_0,CLKACTIVITY_ABE_LP_CLK_1" newline rbitfld.long 0x00 8. "CLKACTIVITY_SYS_CLK,This field indicates the state of the SYS_CLK clock in the domain(it includes profiling EMU_SYS_GCLK and all functional SYS_CLK. [warm reset insensitive]" "CLKACTIVITY_SYS_CLK_0,CLKACTIVITY_SYS_CLK_1" rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline bitfld.long 0x00 0.--1. "CLKTRCTRL,Controls the clock state transition of the WKUPAON clock domain" "CLKTRCTRL_0,CLKTRCTRL_1,CLKTRCTRL_2,CLKTRCTRL_3" rgroup.long 0x20++0x03 line.long 0x00 "CM_WKUPAON_L4_WKUP_CLKCTRL,This register manages the WKUPAON clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x30++0x03 line.long 0x00 "CM_WKUPAON_WD_TIMER2_CLKCTRL,This register manages the WD_TIMER2 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x38++0x03 line.long 0x00 "CM_WKUPAON_GPIO1_CLKCTRL,This register manages the GPIO1 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.byte 0x00 9.--15. 1. "RESERVED," bitfld.long 0x00 8. "OPTFCLKEN_DBCLK,Optional functional clock control" "OPTFCLKEN_DBCLK_0,OPTFCLKEN_DBCLK_1" newline rbitfld.long 0x00 2.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x40++0x03 line.long 0x00 "CM_WKUPAON_TIMER1_CLKCTRL,This register manages the TIMER1 clocks" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CLKSEL,Select the source of the functional" "CLKSEL_0,CLKSEL_1,CLKSEL_2,CLKSEL_3,CLKSEL_4,CLKSEL_5,CLKSEL_6,CLKSEL_7,CLKSEL_8,CLKSEL_9,CLKSEL_10,?,?,?,?,?" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" rgroup.long 0x48++0x03 line.long 0x00 "CM_WKUPAON_TIMER12_CLKCTRL,This register manages the TIMER12 clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" rgroup.long 0x50++0x03 line.long 0x00 "CM_WKUPAON_COUNTER_32K_CLKCTRL,This register manages the COUNTER_32K clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," bitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "?,MODULEMODE_1,?,?" group.long 0x78++0x03 line.long 0x00 "CM_WKUPAON_KBD_CLKCTRL,This register manages the KBD clocks" hexmask.long.word 0x00 18.--31. 1. "RESERVED," rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x80++0x03 line.long 0x00 "CM_WKUPAON_UART10_CLKCTRL,This register manages the UART10 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects functional clock for UART between FUNC_48M_FCLK and FUNC_192M_CLK" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" group.long 0x88++0x03 line.long 0x00 "CM_WKUPAON_DCAN1_CLKCTRL,This register manages the DCAN1 clocks" hexmask.long.byte 0x00 25.--31. 1. "RESERVED," bitfld.long 0x00 24. "CLKSEL,Selects SYS clock for DCAN1 between SYS_CLK1 and SYS_CLK2" "CLKSEL_0,CLKSEL_1" newline rbitfld.long 0x00 18.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rbitfld.long 0x00 16.--17. "IDLEST,Module idle status" "IDLEST_0,IDLEST_1,IDLEST_2,IDLEST_3" newline hexmask.long.word 0x00 2.--15. 1. "RESERVED," bitfld.long 0x00 0.--1. "MODULEMODE,Control the way mandatory clocks are managed" "MODULEMODE_0,MODULEMODE_1,MODULEMODE_2,MODULEMODE_3" tree.end tree "WKUPAON_PRM" base ad:0x4AE07700 group.long 0x24++0x03 line.long 0x00 "RM_WKUPAON_L4_WKUP_CONTEXT,This register contains dedicated L4_WKUP context statuses" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0x30++0x1F line.long 0x00 "PM_WKUPAON_WD_TIMER2_WKDEP,This register controls wakeup dependency based on WD_TIMER2 service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPDEP_WD_TIMER2_EVE4,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_EVE4_0,WKUPDEP_WD_TIMER2_EVE4_1" newline bitfld.long 0x00 8. "WKUPDEP_WD_TIMER2_EVE3,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_EVE3_0,WKUPDEP_WD_TIMER2_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_WD_TIMER2_EVE2,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_EVE2_0,WKUPDEP_WD_TIMER2_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_WD_TIMER2_EVE1,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_EVE1_0,WKUPDEP_WD_TIMER2_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_WD_TIMER2_DSP2,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_DSP2_0,WKUPDEP_WD_TIMER2_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_WD_TIMER2_IPU1,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_IPU1_0,WKUPDEP_WD_TIMER2_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_WD_TIMER2_DSP1,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_DSP1_0,WKUPDEP_WD_TIMER2_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_WD_TIMER2_IPU2,Wakeup dependency from WD_TIMER2 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_WD_TIMER2_IPU2_0,WKUPDEP_WD_TIMER2_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_WD_TIMER2_MPU,Wakeup dependency from WD_TIMER2 module (SWakeup signal) towards MPU + L3MAIN1 + L4CFG domains" "WKUPDEP_WD_TIMER2_MPU_0,WKUPDEP_WD_TIMER2_MPU_1" line.long 0x04 "RM_WKUPAON_WD_TIMER2_CONTEXT,This register contains dedicated WD_TIMER2 context statuses" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x08 "PM_WKUPAON_GPIO1_WKDEP,This register controls wakeup dependency based on GPIO1 service requests" hexmask.long.word 0x08 20.--31. 1. "RESERVED," bitfld.long 0x08 19. "WKUPDEP_GPIO1_IRQ2_EVE4,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_EVE4_0,WKUPDEP_GPIO1_IRQ2_EVE4_1" newline bitfld.long 0x08 18. "WKUPDEP_GPIO1_IRQ2_EVE3,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_EVE3_0,WKUPDEP_GPIO1_IRQ2_EVE3_1" bitfld.long 0x08 17. "WKUPDEP_GPIO1_IRQ2_EVE2,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_EVE2_0,WKUPDEP_GPIO1_IRQ2_EVE2_1" newline bitfld.long 0x08 16. "WKUPDEP_GPIO1_IRQ2_EVE1,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_EVE1_0,WKUPDEP_GPIO1_IRQ2_EVE1_1" bitfld.long 0x08 15. "WKUPDEP_GPIO1_IRQ2_DSP2,Wakeup dependency from GPIO1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_DSP2_0,WKUPDEP_GPIO1_IRQ2_DSP2_1" newline bitfld.long 0x08 14. "WKUPDEP_GPIO1_IRQ2_IPU1,Wakeup dependency from GPIO1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_IPU1_0,WKUPDEP_GPIO1_IRQ2_IPU1_1" rbitfld.long 0x08 13. "RESERVED," "0,1" newline bitfld.long 0x08 12. "WKUPDEP_GPIO1_IRQ2_DSP1,Wakeup dependency from GPIO1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_DSP1_0,WKUPDEP_GPIO1_IRQ2_DSP1_1" bitfld.long 0x08 11. "WKUPDEP_GPIO1_IRQ2_IPU2,Wakeup dependency from GPIO1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_IPU2_0,WKUPDEP_GPIO1_IRQ2_IPU2_1" newline bitfld.long 0x08 10. "WKUPDEP_GPIO1_IRQ2_MPU,Wakeup dependency from GPIO1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ2_MPU_0,WKUPDEP_GPIO1_IRQ2_MPU_1" bitfld.long 0x08 9. "WKUPDEP_GPIO1_IRQ1_EVE4,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_EVE4_0,WKUPDEP_GPIO1_IRQ1_EVE4_1" newline bitfld.long 0x08 8. "WKUPDEP_GPIO1_IRQ1_EVE3,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_EVE3_0,WKUPDEP_GPIO1_IRQ1_EVE3_1" bitfld.long 0x08 7. "WKUPDEP_GPIO1_IRQ1_EVE2,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_EVE2_0,WKUPDEP_GPIO1_IRQ1_EVE2_1" newline bitfld.long 0x08 6. "WKUPDEP_GPIO1_IRQ1_EVE1,Wakeup dependency from GPIO1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_EVE1_0,WKUPDEP_GPIO1_IRQ1_EVE1_1" bitfld.long 0x08 5. "WKUPDEP_GPIO1_IRQ1_DSP2,Wakeup dependency from GPIO1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_DSP2_0,WKUPDEP_GPIO1_IRQ1_DSP2_1" newline bitfld.long 0x08 4. "WKUPDEP_GPIO1_IRQ1_IPU1,Wakeup dependency from GPIO1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_IPU1_0,WKUPDEP_GPIO1_IRQ1_IPU1_1" rbitfld.long 0x08 3. "RESERVED," "0,1" newline bitfld.long 0x08 2. "WKUPDEP_GPIO1_IRQ1_DSP1,Wakeup dependency from GPIO1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_DSP1_0,WKUPDEP_GPIO1_IRQ1_DSP1_1" bitfld.long 0x08 1. "WKUPDEP_GPIO1_IRQ1_IPU2,Wakeup dependency from GPIO1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_IPU2_0,WKUPDEP_GPIO1_IRQ1_IPU2_1" newline bitfld.long 0x08 0. "WKUPDEP_GPIO1_IRQ1_MPU,Wakeup dependency from GPIO1 module (SWakeup signal for POROCPSINTERRUPT1 ) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_GPIO1_IRQ1_MPU_0,WKUPDEP_GPIO1_IRQ1_MPU_1" line.long 0x0C "RM_WKUPAON_GPIO1_CONTEXT,This register contains dedicated GPIO1 context statuses" hexmask.long 0x0C 1.--31. 1. "RESERVED," bitfld.long 0x0C 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x10 "PM_WKUPAON_TIMER1_WKDEP,This register controls wakeup dependency based on TIMER1 service requests" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," bitfld.long 0x10 9. "WKUPDEP_TIMER1_EVE4,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_EVE4_0,WKUPDEP_TIMER1_EVE4_1" newline bitfld.long 0x10 8. "WKUPDEP_TIMER1_EVE3,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_EVE3_0,WKUPDEP_TIMER1_EVE3_1" bitfld.long 0x10 7. "WKUPDEP_TIMER1_EVE2,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_EVE2_0,WKUPDEP_TIMER1_EVE2_1" newline bitfld.long 0x10 6. "WKUPDEP_TIMER1_EVE1,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_EVE1_0,WKUPDEP_TIMER1_EVE1_1" bitfld.long 0x10 5. "WKUPDEP_TIMER1_DSP2,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_DSP2_0,WKUPDEP_TIMER1_DSP2_1" newline bitfld.long 0x10 4. "WKUPDEP_TIMER1_IPU1,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_IPU1_0,WKUPDEP_TIMER1_IPU1_1" rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "WKUPDEP_TIMER1_DSP1,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_DSP1_0,WKUPDEP_TIMER1_DSP1_1" bitfld.long 0x10 1. "WKUPDEP_TIMER1_IPU2,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_IPU2_0,WKUPDEP_TIMER1_IPU2_1" newline bitfld.long 0x10 0. "WKUPDEP_TIMER1_MPU,Wakeup dependency from TIMER1 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER1_MPU_0,WKUPDEP_TIMER1_MPU_1" line.long 0x14 "RM_WKUPAON_TIMER1_CONTEXT,This register contains dedicated TIMER1 context statuses" hexmask.long 0x14 1.--31. 1. "RESERVED," bitfld.long 0x14 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x18 "PM_WKUPAON_TIMER12_WKDEP,This register controls wakeup dependency based on TIMER12 service requests" hexmask.long.tbyte 0x18 10.--31. 1. "RESERVED," bitfld.long 0x18 9. "WKUPDEP_TIMER12_EVE4,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_EVE4_0,WKUPDEP_TIMER12_EVE4_1" newline bitfld.long 0x18 8. "WKUPDEP_TIMER12_EVE3,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_EVE3_0,WKUPDEP_TIMER12_EVE3_1" bitfld.long 0x18 7. "WKUPDEP_TIMER12_EVE2,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_EVE2_0,WKUPDEP_TIMER12_EVE2_1" newline bitfld.long 0x18 6. "WKUPDEP_TIMER12_EVE1,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_EVE1_0,WKUPDEP_TIMER12_EVE1_1" bitfld.long 0x18 5. "WKUPDEP_TIMER12_DSP2,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_DSP2_0,WKUPDEP_TIMER12_DSP2_1" newline bitfld.long 0x18 4. "WKUPDEP_TIMER12_IPU1,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_IPU1_0,WKUPDEP_TIMER12_IPU1_1" rbitfld.long 0x18 3. "RESERVED," "0,1" newline bitfld.long 0x18 2. "WKUPDEP_TIMER12_DSP1,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_DSP1_0,WKUPDEP_TIMER12_DSP1_1" bitfld.long 0x18 1. "WKUPDEP_TIMER12_IPU2,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_IPU2_0,WKUPDEP_TIMER12_IPU2_1" newline bitfld.long 0x18 0. "WKUPDEP_TIMER12_MPU,Wakeup dependency from TIMER12 module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_TIMER12_MPU_0,WKUPDEP_TIMER12_MPU_1" line.long 0x1C "RM_WKUPAON_TIMER12_CONTEXT,This register contains dedicated TIMER12 context statuses" hexmask.long 0x1C 1.--31. 1. "RESERVED," bitfld.long 0x1C 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0x54++0x03 line.long 0x00 "RM_WKUPAON_COUNTER_32K_CONTEXT,This register contains dedicated COUNTER_32K context statuses" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" group.long 0x78++0x17 line.long 0x00 "PM_WKUPAON_KBD_WKDEP,This register controls wakeup dependency based on KBD service requests" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," bitfld.long 0x00 9. "WKUPAON_KBD_EVE4,Wakeup dependency from KBD module (SWakeup IRQ signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPAON_KBD_EVE4_0,WKUPAON_KBD_EVE4_1" newline bitfld.long 0x00 8. "WKUPAON_KBD_EVE3,Wakeup dependency from KBD module (SWakeup IRQ signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPAON_KBD_EVE3_0,WKUPAON_KBD_EVE3_1" bitfld.long 0x00 7. "WKUPDEP_KBD_EVE2,Wakeup dependency from KBD module (SWakeup IRQ signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_EVE2_0,WKUPDEP_KBD_EVE2_1" newline bitfld.long 0x00 6. "WKUPDEP_KBD_EVE1,Wakeup dependency from KBD module (SWakeup IRQ signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_EVE1_0,WKUPDEP_KBD_EVE1_1" bitfld.long 0x00 5. "WKUPDEP_KBD_DSP2,Wakeup dependency from KBD module (SWakeup IRQ signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_DSP2_0,WKUPDEP_KBD_DSP2_1" newline bitfld.long 0x00 4. "WKUPDEP_KBD_IPU1,Wakeup dependency from KBD module (SWakeup IRQ signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_IPU1_0,WKUPDEP_KBD_IPU1_1" rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "WKUPDEP_KBD_DSP1,Wakeup dependency from KBD module (SWakeup IRQ signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_DSP1_0,WKUPDEP_KBD_DSP1_1" bitfld.long 0x00 1. "WKUPDEP_KBD_IPU2,Wakeup dependency from KBD module (SWakeup IRQ signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_IPU2_0,WKUPDEP_KBD_IPU2_1" newline bitfld.long 0x00 0. "WKUPDEP_KBD_MPU,Wakeup dependency from KBD module (SWakeup IRQ signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_KBD_MPU_0,WKUPDEP_KBD_MPU_1" line.long 0x04 "RM_WKUPAON_KBD_CONTEXT,This register contains dedicated KBD context statuses" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x08 "PM_WKUPAON_UART10_WKDEP,This register controls wakeup dependency based on UART10 service requests" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," bitfld.long 0x08 9. "WKUPDEP_UART10_EVE4,Wakeup dependency from UART10 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_EVE4_0,WKUPDEP_UART10_EVE4_1" newline bitfld.long 0x08 8. "WKUPDEP_UART10_EVE3,Wakeup dependency from UART10 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_EVE3_0,WKUPDEP_UART10_EVE3_1" bitfld.long 0x08 7. "WKUPDEP_UART10_EVE2,Wakeup dependency from UART10 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_EVE2_0,WKUPDEP_UART10_EVE2_1" newline bitfld.long 0x08 6. "WKUPDEP_UART10_EVE1,Wakeup dependency from UART10 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_EVE1_0,WKUPDEP_UART10_EVE1_1" bitfld.long 0x08 5. "WKUPDEP_UART10_DSP2,Wakeup dependency from UART10 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_DSP2_0,WKUPDEP_UART10_DSP2_1" newline bitfld.long 0x08 4. "WKUPDEP_UART10_IPU1,Wakeup dependency from UART10 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_IPU1_0,WKUPDEP_UART10_IPU1_1" bitfld.long 0x08 3. "WKUPDEP_UART10_SDMA,Wakeup dependency from UART10 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_SDMA_0,WKUPDEP_UART10_SDMA_1" newline bitfld.long 0x08 2. "WKUPDEP_UART10_DSP1,Wakeup dependency from UART10 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_DSP1_0,WKUPDEP_UART10_DSP1_1" bitfld.long 0x08 1. "WKUPDEP_UART10_IPU2,Wakeup dependency from UART10 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_IPU2_0,WKUPDEP_UART10_IPU2_1" newline bitfld.long 0x08 0. "WKUPDEP_UART10_MPU,Wakeup dependency from UART10 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_UART10_MPU_0,WKUPDEP_UART10_MPU_1" line.long 0x0C "RM_WKUPAON_UART10_CONTEXT,This register contains dedicated UART10 context statuses" hexmask.long.tbyte 0x0C 9.--31. 1. "RESERVED," bitfld.long 0x0C 8. "LOSTMEM_RETAINED_BANK,Specify if memory-based context in UART memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_RETAINED_BANK_0,LOSTMEM_RETAINED_BANK_1" newline hexmask.long.byte 0x0C 1.--7. 1. "RESERVED," bitfld.long 0x0C 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" line.long 0x10 "PM_WKUPAON_DCAN1_WKDEP,This register controls wakeup dependency based on DCAN1 service requests" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," bitfld.long 0x10 9. "WKUPDEP_DCAN1_EVE4,Wakeup dependency from DCAN1 module (SWakeup signal) towards EVE4 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_EVE4_0,WKUPDEP_DCAN1_EVE4_1" newline bitfld.long 0x10 8. "WKUPDEP_DCAN1_EVE3,Wakeup dependency from DCAN1 module (SWakeup signal) towards EVE3 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_EVE3_0,WKUPDEP_DCAN1_EVE3_1" bitfld.long 0x10 7. "WKUPDEP_DCAN1_EVE2,Wakeup dependency from DCAN1 module (SWakeup signal) towards EVE2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_EVE2_0,WKUPDEP_DCAN1_EVE2_1" newline bitfld.long 0x10 6. "WKUPDEP_DCAN1_EVE1,Wakeup dependency from DCAN1 module (SWakeup signal) towards EVE1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_EVE1_0,WKUPDEP_DCAN1_EVE1_1" bitfld.long 0x10 5. "WKUPDEP_DCAN1_DSP2,Wakeup dependency from DCAN1 module (SWakeup signal) towards DSP2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_DSP2_0,WKUPDEP_DCAN1_DSP2_1" newline bitfld.long 0x10 4. "WKUPDEP_DCAN1_IPU1,Wakeup dependency from DCAN1 module (SWakeup signal) towards IPU1 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_IPU1_0,WKUPDEP_DCAN1_IPU1_1" bitfld.long 0x10 3. "WKUPDEP_DCAN1_SDMA,Wakeup dependency from DCAN1 module (SWakeup signal) towards SDMA + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_SDMA_0,WKUPDEP_DCAN1_SDMA_1" newline bitfld.long 0x10 2. "WKUPDEP_DCAN1_DSP1,Wakeup dependency from DCAN1 module (SWakeup signal) towards DSP + L3MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_DSP1_0,WKUPDEP_DCAN1_DSP1_1" bitfld.long 0x10 1. "WKUPDEP_DCAN1_IPU2,Wakeup dependency from DCAN1 module (SWakeup signal) towards IPU2 + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_IPU2_0,WKUPDEP_DCAN1_IPU2_1" newline bitfld.long 0x10 0. "WKUPDEP_DCAN1_MPU,Wakeup dependency from DCAN1 module (SWakeup signal) towards MPU + L3_MAIN1 + L4PER1 + L4PER2 + L4PER3 domains" "WKUPDEP_DCAN1_MPU_0,WKUPDEP_DCAN1_MPU_1" line.long 0x14 "RM_WKUPAON_DCAN1_CONTEXT,This register contains dedicated DCAN1 context statuses" hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED," bitfld.long 0x14 8. "LOSTMEM_DCAN_MEM,Specify if memory-based context in DCAN memory bank has been lost due to a previous power transition or other reset source" "LOSTMEM_DCAN_MEM_0,LOSTMEM_DCAN_MEM_1" newline hexmask.long.byte 0x14 1.--7. 1. "RESERVED," bitfld.long 0x14 0. "LOSTCONTEXT_DFF,Specify if DFF-based context has been lost due to a previous power transition or other reset source" "LOSTCONTEXT_DFF_0,LOSTCONTEXT_DFF_1" tree.end tree "WR" base ad:0x48485200 rgroup.long 0x00++0x1F line.long 0x00 "WR_IDVER,Subsystem wrapper revision register" line.long 0x04 "WR_SOFT_RESET,Subsystem soft reset register" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "SOFT_RESET,Software reset - Writing a one to this bit causes the CPGMACSS_R logic to be reset (INT REGS CPPI)" "0,1" line.long 0x08 "WR_CONTROL,Subsystem control register" hexmask.long.tbyte 0x08 9.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 8. "SS_EEE_EN,Subsystem Energy Efficient Ethernet enable" "EEE disabled,EEE enabled" rbitfld.long 0x08 4.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 2.--3. "MMR_STDBYMODE,Configuration of the local initiator state management mode" "MMR_STDBYMODE_0,MMR_STDBYMODE_1,MMR_STDBYMODE_2,MMR_STDBYMODE_3" bitfld.long 0x08 0.--1. "MMR_IDLEMODE,Configuration of the local initiator state management mode" "MMR_IDLEMODE_0,MMR_IDLEMODE_1,MMR_IDLEMODE_2,MMR_IDLEMODE_3" line.long 0x0C "WR_INT_CONTROL,Subsystem interrupt control" bitfld.long 0x0C 31. "INT_TEST,Interrupt Test - Test bit to the interrupt pacing blocks" "0,1" hexmask.long.word 0x0C 22.--30. 1. "RESERVED," bitfld.long 0x0C 16.--21. "INT_PACE_EN,Interrupt Pacing Enable INT_PACE_EN[0] - Enables RX_PULSE Pacing (0 is pacing bypass) INT_PACE_EN[1] - Enables TX_PULSE Pacing (0 is pacing bypass)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" newline rbitfld.long 0x0C 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" hexmask.long.word 0x0C 0.--11. 1. "INT_PRESCALE,Interrupt Counter Prescaler - The number of MAIN_CLK periods in 4us" line.long 0x10 "WR_C0_RX_THRESH_EN,Subsystem core 0 receive threshold int enable register" hexmask.long.tbyte 0x10 8.--31. 1. "RESERVED," hexmask.long.byte 0x10 0.--7. 1. "C0_RX_THRESH_EN,Core 0 Receive Threshold Enable - Each bit in this register corresponds to the bit in the receive threshold interrupt that is enabled to generate an interrupt on RX_THRESH_PULSE" line.long 0x14 "WR_C0_RX_EN,Subsystem core 0 receive interrupt enable register" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED," hexmask.long.byte 0x14 0.--7. 1. "C0_RX_EN,Core 0 Receive Enable - Each bit in this register corresponds to the bit in the rx interrupt that is enabled to generate an interrupt on RX_PULSE" line.long 0x18 "WR_C0_TX_EN,Subsystem core 0 transmit interrupt enable register" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," hexmask.long.byte 0x18 0.--7. 1. "C0_TX_EN,Core 0 Transmit Enable - Each bit in this register corresponds to the bit in the tx interrupt that is enabled to generate an interrupt on TX_PULSE" line.long 0x1C "WR_C0_MISC_EN,Subsystem core 0 misc interrupt enable register" hexmask.long 0x1C 5.--31. 1. "RESERVED," bitfld.long 0x1C 0.--4. "C0_MISC_EN,Core 0 Misc Enable - Each bit in this register corresponds to the miscellaneous interrupt (SPF2_PEND SPF1_PEND EVNT_PEND STAT_PEND HOST_PEND MDIO_LINKINT MDIO_USERINT) that is enabled to generate an interrupt on MISC_PULSE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" rgroup.long 0x40++0x0F line.long 0x00 "WR_C0_RX_THRESH_STAT,Subsystem core 0 rx threshold masked int status register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C0_RX_THRESH_STAT,Core 0 Receive Threshold Masked Interrupt Status - Each bit in this read only register corresponds to the bit in the receive threshold interrupt that is enabled and generating an interrupt on RX_THRESH_PULSE" line.long 0x04 "WR_C0_RX_STAT,Subsystem core 0 rx interrupt masked int status register" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C0_RX_STAT,Core 0 Receive Masked Interrupt Status - Each bit in this read only register corresponds to the bit in the Rx interrupt that is enabled and generating an interrupt on RX_PULSE" line.long 0x08 "WR_C0_TX_STAT,Subsystem core 0 tx interrupt masked int status register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," hexmask.long.byte 0x08 0.--7. 1. "C0_TX_STAT,Core 0 Transmit Masked Interrupt Status - Each bit in this read only register corresponds to the bit in the Tx interrupt that is enabled and generating an interrupt on TX_PULSE" line.long 0x0C "WR_C0_MISC_STAT,Subsystem core 0 misc interrupt masked int status register" hexmask.long 0x0C 5.--31. 1. "RESERVED," bitfld.long 0x0C 0.--4. "C0_MISC_STAT,Core 0 Misc Masked Interrupt Status - Each bit in this register corresponds to the miscellaneous interrupt (SPF2_PEND SPF1_PEND EVNT_PEND STAT_PEND HOST_PEND MDIO_LINKINT MDIO_USERINT) that is enabled and generating an interrupt on MISC_PULSE" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" group.long 0x70++0x07 line.long 0x00 "WR_C0_RX_IMAX,Subsystem core 0 receive interrupts per millisecond" hexmask.long 0x00 6.--31. 1. "RESERVED," bitfld.long 0x00 0.--5. "C0_RX_IMAX,Core 0 Receive Interrupts per Millisecond - The maximum number of interrupts per millisecond generated on RX_PULSE if pacing is enabled for this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" line.long 0x04 "WR_C0_TX_IMAX,Subsystem core 0 transmit interrupts per millisecond" hexmask.long 0x04 6.--31. 1. "RESERVED," bitfld.long 0x04 0.--5. "C0_TX_IMAX,Core 0 Transmit Interrupts per Millisecond - The maximum number of interrupts per millisecond generated on TX_PULSE if pacing is enabled for this interrupt" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63" rgroup.long 0x88++0x07 line.long 0x00 "WR_RGMII_CTL,RGMII control signal register" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "RGMII2_FULLDUPLEX,RGMII 2 Fullduplex - This is the CPRGMII fullduplex output signal" "Half-duplex mode,Full-duplex mode" bitfld.long 0x00 5.--6. "RGMII2_SPEED,RGMII2 Speed - This is the CPRGMII speed output signal" "0,1,2,3" newline bitfld.long 0x00 4. "RGMII2_LINK,RGMII2 Link Indicator - This is the CPRGMII link output signal" "RGMII2 link is down,RGMII2 link is up" bitfld.long 0x00 3. "RGMII1_FULLDUPLEX,RGMII1 Fullduplex - This is the CPRGMII fullduplex output signal" "Half-duplex mode,Full-duplex mode" bitfld.long 0x00 1.--2. "RGMII1_SPEED,RGMII1 Speed - This is the CPRGMII speed output signal" "0,1,2,3" newline bitfld.long 0x00 0. "RGMII1_LINK,RGMII1 Link Indicator - This is the CPRGMII link output signal" "RGMII1 link is down,RGMII1 link is up" line.long 0x04 "WR_STATUS,Subsystem Status register" hexmask.long 0x04 3.--31. 1. "RESERVED," bitfld.long 0x04 2. "SPF2_CLKSTOP_ACK,SPF2 Clockstop Acknowledge - When asserted the subsystem gated clock is not turned on due to SPF2" "0,1" bitfld.long 0x04 1. "SPF1_CLKSTOP_ACK,SPF1 Clockstop Acknowledge - When asserted the subsystem gated clock is not turned on due to SPF1" "0,1" newline bitfld.long 0x04 0. "EEE_CLKSTOP_ACK,CPSW_3G Clockstop Acknowledge - When asserted the subsystem gated clock is not turned on due to the CPSW_3G" "0,1" tree.end else AUTOINDENT.ON center tree tree.open "ICSS_0" tree "PRUSS1_CTRL" base ad:0x22000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS1_DEBUG" base ad:0x22400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS2_CTRL" base ad:0x24000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS2_DEBUG" base ad:0x24400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS_CFG" base ad:0x26000 rgroup.long 0x00++0x23 line.long 0x00 "PRUSS_REVID,The Revision Register contains the ID and revision information" line.long 0x04 "PRUSS_SYSCFG,The System Configuration Register defines the power IDLE and STANDBY modes" hexmask.long 0x04 6.--31. 1. "RESERVED," bitfld.long 0x04 5. "SUB_MWAIT,Status bit for wait state" "Ready for Transaction,Wait until 0" newline bitfld.long 0x04 4. "STANDBY_INIT," "0,1" bitfld.long 0x04 2.--3. "STANDBY_MODE," "?,No standby mode,Smart standby mode,Reserved" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode,Reserved" line.long 0x08 "PRUSS_GPCFG0,The General Purpose Configuration 0 Register defines the GPI O configuration for PRU0" rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x08 26.--29. "PR1_PRU0_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 25. "PRU0_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x08 20.--24. "PRU0_GPO_DIV1,Divisor value (divide by PRU0_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 15.--19. "PRU0_GPO_DIV0,Divisor value (divide by PRU0_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 14. "PRU0_GPO_MODE," "0,1" newline bitfld.long 0x08 13. "PRU0_GPI_SB,Start Bit event for 28-bit shift mode" "No Effect,Will clear PRU0_GPI_SB.." bitfld.long 0x08 8.--12. "PRU0_GPI_DIV1,Divisor value (divide by PRU0_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 3.--7. "PRU0_GPI_DIV0,Divisor value (divide by PRU0_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 2. "PRU0_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru0_r31_status[16],Use the negative edge of pru0_r31_status[16]" newline bitfld.long 0x08 0.--1. "PRU0_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x0C "PRUSS_GPCFG1,The General Purpose Configuration 1 Register defines the GPI O configuration for PRU1" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 26.--29. "PR1_PRU1_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 25. "PRU1_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x0C 20.--24. "PRU1_GPO_DIV1,Divisor value (divide by PRU1_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 15.--19. "PRU1_GPO_DIV0,Divisor value (divide by PRU1_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 14. "PRU1_GPO_MODE," "0,1" newline bitfld.long 0x0C 13. "PRU1_GPI_SB,28-bit shift mode Start Bit event" "No Effect,Will clear PRU1_GPI_SB.." bitfld.long 0x0C 8.--12. "PRU1_GPI_DIV1,Divisor value (divide by PRU1_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 3.--7. "PRU1_GPI_DIV0,Divisor value (divide by PRU1_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 2. "PRU1_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru1_r31_status[16],Use the negative edge of pru1_r31_status[16]" newline bitfld.long 0x0C 0.--1. "PRU1_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x10 "PRUSS_CGR,The Clock Gating Register controls the state of Clock Management of the different modules" hexmask.long.word 0x10 18.--31. 1. "RESERVED," bitfld.long 0x10 17. "IEP_CLK_EN,IEP clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 16. "IEP_CLK_STOP_ACK,Acknowledgement that IEP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 15. "IEP_CLK_STOP_REQ,IEP request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 14. "ECAP_CLK_EN,ECAP clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 13. "ECAP_CLK_STOP_ACK,Acknowledgement that ECAP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 12. "ECAP_CLK_STOP_REQ,ECAP request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 11. "UART_CLK_EN,UART clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 10. "UART_CLK_STOP_ACK,Acknowledgement that UART clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 9. "UART_CLK_STOP_REQ,UART request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 8. "PRUSS_INTC_CLK_EN,PRUSS_INTC clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 7. "PRUSS_INTC_CLK_STOP_ACK,Acknowledgement that PRUSS_INTC clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 6. "PRUSS_INTC_CLK_STOP_REQ,PRUSS_INTC request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 5. "PRU1_CLK_EN,PRU1 clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 4. "PRU1_CLK_STOP_ACK,Acknowledgement that PRU1 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 3. "PRU1_CLK_STOP_REQ,PRU1 request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 2. "PRU0_CLK_EN,PRU0 clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 1. "PRU0_CLK_STOP_ACK,Acknowledgement that PRU0 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 0. "PRU0_CLK_STOP_REQ,PRU0 request to stop clock" "do not request to stop Clock,request to stop Clock" line.long 0x14 "PRUSS_ISRP,The IRQ Status Raw Parity register is a snapshot of the IRQ raw status for the PRUSS memory parity events" hexmask.long.word 0x14 20.--31. 1. "RESERVED," bitfld.long 0x14 16.--19. "RAM_PE_RAW,RAM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 12.--15. "PRU1_DMEM_PE_RAW,PRU1 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 8.--11. "PRU1_IMEM_PE_RAW,PRU1 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 4.--7. "PRU0_DMEM_PE_RAW,PRU0 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 0.--3. "PRU0_IMEM_PE_RAW,PRU0 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." line.long 0x18 "PRUSS_ISP,The IRQ Status Parity Register is a snapshot of the IRQ status for the PRUSS memory parity events" hexmask.long.word 0x18 20.--31. 1. "RESERVED," bitfld.long 0x18 16.--19. "RAM_PE,RAM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 12.--15. "PRU1_DMEM_PE,PRU1 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." bitfld.long 0x18 8.--11. "PRU1_IMEM_PE,PRU1 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 4.--7. "PRU0_DMEM_PE,PRU0 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No(enabled) event pending,Clear event,?..." bitfld.long 0x18 0.--3. "PRU0_IMEM_PE,PRU0 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." line.long 0x1C "PRUSS_IESP,The IRQ Enable Set Parity Register enables the IRQ PRUSS memory parity events" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," bitfld.long 0x1C 16.--19. "RAM_PE_SET,RAM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 12.--15. "PRU1_DMEM_PE_SET,PRU1 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 8.--11. "PRU1_IMEM_PE_SET,PRU1 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 4.--7. "PRU0_DMEM_PE_SET,PRU0 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 0.--3. "PRU0_IMEM_PE_SET,PRU0 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." line.long 0x20 "PRUSS_IECP,The IRQ Enable Clear Parity Register disables the IRQ PRUSS memory parity events" hexmask.long.word 0x20 16.--31. 1. "RESERVED," bitfld.long 0x20 12.--15. "PRU1_DMEM_PE_CLR,PRU1 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 8.--11. "PRU1_IMEM_PE_CLR,PRU1 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." bitfld.long 0x20 4.--7. "PRU0_DMEM_PE_CLR,PRU0 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 0.--3. "PRU0_IMEM_PE_CLR,PRU0 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." group.long 0x28++0x0F line.long 0x00 "PRUSS_PMAO,The PRU Master OCP Address Offset Register enables for the PRU OCP Master Port Address to have an offset of minus 0x0008_0000" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "PMAO_PRU1,PRU1 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" newline bitfld.long 0x00 0. "PMAO_PRU0,PRU0 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" line.long 0x04 "PRUSS_MII_RT,The MII_RT Event Enable Register enables MII_RT mode events to the PRUSS.PRUSS_INTC" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x08 "PRUSS_IEPCLK,The IEP Clock Source Register defines the source of the IEP clock" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x0C "PRUSS_SPP,The Scratch Pad Priority and Configuration Register defines the access priority assigned to the PRU cores and configures the scratch pad XFR shift functionality" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 1. "XFR_SHIFT_EN,Enables XIN XOUT shift functionality" "Disabled,Enabled" newline bitfld.long 0x0C 0. "PRU1_PAD_HP_EN,Defines which PRU wins write cycle arbitration to a common scratch pad bank" "PRU0 has highest priority,PRU1 has highest priority" group.long 0x40++0x03 line.long 0x00 "PRUSS_PIN_MX,The Pin Mux Select Register defines the state of the PRUSS internal pinmuxing" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 9. "PWM3_REMAP_EN,UNUSED IN THIS DEVICE" "0,1" newline bitfld.long 0x00 8. "PWM0_REMAP_EN,If enabled host intr6 of PRUSS2 controls epwm_sync_in of PWMSS1 instead of ehrpwm1_synci device pin" "0,1" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" tree.end tree "PRUSS_ECAP" base ad:0x30000 group.long 0x00++0x17 line.long 0x00 "PRUSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PRUSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PRUSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PRUSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PRUSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PRUSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x09 line.word 0x00 "PRUSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPRUSS_ECAP_CAP1 to PRUSS_ECAP_CAP4 registers on a capture event" "Disable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register..,Enable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PRUSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "ECAP module operates in capture mode,ECAP module operates in APWM mode" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select CTR = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PRUSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PRUSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PRUSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." group.word 0x34++0x01 line.word 0x00 "PRUSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED," bitfld.word 0x00 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the CTR=CMP flag bit" newline bitfld.word 0x00 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the CTR=PRD flag bit" bitfld.word 0x00 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x00 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x00 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x00 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x00 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x00 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PRUSS_ECAP_PID,ECAP Revision ID" tree.end tree "PRUSS_IEP" base ad:0x2E000 group.long 0x00++0x1F line.long 0x00 "PRUSS_IEP_GLOBAL_CFG,GLOBAL CFG" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.word 0x00 8.--19. 1. "CMP_INC,Defines the increment value when compensation is active" newline bitfld.long 0x00 4.--7. "DEFAULT_INC,Defines the default increment value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CNT_ENABLE,Counter enable" "Disables the counter,Enables the counter" line.long 0x04 "PRUSS_IEP_STATUS,STATUS" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "CNT_OVF,Counter overflow status" "No overflow,Overflow occurred" line.long 0x08 "PRUSS_IEP_COMPENSATION,COMPENSATION" hexmask.long.byte 0x08 24.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x08 0.--23. 1. "COMPEN_CNT,Compensation counter" line.long 0x0C "PRUSS_IEP_SLOW_COMPENSATION,SLOW COMPENSATION" line.long 0x10 "PRUSS_IEP_LOW_COUNTER,64 bit count value low" line.long 0x14 "PRUSS_IEP_HIGH_COUNTER,64 bit count value high" line.long 0x18 "PRUSS_IEP_CAPTURE_CFG,CAPTURE CFG" hexmask.long.word 0x18 18.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 10.--17. 1. "CAP_ASYNC_EN,Synchronization of the capture inputs to the PRUSS_IEP_CLK/PRUSS_GICLK enable" newline bitfld.long 0x18 9. "CAP7F_1ST_EVENT_EN,Capture 1st Event Enable for cap[7] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 8. "CAP7R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[7] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 7. "CAP6F_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 6. "CAP6R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 0.--5. "CAP_1ST_EVENT_EN,Capture 1-st Event Enable for n" "Continues mode,First Event mode,?..." line.long 0x1C "PRUSS_IEP_CAPTURE_STATUS,CAPTURE STATUS" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," newline abitfld.long 0x1C 16.--23. "CAP_RAW,Raw/Current status bit for each of the capture registers where CAP_RAW[n] maps to CAPR[n]" "0x00=Current state is low for capn,0x01=Current state is high for capn" newline bitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 10. "CAP_VALID,Valid status for capture function" "0,1" newline bitfld.long 0x1C 9. "CAPF7_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 8. "CAPR7_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 7. "CAPF6_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 6. "CAPR6_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 0.--5. "CAPR_VALID,Valid Status capr_validn maps PRUSS_IEP_CAPRn_REG where n=0 to 5 " "No Hit no capture event occurred,Hit capture event occurred,?..." rgroup.long 0x50++0x27 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE06,CAPTURE RISE6 low" line.long 0x04 "PRUSS_IEP_CAPTURE_RISE16,CAPTURE RISE6 high" line.long 0x08 "PRUSS_IEP_CAPTURE_FALL06,CAPTURE FALL6 low" line.long 0x0C "PRUSS_IEP_CAPTURE_FALL16,CAPTURE FALL6 high" line.long 0x10 "PRUSS_IEP_CAPTURE_RISE07,CAPTURE RISE7 low" line.long 0x14 "PRUSS_IEP_CAPTURE_RISE17,CAPTURE RISE7 high" line.long 0x18 "PRUSS_IEP_CAPTURE_FALL07,CAPTURE FALL7 low" line.long 0x1C "PRUSS_IEP_CAPTURE_FALL17,CAPTURE FALL7 high" line.long 0x20 "PRUSS_IEP_COMPARE_CFG,COMPARE CFG" hexmask.long.word 0x20 17.--31. 1. "RESERVED," newline hexmask.long.word 0x20 1.--16. 1. "CMP_EN,Enable bits for each of the compare registers CMP_EN =" newline bitfld.long 0x20 0. "CMP0_RST_CNT_EN,Enable the reset of the counter" "Disable,Enable the reset of.." line.long 0x24 "PRUSS_IEP_COMPARE_STATUS,COMPARE STATUS" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline abitfld.long 0x24 0.--15. "CMP_HIT,Status bit for each of the compare registers 'Match' indicates the current counter is greater than or equal to the compare value" "0x0000=No match has occured..,0x0001=A match occured" group.long 0xB8++0x07 line.long 0x00 "PRUSS_IEP_RXIPG0,RXIPG0 This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x00 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x00 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" line.long 0x04 "PRUSS_IEP_RXIPG1,RXIPG1This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x04 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x04 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" group.long 0x100++0x0B line.long 0x00 "PRUSS_IEP_LOW_COUNTER_RESET_VALUE,LOW_COUNTER_RESET_VALUE" line.long 0x04 "PRUSS_IEP_HIGH_COUNTER_RESET_VALUE,HIGH_COUNTER_RESET_VALUE" line.long 0x08 "PRUSS_IEP_PWM,PWM Sync Out" hexmask.long 0x08 4.--31. 1. "RESERVED," newline bitfld.long 0x08 3. "PWM3_HIT,The raw status bit of pwm3_sync_out event" "No pwm3_sync_out event,pwm3_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 2. "PWM3_RST_CNT_EN,Enable the reset of the counter by a pwm3_sync_out event" "Disable,Enable the reset of.." newline bitfld.long 0x08 1. "PWM0_HIT,The raw status bit of pwm0_sync_out event" "No pwm0_sync_out event,pwm0_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 0. "PWM0_RST_CNT_EN,Enable the reset of the counter by a pwm0_sync_out event" "Disable,Enable the reset of.." group.long 0x180++0x1F line.long 0x00 "PRUSS_IEP_SYNC_CTRL,SYNC CTRL" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "SYNC1_IND_EN,SYNC1 independent mode enable" "Dependent mode,Independent mode" newline bitfld.long 0x00 7. "SYNC1_CYCLIC_EN,SYNC1 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 6. "SYNC1_ACK_EN,SYNC1 acknowledgement mode enable" "Disable SYNC1 will go low after pulse width is met,Enable SYNC1 will remain asserted until.." newline bitfld.long 0x00 5. "SYNC0_CYCLIC_EN,SYNC0 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 4. "SYNC0_ACK_EN,SYNC0 acknowledgement mode enable" "Disable SYNC0 will go low after pulse width is met,Enable SYNC0 will remain asserted until.." newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "SYNC1_EN,SYNC1 generation enable" "Disable SYNC1 generation,Enable SYNC1 generation" newline bitfld.long 0x00 1. "SYNC0_EN,SYNC0 generation enable" "Disable SYNC0 generation,Enable SYNC0 generation" newline bitfld.long 0x00 0. "SYNC_EN,SYNC generation enable" "Disable the generation and clocking of SYNC0 and..,Enables SYNC0 and SYNC1 generation" line.long 0x04 "PRUSS_IEP_SYNC_FIRST_STAT,SYNC CTRL" hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 1. "FIRST_SYNC1,SYNC1 First Event status" "SYNC1 first event has NOT occurred,SYNC1 first event has occurred" newline bitfld.long 0x04 0. "FIRST_SYNC0,SYNC0 First Event status" "SYNC0 first event has not occurred,SYNC0 first event has occurred" line.long 0x08 "PRUSS_IEP_SYNC0_STAT,SYNC CTRL" hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "SYNC0_PEND,SYNC0 pending state" "0,1" line.long 0x0C "PRUSS_IEP_SYNC1_STAT,SYNC CTRL" hexmask.long 0x0C 1.--31. 1. "RESERVED," newline bitfld.long 0x0C 0. "SYNC1_PEND,SYNC1 pending state" "SYNC1 is not pending,SYNC1 is pending or has occurred when.." line.long 0x10 "PRUSS_IEP_SYNC_PWIDTH,SYNC CTRL" line.long 0x14 "PRUSS_IEP_SYNC0_PERIOD,SYNC CTRL" line.long 0x18 "PRUSS_IEP_SYNC1_DELAY,SYNC CTRL" line.long 0x1C "PRUSS_IEP_SYNC_START,SYNC CTRL" group.long 0x200++0x17 line.long 0x00 "PRUSS_IEP_WD_PREDIV,WD" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--15. 1. "PRE_DIV,Defines the number of iep_clk cycles per WD clock event" line.long 0x04 "PRUSS_IEP_PDI_WD_TIM,WD" hexmask.long.word 0x04 16.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--15. 1. "PDI_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x08 "PRUSS_IEP_PD_WD_TIM,WD" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline hexmask.long.word 0x08 0.--15. 1. "PD_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x0C "PRUSS_IEP_WD_STATUS,WD" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline bitfld.long 0x0C 16. "PDI_WD_STAT,WD PDI status" "Expired (PDI_WD_EXP event generated),Active or disabled" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "PD_WD_STAT,WD PD status (triggered by Sync Mangers status)" "Expired (PD_WD_EXP event generated),Active or disabled" line.long 0x10 "PRUSS_IEP_WD_EXP_CNT,WD" hexmask.long.word 0x10 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 8.--15. 1. "PD_EXP_CNT,WD PD expiration counter" newline hexmask.long.byte 0x10 0.--7. 1. "PDI_EXP_CNT,WD PDI expiration counter" line.long 0x14 "PRUSS_IEP_WD_CTRL,WD" hexmask.long.word 0x14 17.--31. 1. "RESERVED," newline bitfld.long 0x14 16. "PDI_WD_EN,Watchdog PDI" "Disable,Enable" newline hexmask.long.word 0x14 1.--15. 1. "RESERVED," newline bitfld.long 0x14 0. "PD_WD_EN,Watchdog PD" "Disable,Enable" group.long 0x300++0x03 line.long 0x00 "PRUSS_IEP_DIGIO_CTRL,DIGIO" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline bitfld.long 0x00 6.--7. "OUT_MODE,Defines event that triggers data out to be updated" "0,1,2,3" newline bitfld.long 0x00 4.--5. "IN_MODE,Defines event that triggers data in to be sampled" "0,1,2,3" newline bitfld.long 0x00 3. "WD_MODE,Defines Watchdog behavior" "0,1" newline rbitfld.long 0x00 2. "BIDI_MODE,Indicates the digital input/output direction" "0,1" newline bitfld.long 0x00 1. "OUTVALID_MODE,Defines OUTVALID mode" "0,1" newline rbitfld.long 0x00 0. "OUTVALID_POL,Indicates OUTVALID polarity" "0,1" rgroup.long 0x308++0x13 line.long 0x00 "PRUSS_IEP_DIGIO_DATA_IN,DIGIO" line.long 0x04 "PRUSS_IEP_DIGIO_DATA_IN_RAW,DIGIO" line.long 0x08 "PRUSS_IEP_DIGIO_DATA_OUT,DIGIO" line.long 0x0C "PRUSS_IEP_DIGIO_DATA_OUT_EN,DIGIO" line.long 0x10 "PRUSS_IEP_DIGIO_EXP,DIGIO" hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline bitfld.long 0x10 13. "EOF_SEL,Defines which RX_EOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 12. "SOF_SEL,Defines which RX_SOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 8.--11. "SOF_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay of SOF PR1_EDIO_DATA_IN[31:0] capture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 4.--7. "OUTVALID_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay on assertion of PR1_EDIO_OUTVALID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "SW_OUTVALID,pr1_edio_outvalid = SW_OUTVALID only if OUTVALID_OVR_EN is set" "0,1" newline bitfld.long 0x10 1. "OUTVALID_OVR_EN,Enable software to control value of pr<k>_edio_data_out [7:0]" "Disable,Enable" newline bitfld.long 0x10 0. "SW_DATA_OUT_UPDATE,Defines the value of pr1_edio_data_out when OUTVALID_OVR_EN = 1.Read" "No Effect,pr1_edio_data_out by.." repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x104)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1k_$1,COMPARE(k) high" repeat.end repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x100)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0k_$1,COMPARE(k) low" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7C)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1j_$1,COMPARE(j) high" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x78)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0j_$1,COMPARE(j) low" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x24)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE1i_$1,CAPTURE RISE(i) high" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x20)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE0i_$1,CAPTURE RISE(i) low" repeat.end tree.end tree "PRUSS_INTC" base ad:0x20000 rgroup.long 0x00++0x07 line.long 0x00 "PRUSS_INTC_REVID,Revision ID Register" line.long 0x04 "PRUSS_INTC_CR,The Control Register holds global control parameters and can forces a soft reset on the module" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "PRIORITY_HOLD_MODE,Reserved" "0,1" newline bitfld.long 0x04 2.--3. "NEST_MODE,The nesting mode" "no nesting,automatic individual nesting (per host interrupt),automatic global nesting (over all host..,manual nesting" bitfld.long 0x04 1. "WAKEUP_MODE,Reserved" "0,1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" group.long 0x10++0x03 line.long 0x00 "PRUSS_INTC_GER,The Global Host Interrupt Enable Register enables all the host interrupts" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "ENABLE_HINT_ANY,The current global enable value when" "0,1" group.long 0x1C++0x13 line.long 0x00 "PRUSS_INTC_GNLR,The Global Nesting Level Register allows the checking and setting of the global nesting level across all host interrupts when automatic global nesting mode is set" bitfld.long 0x00 31. "AUTO_OVERRIDE,Always read as 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "GLB_NEST_LEVEL,The current global nesting level (highest channel that is nested)" line.long 0x04 "PRUSS_INTC_SISR,The System Interrupt Status Indexed Set Register allows setting the status of an interrupt" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "STATUS_SET_INDEX,Writes set the status of the interrupt given in the index value" line.long 0x08 "PRUSS_INTC_SICR,The System Interrupt Status Indexed Clear Register allows clearing the status of an interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," hexmask.long.word 0x08 0.--9. 1. "STATUS_CLR_INDEX,Writes clear the status of the interrupt given in the index value" line.long 0x0C "PRUSS_INTC_EISR,The System Interrupt Enable Indexed Set Register allows enabling an interrupt" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--9. 1. "ENABLE_SET_INDEX,Writes set the enable of the interrupt given in the index value" line.long 0x10 "PRUSS_INTC_EICR,The System Interrupt Enable Indexed Clear Register allows disabling an interrupt" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," hexmask.long.word 0x10 0.--9. 1. "ENABLE_CLR_INDEX,Writes clear the enable of the interrupt given in the index value" group.long 0x34++0x07 line.long 0x00 "PRUSS_INTC_HIEISR,The Host Interrupt Enable Indexed Set Register allows enabling a host interrupt output" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "HINT_ENABLE_SET_INDEX,Writes set the enable of the host interrupt given in the index value" line.long 0x04 "PRUSS_INTC_HIDISR,The Host Interrupt Enable Indexed Clear Register allows disabling a host interrupt output" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "HINT_ENABLE_CLR_INDEX,Writes clear the enable of the host interrupt given in the index value" rgroup.long 0x80++0x03 line.long 0x00 "PRUSS_INTC_GPIR,The Global Prioritized Index Register shows the interrupt number of the highest priority interrupt pending across all the host interrupts" bitfld.long 0x00 31. "GLB_NONE,No Interrupt is pending" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "GLB_PRI_INTR,The currently highest priority interrupt index pending across all the host interrupts" group.long 0x200++0x07 line.long 0x00 "PRUSS_INTC_SRSR0,The System Interrupt Status Raw Set Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SRSR1,The System Interrupt Status Raw Set Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x280++0x07 line.long 0x00 "PRUSS_INTC_SECR0,The System Interrupt Status Enabled Clear Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SECR1,The System Interrupt Status Enabled Clear Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x300++0x07 line.long 0x00 "PRUSS_INTC_ESR0,The System Interrupt Enable Set Register0 enables system interrupts 0 to 31 to trigger outputs" line.long 0x04 "PRUSS_INTC_ERS1,The System Interrupt Enable Set Register1 enables system interrupts 32 to 63 to trigger outputs" group.long 0x380++0x07 line.long 0x00 "PRUSS_INTC_ECR0,The System Interrupt Enable Clear Register0 disables system interrupts 0 to 31 to map to channels" line.long 0x04 "PRUSS_INTC_ECR1,The System Interrupt Enable Clear Register1 disables system interrupts 32 to 63 to map to channels" group.long 0x800++0x0B line.long 0x00 "PRUSS_INTC_HMR0,The Host Interrupt Map Register0 define the host interrupt for channels 0 to 3" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "HINT_MAP_3,HOST INTERRUPT MAP FOR CHANNEL 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "HINT_MAP_2,HOST INTERRUPT MAP FOR CHANNEL 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "HINT_MAP_1,HOST INTERRUPT MAP FOR CHANNEL 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "HINT_MAP_0,HOST INTERRUPT MAP FOR CHANNEL 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PRUSS_INTC_HMR1,The Host Interrupt Map Register1 define the host interrupt for channels 4 to 7" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. "HINT_MAP_7,HOST INTERRUPT MAP FOR CHANNEL 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. "HINT_MAP_6,HOST INTERRUPT MAP FOR CHANNEL 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. "HINT_MAP_5,HOST INTERRUPT MAP FOR CHANNEL 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. "HINT_MAP_4,HOST INTERRUPT MAP FOR CHANNEL 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PRUSS_INTC_HMR2,The Host Interrupt Map Register2 define the host interrupt for channels 8 to 9" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 8.--11. "HINT_MAP_9,HOST INTERRUPT MAP FOR CHANNEL 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. "HINT_MAP_8,HOST INTERRUPT MAP FOR CHANNEL 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD00++0x07 line.long 0x00 "PRUSS_INTC_SIPR0,The System Interrupt Polarity Register0 define the polarity of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SIPR1,The System Interrupt Polarity Register1 define the polarity of the system interrupts 32 to 63" group.long 0xD80++0x07 line.long 0x00 "PRUSS_INTC_SITR0,The System Interrupt Type Register0 define the type of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SITR1,The System Interrupt Type Register1 define the type of the system interrupts 32 to 63" group.long 0x1500++0x03 line.long 0x00 "PRUSS_INTC_HIER,The Host Interrupt Enable Registers enable or disable individual host interrupts" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," abitfld.long 0x00 0.--9. "ENABLE_HINT,The enable of the host interrupts (one per bit)" "0x000=disabled,0x001=enabled" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1100)++0x03 line.long 0x00 "PRUSS_INTC_HINLRj_$1,The Host Interrupt Nesting Level Register_j (where j=0 to 9) display and control the nesting level for host interrupt j" bitfld.long 0x00 31. "AUTO_OVERRIDE,Reads return 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "NEST_HINT,Reads return the current nesting level for the host interrupt" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) rgroup.long ($2+0x900)++0x03 line.long 0x00 "PRUSS_INTC_HIPIRj_$1,The Host Interrupt Prioritized Index Register_j (where j=0 to 9) shows the highest priority current pending interrupt for the host interrupt j" bitfld.long 0x00 31. "NONE_HINT,No pending interrupt" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "PRI_HINT,HOST INT j PRIORITIZED INTERRUPT" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x03 line.long 0x00 "PRUSS_INTC_CMRi_$1,There are 16 identical CMR registers (i=0 to 15)" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CH_MAP_3,Sets the channel for the system interrupt (k+3)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CH_MAP_2,Sets the channel for the system interrupt (k+2)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CH_MAP_1,Sets the channel for the system interrupt (k+1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CH_MAP_0,Sets the channel for the system interrupt k" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PRUSS_MII_MDIO" base ad:0x32400 rgroup.long 0x00++0x17 line.long 0x00 "PRUSS_MII_MDIO_VER,MDIO MODULE VERSION REGISTER" line.long 0x04 "PRUSS_MII_MDIO_CONTROL,MDIO MODULE CONTROL REGISTER" rbitfld.long 0x04 31. "IDLE,MDIO state machine IDLE" "0,1" bitfld.long 0x04 30. "ENABLE,Enable control" "0,1" rbitfld.long 0x04 29. "RESERVED," "0,1" rbitfld.long 0x04 24.--28. "HIGHEST_USER_CHANNEL,Highest user channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 20. "PREAMBLE,Preamble disable" "0,1" bitfld.long 0x04 19. "FAULT,Fault indicator" "0,1" bitfld.long 0x04 18. "FAULT_DETECT_ENABLE,Fault detect enable" "0,1" newline bitfld.long 0x04 17. "INT_TEST_ENABLE,Interrupt test enable" "0,1" rbitfld.long 0x04 16. "RESERVED," "0,1" hexmask.long.word 0x04 0.--15. 1. "CLKDIV,Clock Divider" line.long 0x08 "PRUSS_MII_MDIO_ALIVE,PHY ACKNOWLEDGE STATUS REGISTER" line.long 0x0C "PRUSS_MII_MDIO_LINK,PHY LINK STATUS REGISTER" line.long 0x10 "PRUSS_MII_MDIO_LINKINTRAW,LINK STATUS CHANGE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x10 2.--31. 1. "RESERVED," bitfld.long 0x10 0.--1. "LINKINTRAW,MDIO link change event raw value" "0,1,2,3" line.long 0x14 "PRUSS_MII_MDIO_LINKINTMASKED,LINK STATUS CHANGE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x14 2.--31. 1. "RESERVED," bitfld.long 0x14 0.--1. "LINKINTMASKED,MDIO link change interrupt masked value" "0,1,2,3" group.long 0x20++0x0F line.long 0x00 "PRUSS_MII_MDIO_USERINTRAW,USER COMMAND COMPLETE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "USERINTRAW,Raw value of MDIO user command complete event for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x04 "PRUSS_MII_MDIO_USERINTMASKED,USER COMMAND COMPLETE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 0.--1. "USERINTMASKED,Masked value of MDIO user command complete interrupt for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x08 "PRUSS_MII_MDIO_USERINTMASKSET,USER INTERRUPT MASK SET REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 0.--1. "USERINTMASKEDSET,MDIO user interrupt mask set for userintmasked[1:0] respectively" "0,1,2,3" line.long 0x0C "PRUSS_MII_MDIO_USERINTMASKCLR,USER INTERRUPT MASK CLEAR REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 0.--1. "USERINTMASKEDCLR,MDIO user command complete interrupt mask clear for userintmasked[1:0] respectively" "0,1,2,3" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x84)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERPHYSEL$1,USER PHY SELECT REGISTER0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "LINKSEL,Link status determination select" "0,1" newline bitfld.long 0x00 6. "LINKINT_ENABLE,Link change interrupt enable" "0,1" rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 0.--4. "PHYADR_MON,PHY address whose link status is to be monitored" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x80)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERACCESS$1,USER ACCESS REGISTER0" bitfld.long 0x00 31. "GO,Go" "0,1" bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" repeat.end tree.end tree "PRUSS_MII_RT" base ad:0x32000 group.long 0x00++0x07 line.long 0x00 "PRUSS_MII_RT_RXCFG0,MII RXCFG 0 REGISTER This register contains the PRU0 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline bitfld.long 0x00 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x00 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x00 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x00 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x00 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x00 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x00 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x00 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x00 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x00 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" line.long 0x04 "PRUSS_MII_RT_RXCFG1,MII RXCFG 1 REGISTER This register contains the PRU1 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline bitfld.long 0x04 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x04 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x04 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x04 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x04 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x04 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x04 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x04 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x04 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x04 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" group.long 0x10++0x07 line.long 0x00 "PRUSS_MII_RT_TXCFG0,MII TXCFG 0 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "TX_32_MODE_EN," "0,1" newline rbitfld.long 0x00 10. "RESERVED," "0,1" newline bitfld.long 0x00 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x00 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If R30[15:8] = Byte1{Nibble3 Nibble2} R30[7:0] =..,If R30[15:8] = Byte0{Nibble1 Nibble0} R30[7:0] =.." newline bitfld.long 0x00 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x00 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x00 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." line.long 0x04 "PRUSS_MII_RT_TXCFG1,MII TXCFG 1 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 11. "TX_32_MODE_EN," "0,1" newline bitfld.long 0x04 10. "RESERVED," "0,1" newline bitfld.long 0x04 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x04 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0..,If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0.." newline bitfld.long 0x04 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x04 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x04 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." rgroup.long 0x20++0x07 line.long 0x00 "PRUSS_MII_RT_TX_CRC0,MII TXCRC 0 REGISTER It contains CRC32 which PRU0 reads" line.long 0x04 "PRUSS_MII_RT_TX_CRC1,MII TXCRC 1 REGISTER It contains CRC32 which PRU1 reads" group.long 0x30++0x27 line.long 0x00 "PRUSS_MII_RT_TX_IPG0,MII TXIPG 0 REGISTER" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x04 "PRUSS_MII_RT_TX_IPG1,MII TXIPG 1 REGISTER" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x08 "PRUSS_MII_RT_PRS0,MII PORT STATUS 0 REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 1. "MII_CRS,Read the current state of pr1_mii0_crs" "0,1" newline bitfld.long 0x08 0. "MII_COL,Read the current state of pr1_mii0_col" "0,1" line.long 0x0C "PRUSS_MII_RT_PRS1,MII PORT STATUS 1 REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 1. "MII_CRS,Read the current state of pr1_mii1_crs" "0,1" newline bitfld.long 0x0C 0. "MII_COL,Read the current state of pr1_mii1_col" "0,1" line.long 0x10 "PRUSS_MII_RT_RX_FRMS0,MII RXFRMS 0 REGISTER" hexmask.long.word 0x10 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x10 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x14 "PRUSS_MII_RT_RX_FRMS1,MII RXFRMS 1 REGISTER" hexmask.long.word 0x14 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x14 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x18 "PRUSS_MII_RT_RX_PCNT0,MII RXPCNT 0 REGISTER" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline bitfld.long 0x18 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x18 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PRUSS_MII_RT_RX_PCNT1,MII RXPCNT 1 REGISTER" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED," newline bitfld.long 0x1C 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x1C 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "?,1 0x5 before 0xD5,2 0x5 before 0xD5 N,?..." line.long 0x20 "PRUSS_MII_RT_RX_ERR0,MII RXERR 0 REGISTER" hexmask.long 0x20 4.--31. 1. "RESERVED," newline bitfld.long 0x20 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" line.long 0x24 "PRUSS_MII_RT_RX_ERR1,MII RXERR 1 REGISTER" hexmask.long 0x24 4.--31. 1. "RESERVED," newline bitfld.long 0x24 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" rgroup.long 0x60++0x0F line.long 0x00 "PRUSS_MII_RT_RXFLV0,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline abitfld.long 0x00 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x04 "PRUSS_MII_RT_RXFLV1,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 1" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline abitfld.long 0x04 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x08 "PRUSS_MII_RT_TXFLV0,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 0" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline abitfld.long 0x08 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" line.long 0x0C "PRUSS_MII_RT_TXFLV1,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 1" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," newline abitfld.long 0x0C 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" tree.end tree "PRUSS_UART" base ad:0x28000 group.long 0x00++0x2B line.long 0x00 "PRUSS_UART_RBR_THR_REGISTERS,In the non-FIFO mode. when a character is placed in Receiver buffer register and the receiver data-ready interrupt is enabled (DR = 1 in Interrupt identification register). an interrupt is generated" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "DATA,Read: Read Receive Buffer RegisterWrite: Write Transmitter Holding Register" line.long 0x04 "PRUSS_UART_INTERRUPT_ENABLE_REGISTER,The Interrupt enable register is used to individually enable or disable each type of interrupt request that can be generated by the UART" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "EDSSI,Enable Modem Status Interrupt" "0,1" newline bitfld.long 0x04 2. "ELSI,Receiver line status interrupt enable" "ELSI_0,ELSI_1" bitfld.long 0x04 1. "ETBEI,Transmitter holding register empty interrupt enable" "ETBEI_0,ETBEI_1" newline bitfld.long 0x04 0. "ERBI,Receiver data available interrupt and character timeout indication interrupt enable" "ERBI_0,ERBI_1" line.long 0x08 "PRUSS_UART_INTERRUPT_IDENTIFICATION_REGISTER_FIFO_CONTROL_REGISTER,The Interrupt identification register is a read-only register at the same address as the FIFO control register. which is a write-only register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 6.--7. "FIFOEN_RXFIFTL,Read: FIFOs" "FIFOEN_RXFIFTL_0,FIFOEN_RXFIFTL_1,FIFOEN_RXFIFTL_2,FIFOEN_RXFIFTL_3" newline rbitfld.long 0x08 4.--5. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x08 1.--3. "INTID,Read: Interrupt type" "?,RXCLR,TXCLR,DMAMODE1,?..." newline bitfld.long 0x08 0. "IPEND_FIFOEN,Read: Interrupt pending" "IPEND_FIFOEN_0,IPEND_FIFOEN_1" line.long 0x0C "PRUSS_UART_LINE_CONTROL_REGISTER,The system programmer controls the format of the asynchronous data communication exchange by using Line control register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "DLAB,Divisor latch access bit" "DLAB_0,DLAB_1" newline bitfld.long 0x0C 6. "BC,Break control" "BC_0,BC_1" bitfld.long 0x0C 5. "SP,Stick parity" "SP_0,SP_1" newline bitfld.long 0x0C 4. "EPS,Even parity select" "EPS_0,EPS_1" bitfld.long 0x0C 3. "PEN,Parity enable" "PEN_0,PEN_1" newline bitfld.long 0x0C 2. "STB,Number of STOP bits generated" "STB_0,STB_1" bitfld.long 0x0C 0.--1. "WLS,Word length select" "WLS_0,WLS_1,WLS_2,WLS_3" line.long 0x10 "PRUSS_UART_MODEM_CONTROL_REGISTER,The Modem control register provides the ability to enable/disable the autoflow functions. and enable/disable the loopback function for diagnostic purposes" hexmask.long 0x10 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 5. "AFE,Autoflow control enable" "AFE_0,AFE_1" newline bitfld.long 0x10 4. "LOOP,Loop back mode enable" "LOOP_0,LOOP_1" bitfld.long 0x10 3. "OUT2,OUT2 Control Bit" "0,1" newline bitfld.long 0x10 2. "OUT1,OUT1 Control Bit" "0,1" bitfld.long 0x10 1. "RTS,RTS control" "RTS_0,RTS_1" newline rbitfld.long 0x10 0. "RESERVED,Reserved" "0,1" line.long 0x14 "PRUSS_UART_LINE_STATUS_REGISTER,The Line status register provides information to the CPU concerning the status of data transfers" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "RXFIFOE,Receiver FIFO error" "RXFIFOE_0,RXFIFOE_1" newline bitfld.long 0x14 6. "TEMT,Transmitter empty (TEMT) indicator" "TEMT_0,TEMT_1" bitfld.long 0x14 5. "THRE,Transmitter holding register empty (THRE) indicator" "THRE_0,THRE_1" newline bitfld.long 0x14 4. "BI,Break indicator" "BI_0,BI_1" bitfld.long 0x14 3. "FE,Framing error (FE) indicator" "FE_0,FE_1" newline bitfld.long 0x14 2. "PE,Parity error (PE) indicator" "PE_0,PE_1" bitfld.long 0x14 1. "OE,Overrun error (OE) indicator" "OE_0,OE_1" newline bitfld.long 0x14 0. "DR,Data-ready (DR) indicator for the receiver" "DR_0,DR_1" line.long 0x18 "PRUSS_UART_MODEM_STATUS_REGISTER,The Modem status register provides information to the CPU concerning the status of modem control signals" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 7. "CD,Complement of the Carrier Detect input" "0,1" newline bitfld.long 0x18 6. "RI,Complement of the Ring Indicator input" "0,1" bitfld.long 0x18 5. "DSR,Complement of the Data Set Ready input" "0,1" newline bitfld.long 0x18 4. "CTS,Complement of the Clear To Send input" "0,1" bitfld.long 0x18 3. "DCD,Change in DCD indicator bit" "0,1" newline bitfld.long 0x18 2. "TERI,Trailing edge of RI (TERI) indicator bit" "0,1" bitfld.long 0x18 1. "DDSR,Change in DSR indicator bit" "0,1" newline bitfld.long 0x18 0. "DCTS,Change in CTS indicator bit" "0,1" line.long 0x1C "PRUSS_UART_SCRATCH_REGISTER,The Scratch Pad register is intended for programmer's use as a scratch pad" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x1C 0.--7. 1. "SCR,These bits are intended for the programmer's use as a scratch pad in the sense that it temporarily holds the programmer's data without affecting any other UART operation" line.long 0x20 "PRUSS_UART_DIVISOR_REGISTER_LSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x20 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x20 0.--7. 1. "DLL,The 8 least-significant bits (LSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x24 "PRUSS_UART_DIVISOR_REGISTER_MSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x24 0.--7. 1. "DLH,The 8 most-significant bits (MSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x28 "PRUSS_UART_PERIPHERAL_ID_REGISTER,Peripheral Identification register" group.long 0x30++0x07 line.long 0x00 "PRUSS_UART_POWERMANAGEMENT_AND_EMULATION_REGISTER,Power and emulation management register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 14. "UTRST,UART transmitter reset" "UTRST_0,UTRST_1" bitfld.long 0x00 13. "URRST,UART receiver reset" "URRST_0,URRST_1" newline hexmask.long.word 0x00 1.--12. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FREE,Free-running enable mode bit" "FREE_0,FREE_1" line.long 0x04 "PRUSS_UART_MODE_DEFINITION_REGISTER,The Mode definition register determines the over-sampling mode for the UART" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "OSM_SEL,Over-Sampling Mode Select" "OSM_SEL_0,OSM_SEL_1" tree.end tree.end tree.open "ICSS_1" tree "PRUSS1_CTRL" base ad:0xA2000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS1_DEBUG" base ad:0xA2400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS2_CTRL" base ad:0xA4000 group.long 0x00++0x13 line.long 0x00 "PRU_CONTROL,CONTROL REGISTER" hexmask.long.word 0x00 16.--31. 1. "PCOUNTER_RST_VAL,Program Counter Reset Value: This field controls the address where the PRU will start executing code from after it is taken out of reset" bitfld.long 0x00 15. "RUNSTATE,Run State: This bit indicates whether the PRU is currently executing an instruction or is halted" "PRU is halted and host has access to the..,PRU is currently running and the host is locked.." newline rbitfld.long 0x00 14. "BIG_ENDIAN," "0,1" rbitfld.long 0x00 9.--13. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x00 8. "SINGLE_STEP,Single Step Enable: This bit controls whether or not the PRU will only execute a single instruction when enabled" "PRU will free run when enabled,PRU will execute a single instruction and then.." rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "COUNTER_ENABLE,PRU Cycle Counter Enable: Enables PRU cycle counters" "Counters not enabled,Counters enabled" bitfld.long 0x00 2. "SLEEPING,PRU Sleep Indicator: This bit indicates whether or not the PRU is currently asleep" "PRU is not asleep,PRU is asleep If this bit is written to a 0 the.." newline bitfld.long 0x00 1. "ENABLE,Processor Enable: This bit controls whether or not the PRU is allowed to fetch new instructions" "PRU is disabled,PRU is enabled" bitfld.long 0x00 0. "SOFT_RST_N,Soft Reset: When this bit is cleared the PRU will be reset" "0,1" line.long 0x04 "PRU_STATUS,STATUS REGISTER" hexmask.long.word 0x04 16.--31. 1. "RESERVED," abitfld.long 0x04 0.--15. "PCOUNTER,Program Counter: This field is a registered (1 cycle delayed) reflection of the PRU program counter" "0x0002=byte address of 0x8 or PC of,0x0008=byte address of 0x20)" line.long 0x08 "PRU_WAKEUP_EN,WAKEUP ENABLE REGISTER" line.long 0x0C "PRU_CYCLE,CYCLE COUNT" line.long 0x10 "PRU_STALL,STALL COUNT" group.long 0x20++0x0F line.long 0x00 "PRU_CTBIR0,CONSTANT TABLE BLOCK INDEX REGISTER 0" hexmask.long.byte 0x00 24.--31. 1. "RESERVED," hexmask.long.byte 0x00 16.--23. 1. "C25_BLK_INDEX,PRU Constant Entry 25 Block Index: This field sets the value that will appear in bits 11:8 of entry 25 in the PRU Constant Table" newline hexmask.long.byte 0x00 8.--15. 1. "RESERVED," hexmask.long.byte 0x00 0.--7. 1. "C24_BLK_INDEX,PRU Constant Entry 24 Block Index: This field sets the value that will appear in bits 11:8 of entry 24 in the PRU Constant Table" line.long 0x04 "PRU_CTBIR1,CONSTANT TABLE BLOCK INDEX REGISTER 1" hexmask.long.byte 0x04 24.--31. 1. "RESERVED," hexmask.long.byte 0x04 16.--23. 1. "C27_BLK_INDEX,PRU Constant Entry 27 Block Index: This field sets the value that will appear in bits 11:8 of entry 27 in the PRU Constant Table" newline hexmask.long.byte 0x04 8.--15. 1. "RESERVED," hexmask.long.byte 0x04 0.--7. 1. "C26_BLK_INDEX,PRU Constant Entry 26 Block Index: This field sets the value that will appear in bits 11:8 of entry 26 in the PRU Constant Table" line.long 0x08 "PRU_CTPPR0,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 0" hexmask.long.word 0x08 16.--31. 1. "C29_POINTER,PRU Constant Entry 29 Pointer: This field sets the value that will appear in bits 23:8 of entry 29 in the PRU Constant Table" hexmask.long.word 0x08 0.--15. 1. "C28_POINTER,PRU Constant Entry 28 Pointer: This field sets the value that will appear in bits 23:8 of entry 28 in the PRU Constant Table" line.long 0x0C "PRU_CTPPR1,CONSTANT TABLE PROGRAMMABLE POINTER REGISTER 1" hexmask.long.word 0x0C 16.--31. 1. "C31_POINTER,PRU Constant Entry 31 Pointer: This field sets the value that will appear in bits 23:8 of entry 31 in the PRU Constant Table" hexmask.long.word 0x0C 0.--15. 1. "C30_POINTER,PRU Constant Entry 30 Pointer: This field sets the value that will appear in bits 23:8 of entry 30 in the PRU Constant Table" tree.end tree "PRUSS2_DEBUG" base ad:0xA4400 group.long 0x00++0xFF line.long 0x00 "PRUSS_DBG_GPREG0,DEBUG PRU GENERAL PURPOSE REGISTER 0" line.long 0x04 "PRUSS_DBG_GPREG1,DEBUG PRU GENERAL PURPOSE REGISTER 1" line.long 0x08 "PRUSS_DBG_GPREG2,DEBUG PRU GENERAL PURPOSE REGISTER 2" line.long 0x0C "PRUSS_DBG_GPREG3,DEBUG PRU GENERAL PURPOSE REGISTER 3" line.long 0x10 "PRUSS_DBG_GPREG4,DEBUG PRU GENERAL PURPOSE REGISTER 4" line.long 0x14 "PRUSS_DBG_GPREG5,DEBUG PRU GENERAL PURPOSE REGISTER 5" line.long 0x18 "PRUSS_DBG_GPREG6,DEBUG PRU GENERAL PURPOSE REGISTER 6" line.long 0x1C "PRUSS_DBG_GPREG7,DEBUG PRU GENERAL PURPOSE REGISTER 7" line.long 0x20 "PRUSS_DBG_GPREG8,DEBUG PRU GENERAL PURPOSE REGISTER 8" line.long 0x24 "PRUSS_DBG_GPREG9,DEBUG PRU GENERAL PURPOSE REGISTER 9" line.long 0x28 "PRUSS_DBG_GPREG10,DEBUG PRU GENERAL PURPOSE REGISTER 10" line.long 0x2C "PRUSS_DBG_GPREG11,DEBUG PRU GENERAL PURPOSE REGISTER 11" line.long 0x30 "PRUSS_DBG_GPREG12,DEBUG PRU GENERAL PURPOSE REGISTER 12" line.long 0x34 "PRUSS_DBG_GPREG13,DEBUG PRU GENERAL PURPOSE REGISTER 13" line.long 0x38 "PRUSS_DBG_GPREG14,DEBUG PRU GENERAL PURPOSE REGISTER 14" line.long 0x3C "PRUSS_DBG_GPREG15,DEBUG PRU GENERAL PURPOSE REGISTER 15" line.long 0x40 "PRUSS_DBG_GPREG16,DEBUG PRU GENERAL PURPOSE REGISTER 16" line.long 0x44 "PRUSS_DBG_GPREG17,DEBUG PRU GENERAL PURPOSE REGISTER 17" line.long 0x48 "PRUSS_DBG_GPREG18,DEBUG PRU GENERAL PURPOSE REGISTER 18" line.long 0x4C "PRUSS_DBG_GPREG19,DEBUG PRU GENERAL PURPOSE REGISTER 19" line.long 0x50 "PRUSS_DBG_GPREG20,DEBUG PRU GENERAL PURPOSE REGISTER 20" line.long 0x54 "PRUSS_DBG_GPREG21,DEBUG PRU GENERAL PURPOSE REGISTER 21" line.long 0x58 "PRUSS_DBG_GPREG22,DEBUG PRU GENERAL PURPOSE REGISTER 22" line.long 0x5C "PRUSS_DBG_GPREG23,DEBUG PRU GENERAL PURPOSE REGISTER 23" line.long 0x60 "PRUSS_DBG_GPREG24,DEBUG PRU GENERAL PURPOSE REGISTER 24" line.long 0x64 "PRUSS_DBG_GPREG25,DEBUG PRU GENERAL PURPOSE REGISTER 25" line.long 0x68 "PRUSS_DBG_GPREG26,DEBUG PRU GENERAL PURPOSE REGISTER 26" line.long 0x6C "PRUSS_DBG_GPREG27,DEBUG PRU GENERAL PURPOSE REGISTER 27" line.long 0x70 "PRUSS_DBG_GPREG28,DEBUG PRU GENERAL PURPOSE REGISTER 28" line.long 0x74 "PRUSS_DBG_GPREG29,DEBUG PRU GENERAL PURPOSE REGISTER 29" line.long 0x78 "PRUSS_DBG_GPREG30,DEBUG PRU GENERAL PURPOSE REGISTER 30" line.long 0x7C "PRUSS_DBG_GPREG31,DEBUG PRU GENERAL PURPOSE REGISTER 31" line.long 0x80 "PRUSS_DBG_CT_REG0,DEBUG PRU CONSTANTS TABLE ENTRY 0" line.long 0x84 "PRUSS_DBG_CT_REG1,DEBUG PRU CONSTANTS TABLE ENTRY 1" line.long 0x88 "PRUSS_DBG_CT_REG2,DEBUG PRU CONSTANTS TABLE ENTRY 2" line.long 0x8C "PRUSS_DBG_CT_REG3,DEBUG PRU CONSTANTS TABLE ENTRY 3" line.long 0x90 "PRUSS_DBG_CT_REG4,DEBUG PRU CONSTANTS TABLE ENTRY 4" line.long 0x94 "PRUSS_DBG_CT_REG5,DEBUG PRU CONSTANTS TABLE ENTRY 5" line.long 0x98 "PRUSS_DBG_CT_REG6,DEBUG PRU CONSTANTS TABLE ENTRY 6" line.long 0x9C "PRUSS_DBG_CT_REG7,DEBUG PRU CONSTANTS TABLE ENTRY 7" line.long 0xA0 "PRUSS_DBG_CT_REG8,DEBUG PRU CONSTANTS TABLE ENTRY 8" line.long 0xA4 "PRUSS_DBG_CT_REG9,DEBUG PRU CONSTANTS TABLE ENTRY 9" line.long 0xA8 "PRUSS_DBG_CT_REG10,DEBUG PRU CONSTANTS TABLE ENTRY 10" line.long 0xAC "PRUSS_DBG_CT_REG11,DEBUG PRU CONSTANTS TABLE ENTRY 11" line.long 0xB0 "PRUSS_DBG_CT_REG12,DEBUG PRU CONSTANTS TABLE ENTRY 12" line.long 0xB4 "PRUSS_DBG_CT_REG13,DEBUG PRU CONSTANTS TABLE ENTRY 13" line.long 0xB8 "PRUSS_DBG_CT_REG14,DEBUG PRU CONSTANTS TABLE ENTRY 14" line.long 0xBC "PRUSS_DBG_CT_REG15,DEBUG PRU CONSTANTS TABLE ENTRY 15" line.long 0xC0 "PRUSS_DBG_CT_REG16,DEBUG PRU CONSTANTS TABLE ENTRY 16" line.long 0xC4 "PRUSS_DBG_CT_REG17,DEBUG PRU CONSTANTS TABLE ENTRY 17" line.long 0xC8 "PRUSS_DBG_CT_REG18,DEBUG PRU CONSTANTS TABLE ENTRY 18" line.long 0xCC "PRUSS_DBG_CT_REG19,DEBUG PRU CONSTANTS TABLE ENTRY 19" line.long 0xD0 "PRUSS_DBG_CT_REG20,DEBUG PRU CONSTANTS TABLE ENTRY 20" line.long 0xD4 "PRUSS_DBG_CT_REG21,DEBUG PRU CONSTANTS TABLE ENTRY 21" line.long 0xD8 "PRUSS_DBG_CT_REG22,DEBUG PRU CONSTANTS TABLE ENTRY 22" line.long 0xDC "PRUSS_DBG_CT_REG23,DEBUG PRU CONSTANTS TABLE ENTRY 23" line.long 0xE0 "PRUSS_DBG_CT_REG24,DEBUG PRU CONSTANTS TABLE ENTRY 24" line.long 0xE4 "PRUSS_DBG_CT_REG25,DEBUG PRU CONSTANTS TABLE ENTRY 25" line.long 0xE8 "PRUSS_DBG_CT_REG26,DEBUG PRU CONSTANTS TABLE ENTRY 26" line.long 0xEC "PRUSS_DBG_CT_REG27,DEBUG PRU CONSTANTS TABLE ENTRY 27" line.long 0xF0 "PRUSS_DBG_CT_REG28,DEBUG PRU CONSTANTS TABLE ENTRY 28" line.long 0xF4 "PRUSS_DBG_CT_REG29,DEBUG PRU CONSTANTS TABLE ENTRY 29" line.long 0xF8 "PRUSS_DBG_CT_REG30,DEBUG PRU CONSTANTS TABLE ENTRY 30" line.long 0xFC "PRUSS_DBG_CT_REG31,DEBUG PRU CONSTANTS TABLE ENTRY 31" tree.end tree "PRUSS_CFG" base ad:0xA6000 rgroup.long 0x00++0x23 line.long 0x00 "PRUSS_REVID,The Revision Register contains the ID and revision information" line.long 0x04 "PRUSS_SYSCFG,The System Configuration Register defines the power IDLE and STANDBY modes" hexmask.long 0x04 6.--31. 1. "RESERVED," bitfld.long 0x04 5. "SUB_MWAIT,Status bit for wait state" "Ready for Transaction,Wait until 0" newline bitfld.long 0x04 4. "STANDBY_INIT," "0,1" bitfld.long 0x04 2.--3. "STANDBY_MODE," "?,No standby mode,Smart standby mode,Reserved" newline bitfld.long 0x04 0.--1. "IDLE_MODE," "?,No-idle mode,Smart-idle mode,Reserved" line.long 0x08 "PRUSS_GPCFG0,The General Purpose Configuration 0 Register defines the GPI O configuration for PRU0" rbitfld.long 0x08 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x08 26.--29. "PR1_PRU0_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x08 25. "PRU0_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x08 20.--24. "PRU0_GPO_DIV1,Divisor value (divide by PRU0_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 15.--19. "PRU0_GPO_DIV0,Divisor value (divide by PRU0_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 14. "PRU0_GPO_MODE," "0,1" newline bitfld.long 0x08 13. "PRU0_GPI_SB,Start Bit event for 28-bit shift mode" "No Effect,Will clear PRU0_GPI_SB.." bitfld.long 0x08 8.--12. "PRU0_GPI_DIV1,Divisor value (divide by PRU0_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x08 3.--7. "PRU0_GPI_DIV0,Divisor value (divide by PRU0_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x08 2. "PRU0_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru0_r31_status[16],Use the negative edge of pru0_r31_status[16]" newline bitfld.long 0x08 0.--1. "PRU0_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x0C "PRUSS_GPCFG1,The General Purpose Configuration 1 Register defines the GPI O configuration for PRU1" rbitfld.long 0x0C 30.--31. "RESERVED," "0,1,2,3" bitfld.long 0x0C 26.--29. "PR1_PRU1_GP_MUX_SEL,Reserved" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x0C 25. "PRU1_GPO_SH_SEL,Defines which shadow register is currently getting used for GPO shifting" "gpo_sh0 is selected,gpo_sh1 is selected" bitfld.long 0x0C 20.--24. "PRU1_GPO_DIV1,Divisor value (divide by PRU1_GPO_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 15.--19. "PRU1_GPO_DIV0,Divisor value (divide by PRU1_GPO_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 14. "PRU1_GPO_MODE," "0,1" newline bitfld.long 0x0C 13. "PRU1_GPI_SB,28-bit shift mode Start Bit event" "No Effect,Will clear PRU1_GPI_SB.." bitfld.long 0x0C 8.--12. "PRU1_GPI_DIV1,Divisor value (divide by PRU1_GPI_DIV1 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" newline bitfld.long 0x0C 3.--7. "PRU1_GPI_DIV0,Divisor value (divide by PRU1_GPI_DIV0 + 1)" "div 1.0,div 1.5,div 2.0,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,div 16.0,reserved" bitfld.long 0x0C 2. "PRU1_GPI_CLK_MODE,Parallel 16-bit capture mode clock edge" "Use the positive edge of pru1_r31_status[16],Use the negative edge of pru1_r31_status[16]" newline bitfld.long 0x0C 0.--1. "PRU1_GPI_MODE," "?,16-bit parallel capture mode,28-bit shift mode,MII_RT mode" line.long 0x10 "PRUSS_CGR,The Clock Gating Register controls the state of Clock Management of the different modules" hexmask.long.word 0x10 18.--31. 1. "RESERVED," bitfld.long 0x10 17. "IEP_CLK_EN,IEP clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 16. "IEP_CLK_STOP_ACK,Acknowledgement that IEP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 15. "IEP_CLK_STOP_REQ,IEP request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 14. "ECAP_CLK_EN,ECAP clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 13. "ECAP_CLK_STOP_ACK,Acknowledgement that ECAP clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 12. "ECAP_CLK_STOP_REQ,ECAP request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 11. "UART_CLK_EN,UART clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 10. "UART_CLK_STOP_ACK,Acknowledgement that UART clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 9. "UART_CLK_STOP_REQ,UART request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 8. "PRUSS_INTC_CLK_EN,PRUSS_INTC clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 7. "PRUSS_INTC_CLK_STOP_ACK,Acknowledgement that PRUSS_INTC clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 6. "PRUSS_INTC_CLK_STOP_REQ,PRUSS_INTC request to stop clock" "do not request to stop Clock,request to stop Clock" bitfld.long 0x10 5. "PRU1_CLK_EN,PRU1 clock enable" "Disable Clock,Enable Clock" newline bitfld.long 0x10 4. "PRU1_CLK_STOP_ACK,Acknowledgement that PRU1 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" bitfld.long 0x10 3. "PRU1_CLK_STOP_REQ,PRU1 request to stop clock" "do not request to stop Clock,request to stop Clock" newline bitfld.long 0x10 2. "PRU0_CLK_EN,PRU0 clock enable" "Disable Clock,Enable Clock" bitfld.long 0x10 1. "PRU0_CLK_STOP_ACK,Acknowledgement that PRU0 clock can be stopped" "Not Ready to Gate Clock,Ready to Gate Clock" newline bitfld.long 0x10 0. "PRU0_CLK_STOP_REQ,PRU0 request to stop clock" "do not request to stop Clock,request to stop Clock" line.long 0x14 "PRUSS_ISRP,The IRQ Status Raw Parity register is a snapshot of the IRQ raw status for the PRUSS memory parity events" hexmask.long.word 0x14 20.--31. 1. "RESERVED," bitfld.long 0x14 16.--19. "RAM_PE_RAW,RAM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 12.--15. "PRU1_DMEM_PE_RAW,PRU1 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 8.--11. "PRU1_IMEM_PE_RAW,PRU1 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." newline bitfld.long 0x14 4.--7. "PRU0_DMEM_PE_RAW,PRU0 DMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." bitfld.long 0x14 0.--3. "PRU0_IMEM_PE_RAW,PRU0 IMEM Parity Error RAW for Byte3 Byte2 Byte1 Byte0" "No event pending,Set event (debug),?..." line.long 0x18 "PRUSS_ISP,The IRQ Status Parity Register is a snapshot of the IRQ status for the PRUSS memory parity events" hexmask.long.word 0x18 20.--31. 1. "RESERVED," bitfld.long 0x18 16.--19. "RAM_PE,RAM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 12.--15. "PRU1_DMEM_PE,PRU1 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." bitfld.long 0x18 8.--11. "PRU1_IMEM_PE,PRU1 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." newline bitfld.long 0x18 4.--7. "PRU0_DMEM_PE,PRU0 DMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No(enabled) event pending,Clear event,?..." bitfld.long 0x18 0.--3. "PRU0_IMEM_PE,PRU0 IMEM Parity Error for Byte3 Byte2 Byte1 Byte0" "No (enabled) event pending,Clear event,?..." line.long 0x1C "PRUSS_IESP,The IRQ Enable Set Parity Register enables the IRQ PRUSS memory parity events" hexmask.long.word 0x1C 20.--31. 1. "RESERVED," bitfld.long 0x1C 16.--19. "RAM_PE_SET,RAM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 12.--15. "PRU1_DMEM_PE_SET,PRU1 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 8.--11. "PRU1_IMEM_PE_SET,PRU1 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." newline bitfld.long 0x1C 4.--7. "PRU0_DMEM_PE_SET,PRU0 DMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." bitfld.long 0x1C 0.--3. "PRU0_IMEM_PE_SET,PRU0 IMEM Parity Error Set Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Enable interrupt,?..." line.long 0x20 "PRUSS_IECP,The IRQ Enable Clear Parity Register disables the IRQ PRUSS memory parity events" hexmask.long.word 0x20 16.--31. 1. "RESERVED," bitfld.long 0x20 12.--15. "PRU1_DMEM_PE_CLR,PRU1 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 8.--11. "PRU1_IMEM_PE_CLR,PRU1 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." bitfld.long 0x20 4.--7. "PRU0_DMEM_PE_CLR,PRU0 DMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." newline bitfld.long 0x20 0.--3. "PRU0_IMEM_PE_CLR,PRU0 IMEM Parity Error Clear Enable for Byte3 Byte2 Byte1 Byte0" "Interrupt disabled (masked),Disable interrupt,?..." group.long 0x28++0x0F line.long 0x00 "PRUSS_PMAO,The PRU Master OCP Address Offset Register enables for the PRU OCP Master Port Address to have an offset of minus 0x0008_0000" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 1. "PMAO_PRU1,PRU1 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" newline bitfld.long 0x00 0. "PMAO_PRU0,PRU0 OCP Master Port Address Offset Enable" "Disable address offset,Enable address offset of -0x0008_0000" line.long 0x04 "PRUSS_MII_RT,The MII_RT Event Enable Register enables MII_RT mode events to the PRUSS.PRUSS_INTC" hexmask.long 0x04 1.--31. 1. "RESERVED," bitfld.long 0x04 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x08 "PRUSS_IEPCLK,The IEP Clock Source Register defines the source of the IEP clock" hexmask.long 0x08 1.--31. 1. "RESERVED," bitfld.long 0x08 0. "OCP_EN,IEP clock source" "IEP_CLK is the source,ICLK is the source" line.long 0x0C "PRUSS_SPP,The Scratch Pad Priority and Configuration Register defines the access priority assigned to the PRU cores and configures the scratch pad XFR shift functionality" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 1. "XFR_SHIFT_EN,Enables XIN XOUT shift functionality" "Disabled,Enabled" newline bitfld.long 0x0C 0. "PRU1_PAD_HP_EN,Defines which PRU wins write cycle arbitration to a common scratch pad bank" "PRU0 has highest priority,PRU1 has highest priority" group.long 0x40++0x03 line.long 0x00 "PRUSS_PIN_MX,The Pin Mux Select Register defines the state of the PRUSS internal pinmuxing" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 9. "PWM3_REMAP_EN,UNUSED IN THIS DEVICE" "0,1" newline bitfld.long 0x00 8. "PWM0_REMAP_EN,If enabled host intr6 of PRUSS2 controls epwm_sync_in of PWMSS1 instead of ehrpwm1_synci device pin" "0,1" hexmask.long.byte 0x00 0.--7. 1. "RESERVED,Reserved" tree.end tree "PRUSS_ECAP" base ad:0xA0000 group.long 0x00++0x17 line.long 0x00 "PRUSS_ECAP_TSCNT,Time Stamp Counter Register" line.long 0x04 "PRUSS_ECAP_CNTPHS,Counter Phase Control Register" line.long 0x08 "PRUSS_ECAP_CAP1,Capture-1 Register" line.long 0x0C "PRUSS_ECAP_CAP2,Capture-2 Register" line.long 0x10 "PRUSS_ECAP_CAP3,Capture-3 Register" line.long 0x14 "PRUSS_ECAP_CAP4,Capture-4 Register" group.word 0x28++0x09 line.word 0x00 "PRUSS_ECAP_ECCTL1,ECAP Control Register1" bitfld.word 0x00 14.--15. "FREE_SOFT,Emulation Control" "TSCNT counter stops immediately on emulation..,TSCNT counter runs until = 0,TSCNT counter is unaffected by emulation suspend..,TSCNT counter is unaffected by emulation suspend.." bitfld.word 0x00 9.--13. "EVTFLTPS,Event Filter prescale select" "Divide by 1 (i.e . no prescale..,Divide by 2,Divide by 4,Divide by 6,Divide by 8,Divide by 10,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,?,Divide by 60,Divide by 62" newline bitfld.word 0x00 8. "CAPLDEN,Enable Loading ofPRUSS_ECAP_CAP1 to PRUSS_ECAP_CAP4 registers on a capture event" "Disable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register..,Enable PRUSS_ECAP_CAP1-PRUSS_ECAP_CAP4 register.." bitfld.word 0x00 7. "CTRRST4,Counter Reset on Capture Event 4" "Do not reset counter on Capture Event 4..,Reset counter after Capture Event 4 time-stamp.." newline bitfld.word 0x00 6. "CAP4POL,Capture Event 4 Polarity select" "Capture Event 4 triggered on a rising edge (RE),Capture Event 4 triggered on a falling edge (FE)" bitfld.word 0x00 5. "CTRRST3,Counter Reset on Capture Event 3" "Do not reset counter on Capture Event 3..,Reset counter after Event 3 time-stamp has been.." newline bitfld.word 0x00 4. "CAP3POL,Capture Event 3 Polarity select" "Capture Event 3 triggered on a rising edge (RE),Capture Event 3 triggered on a falling edge (FE)" bitfld.word 0x00 3. "CTRRST2,Counter Reset on Capture Event 2" "Do not reset counter on Capture Event 2..,Reset counter after Event 2 time-stamp has been.." newline bitfld.word 0x00 2. "CAP2POL,Capture Event 2 Polarity select" "Capture Event 2 triggered on a rising edge (RE),Capture Event 2 triggered on a falling edge (FE)" bitfld.word 0x00 1. "CTRRST1,Counter Reset on Capture Event 1" "Do not reset counter on Capture Event 1..,Reset counter after Event 1 time-stamp has been.." newline bitfld.word 0x00 0. "CAP1POL,Capture Event 1 Polarity select" "Capture Event 1 triggered on a rising edge (RE),Capture Event 1 triggered on a falling edge (FE)" line.word 0x02 "PRUSS_ECAP_ECCTL2,ECAP Control Register 2" rbitfld.word 0x02 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.word 0x02 10. "APWMPOL,APWM output polarity select" "Output is active high (Compare value defines..,Output is active low (Compare value defines low.." newline bitfld.word 0x02 9. "CAPAPWM,CAP/APWM operating mode select" "ECAP module operates in capture mode,ECAP module operates in APWM mode" bitfld.word 0x02 8. "SWSYNC,Software-forced Counter (TSCNT) Synchronizing" "Writing a zero has no effect,Writing a one forces a TSCNT shadow load of.." newline bitfld.word 0x02 6.--7. "SYNCO_SEL,Sync-Out Select" "Select sync-in event to be the sync-out signal..,Select CTR = PRD event to be the sync-out signal,Disable sync out signal,Disable sync out signal" bitfld.word 0x02 5. "SYNCI_EN,Counter (TSCNT) Sync-In select mode" "Disable sync-in option,Enable counter (TSCNT) to be loaded from" newline bitfld.word 0x02 4. "TSCNTSTP,Time Stamp (TSCNT) Counter Stop (freeze) Control" "TSCNT stopped,TSCNT free-running" bitfld.word 0x02 3. "REARMRESET,One-Shot Re-Arming Control that is wait for stop trigger" "Has no effect (reading always returns a 0),Arms the one-shot sequence as follows" newline bitfld.word 0x02 1.--2. "STOPVALUE,Stop value for one-shot mode" "Stop after Capture Event 1 in one-shot mode,Stop after Capture Event 2 in one-shot mode,Stop after Capture Event 3 in one-shot mode,Stop after Capture Event 4 in one-shot mode" bitfld.word 0x02 0. "CONTONESHT,Continuous or one-shot mode control (applicable only in capture mode)" "Operate in continuous mode,Operate in one-shot mode" line.word 0x04 "PRUSS_ECAP_ECEINT,ECAP Interrupt Enable Register" hexmask.word.byte 0x04 8.--15. 1. "RESERVED," bitfld.word 0x04 7. "CMPEQ,Counter Equal" "Disable Compare Equal as an Interrupt source,Enable Compare Equal as an Interrupt source" newline bitfld.word 0x04 6. "PRDEQ,Counter Equal" "Disable Period Equal as an Interrupt source,Enable Period Equal as an Interrupt source" bitfld.word 0x04 5. "CNTOVF,Counter Overflow Interrupt Enable" "Disable counter Overflow as an Interrupt source,Enable counter Overflow as an Interrupt source" newline bitfld.word 0x04 4. "CEVT4,Capture Event 4 Interrupt Enable" "Disable Capture Event 4 as an Interrupt source,Enable Capture Event 4 as an Interrupt source" bitfld.word 0x04 3. "CEVT3,Capture Event 3 Interrupt Enable" "Disable Capture Event 3 as an Interrupt source,Enable Capture Event 3 as an Interrupt source" newline bitfld.word 0x04 2. "CEVT2,Capture Event 2 Interrupt Enable" "Disable Capture Event 2 as an Interrupt source,Enable Capture Event 2 as an Interrupt source" bitfld.word 0x04 1. "CEVT1,Capture Event 1 Interrupt Enable" "Disable Capture Event 1 as an Interrupt source,Enable Capture Event 1 as an Interrupt source" newline rbitfld.word 0x04 0. "RESERVED," "0,1" line.word 0x06 "PRUSS_ECAP_ECFLG,ECAP Interrupt Flag Register" hexmask.word.byte 0x06 8.--15. 1. "RESERVED," bitfld.word 0x06 7. "CMPEQ,Compare Equal Compare Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the.." newline bitfld.word 0x06 6. "PRDEQ,Counter Equal Period Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) reached the period.." bitfld.word 0x06 5. "CNTOVF,Counter Overflow Status Flag" "Indicates no event occurred,Indicates the counter (TSCNT) has made the.." newline bitfld.word 0x06 4. "CEVT4,Capture Event 4 Status Flag This flag is only active in CAP mode" "Indicates no event occurred,Indicates the fourth event occurred at ECAPn pin" bitfld.word 0x06 3. "CEVT3,Capture Event 3 Status Flag" "Indicates no event occurred,Indicates the third event occurred at ECAPn pin" newline bitfld.word 0x06 2. "CEVT2,Capture Event 2 Status Flag" "Indicates no event occurred,Indicates the second event occurred at ECAPn pin" bitfld.word 0x06 1. "CEVT1,Capture Event 1 Status Flag" "Indicates no event occurred,Indicates the first event occurred at ECAPn pin" newline bitfld.word 0x06 0. "INT,Global Interrupt Status Flag" "Indicates no interrupt generated,Indicates that an interrupt was generated" line.word 0x08 "PRUSS_ECAP_ECCLR,ECAP Interrupt Clear Register" hexmask.word.byte 0x08 8.--15. 1. "RESERVED," bitfld.word 0x08 7. "CMPEQ,Counter Equal Compare Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=CMP flag condition" newline bitfld.word 0x08 6. "PRDEQ,Counter Equal Period Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CTR=PRD flag condition" bitfld.word 0x08 5. "CNTOVF,Counter Overflow Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CNTOVF flag condition" newline bitfld.word 0x08 4. "CEVT4,Capture Event 4 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" bitfld.word 0x08 3. "CEVT3,Capture Event 3 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT3 flag condition" newline bitfld.word 0x08 2. "CEVT2,Capture Event 2 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT2 flag condition" bitfld.word 0x08 1. "CEVT1,Capture Event 1 Status Flag" "Writing a 0 has no effect,Writing a 1 clears the CEVT1 flag condition" newline bitfld.word 0x08 0. "INT,Global Interrupt Clear Flag" "Writing a 0 has no effect,Writing a 1 clears the INT flag and enable.." group.word 0x34++0x01 line.word 0x00 "PRUSS_ECAP_ECFRC,ECAP Interrupt Forcing Register" hexmask.word.byte 0x00 8.--15. 1. "RESERVED," bitfld.word 0x00 7. "CMPEQ,Force Counter Equal Compare Interrupt" "No effect,Writing a 1 sets the CTR=CMP flag bit" newline bitfld.word 0x00 6. "PRDEQ,Force Counter Equal Period Interrupt" "No effect,Writing a 1 sets the CTR=PRD flag bit" bitfld.word 0x00 5. "CNTOVF,Force Counter Overflow" "No effect,Writing a 1 to this bit sets the CNTOVF flag bit" newline bitfld.word 0x00 4. "CEVT4,Force Capture Event 4" "No effect,Writing a 1 sets the CEVT4 flag bit" bitfld.word 0x00 3. "CEVT3,Force Capture Event 3" "No effect,Writing a 1 sets the CEVT3 flag bit" newline bitfld.word 0x00 2. "CEVT2,Force Capture Event 2" "No effect,Writing a 1 sets the CEVT2 flag bit" bitfld.word 0x00 1. "CEVT1,Always reads back a 0" "No effect,Writing a 1 sets the.." newline rbitfld.word 0x00 0. "RESERVED," "0,1" rgroup.long 0x5C++0x03 line.long 0x00 "PRUSS_ECAP_PID,ECAP Revision ID" tree.end tree "PRUSS_IEP" base ad:0xAE000 group.long 0x00++0x1F line.long 0x00 "PRUSS_IEP_GLOBAL_CFG,GLOBAL CFG" hexmask.long.word 0x00 20.--31. 1. "RESERVED," newline hexmask.long.word 0x00 8.--19. 1. "CMP_INC,Defines the increment value when compensation is active" newline bitfld.long 0x00 4.--7. "DEFAULT_INC,Defines the default increment value" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 1.--3. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 0. "CNT_ENABLE,Counter enable" "Disables the counter,Enables the counter" line.long 0x04 "PRUSS_IEP_STATUS,STATUS" hexmask.long 0x04 1.--31. 1. "RESERVED," newline bitfld.long 0x04 0. "CNT_OVF,Counter overflow status" "No overflow,Overflow occurred" line.long 0x08 "PRUSS_IEP_COMPENSATION,COMPENSATION" hexmask.long.byte 0x08 24.--31. 1. "RESERVED," newline hexmask.long.tbyte 0x08 0.--23. 1. "COMPEN_CNT,Compensation counter" line.long 0x0C "PRUSS_IEP_SLOW_COMPENSATION,SLOW COMPENSATION" line.long 0x10 "PRUSS_IEP_LOW_COUNTER,64 bit count value low" line.long 0x14 "PRUSS_IEP_HIGH_COUNTER,64 bit count value high" line.long 0x18 "PRUSS_IEP_CAPTURE_CFG,CAPTURE CFG" hexmask.long.word 0x18 18.--31. 1. "RESERVED," newline hexmask.long.byte 0x18 10.--17. 1. "CAP_ASYNC_EN,Synchronization of the capture inputs to the PRUSS_IEP_CLK/PRUSS_GICLK enable" newline bitfld.long 0x18 9. "CAP7F_1ST_EVENT_EN,Capture 1st Event Enable for cap[7] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 8. "CAP7R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[7] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 7. "CAP6F_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] fall" "Continues mode,First Event mode" newline bitfld.long 0x18 6. "CAP6R_1ST_EVENT_EN,Capture 1-st Event Enable for cap[6] rise" "Continues mode,First Event mode" newline bitfld.long 0x18 0.--5. "CAP_1ST_EVENT_EN,Capture 1-st Event Enable for n" "Continues mode,First Event mode,?..." line.long 0x1C "PRUSS_IEP_CAPTURE_STATUS,CAPTURE STATUS" hexmask.long.byte 0x1C 24.--31. 1. "RESERVED," newline abitfld.long 0x1C 16.--23. "CAP_RAW,Raw/Current status bit for each of the capture registers where CAP_RAW[n] maps to CAPR[n]" "0x00=Current state is low for capn,0x01=Current state is high for capn" newline bitfld.long 0x1C 11.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline bitfld.long 0x1C 10. "CAP_VALID,Valid status for capture function" "0,1" newline bitfld.long 0x1C 9. "CAPF7_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 8. "CAPR7_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE07" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 7. "CAPF6_VALID,Valid Status for PRUSS_IEP_CAPTURE_FALL06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 6. "CAPR6_VALID,Valid Status for PRUSS_IEP_CAPTURE_RISE06" "No Hit no capture event occurred,Hit capture event occurred" newline bitfld.long 0x1C 0.--5. "CAPR_VALID,Valid Status capr_validn maps PRUSS_IEP_CAPRn_REG where n=0 to 5 " "No Hit no capture event occurred,Hit capture event occurred,?..." rgroup.long 0x50++0x27 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE06,CAPTURE RISE6 low" line.long 0x04 "PRUSS_IEP_CAPTURE_RISE16,CAPTURE RISE6 high" line.long 0x08 "PRUSS_IEP_CAPTURE_FALL06,CAPTURE FALL6 low" line.long 0x0C "PRUSS_IEP_CAPTURE_FALL16,CAPTURE FALL6 high" line.long 0x10 "PRUSS_IEP_CAPTURE_RISE07,CAPTURE RISE7 low" line.long 0x14 "PRUSS_IEP_CAPTURE_RISE17,CAPTURE RISE7 high" line.long 0x18 "PRUSS_IEP_CAPTURE_FALL07,CAPTURE FALL7 low" line.long 0x1C "PRUSS_IEP_CAPTURE_FALL17,CAPTURE FALL7 high" line.long 0x20 "PRUSS_IEP_COMPARE_CFG,COMPARE CFG" hexmask.long.word 0x20 17.--31. 1. "RESERVED," newline hexmask.long.word 0x20 1.--16. 1. "CMP_EN,Enable bits for each of the compare registers CMP_EN =" newline bitfld.long 0x20 0. "CMP0_RST_CNT_EN,Enable the reset of the counter" "Disable,Enable the reset of.." line.long 0x24 "PRUSS_IEP_COMPARE_STATUS,COMPARE STATUS" hexmask.long.word 0x24 16.--31. 1. "RESERVED," newline abitfld.long 0x24 0.--15. "CMP_HIT,Status bit for each of the compare registers 'Match' indicates the current counter is greater than or equal to the compare value" "0x0000=No match has occured..,0x0001=A match occured" group.long 0xB8++0x07 line.long 0x00 "PRUSS_IEP_RXIPG0,RXIPG0 This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x00 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x00 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" line.long 0x04 "PRUSS_IEP_RXIPG1,RXIPG1This register can be used to determine the last RX IPG and the smallest RX IPG" hexmask.long.word 0x04 16.--31. 1. "RX_MIN_IPG,Defines the current minimum number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that is RXDV is sampled low" newline hexmask.long.word 0x04 0.--15. 1. "RX_IPG,Records the current number of PRUSS_GICLK/PRUSS_IEP_CLK cycles that RXDV is sampled low" group.long 0x100++0x0B line.long 0x00 "PRUSS_IEP_LOW_COUNTER_RESET_VALUE,LOW_COUNTER_RESET_VALUE" line.long 0x04 "PRUSS_IEP_HIGH_COUNTER_RESET_VALUE,HIGH_COUNTER_RESET_VALUE" line.long 0x08 "PRUSS_IEP_PWM,PWM Sync Out" hexmask.long 0x08 4.--31. 1. "RESERVED," newline bitfld.long 0x08 3. "PWM3_HIT,The raw status bit of pwm3_sync_out event" "No pwm3_sync_out event,pwm3_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 2. "PWM3_RST_CNT_EN,Enable the reset of the counter by a pwm3_sync_out event" "Disable,Enable the reset of.." newline bitfld.long 0x08 1. "PWM0_HIT,The raw status bit of pwm0_sync_out event" "No pwm0_sync_out event,pwm0_sync_out event occurred Write 1 to Clear" newline bitfld.long 0x08 0. "PWM0_RST_CNT_EN,Enable the reset of the counter by a pwm0_sync_out event" "Disable,Enable the reset of.." group.long 0x180++0x1F line.long 0x00 "PRUSS_IEP_SYNC_CTRL,SYNC CTRL" hexmask.long.tbyte 0x00 9.--31. 1. "RESERVED," newline bitfld.long 0x00 8. "SYNC1_IND_EN,SYNC1 independent mode enable" "Dependent mode,Independent mode" newline bitfld.long 0x00 7. "SYNC1_CYCLIC_EN,SYNC1 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 6. "SYNC1_ACK_EN,SYNC1 acknowledgement mode enable" "Disable SYNC1 will go low after pulse width is met,Enable SYNC1 will remain asserted until.." newline bitfld.long 0x00 5. "SYNC0_CYCLIC_EN,SYNC0 single shot or cyclic/auto generation mode enable" "Disable single shot mode,Enable cyclic generation mode" newline bitfld.long 0x00 4. "SYNC0_ACK_EN,SYNC0 acknowledgement mode enable" "Disable SYNC0 will go low after pulse width is met,Enable SYNC0 will remain asserted until.." newline rbitfld.long 0x00 3. "RESERVED," "0,1" newline bitfld.long 0x00 2. "SYNC1_EN,SYNC1 generation enable" "Disable SYNC1 generation,Enable SYNC1 generation" newline bitfld.long 0x00 1. "SYNC0_EN,SYNC0 generation enable" "Disable SYNC0 generation,Enable SYNC0 generation" newline bitfld.long 0x00 0. "SYNC_EN,SYNC generation enable" "Disable the generation and clocking of SYNC0 and..,Enables SYNC0 and SYNC1 generation" line.long 0x04 "PRUSS_IEP_SYNC_FIRST_STAT,SYNC CTRL" hexmask.long 0x04 2.--31. 1. "RESERVED," newline bitfld.long 0x04 1. "FIRST_SYNC1,SYNC1 First Event status" "SYNC1 first event has NOT occurred,SYNC1 first event has occurred" newline bitfld.long 0x04 0. "FIRST_SYNC0,SYNC0 First Event status" "SYNC0 first event has not occurred,SYNC0 first event has occurred" line.long 0x08 "PRUSS_IEP_SYNC0_STAT,SYNC CTRL" hexmask.long 0x08 1.--31. 1. "RESERVED," newline bitfld.long 0x08 0. "SYNC0_PEND,SYNC0 pending state" "0,1" line.long 0x0C "PRUSS_IEP_SYNC1_STAT,SYNC CTRL" hexmask.long 0x0C 1.--31. 1. "RESERVED," newline bitfld.long 0x0C 0. "SYNC1_PEND,SYNC1 pending state" "SYNC1 is not pending,SYNC1 is pending or has occurred when.." line.long 0x10 "PRUSS_IEP_SYNC_PWIDTH,SYNC CTRL" line.long 0x14 "PRUSS_IEP_SYNC0_PERIOD,SYNC CTRL" line.long 0x18 "PRUSS_IEP_SYNC1_DELAY,SYNC CTRL" line.long 0x1C "PRUSS_IEP_SYNC_START,SYNC CTRL" group.long 0x200++0x17 line.long 0x00 "PRUSS_IEP_WD_PREDIV,WD" hexmask.long.word 0x00 16.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--15. 1. "PRE_DIV,Defines the number of iep_clk cycles per WD clock event" line.long 0x04 "PRUSS_IEP_PDI_WD_TIM,WD" hexmask.long.word 0x04 16.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--15. 1. "PDI_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x08 "PRUSS_IEP_PD_WD_TIM,WD" hexmask.long.word 0x08 16.--31. 1. "RESERVED," newline hexmask.long.word 0x08 0.--15. 1. "PD_WD_TIME,Defines the number of WD ticks (or increments) for PDI WD that is the number of WD increments" line.long 0x0C "PRUSS_IEP_WD_STATUS,WD" hexmask.long.word 0x0C 17.--31. 1. "RESERVED," newline bitfld.long 0x0C 16. "PDI_WD_STAT,WD PDI status" "Expired (PDI_WD_EXP event generated),Active or disabled" newline hexmask.long.word 0x0C 1.--15. 1. "RESERVED," newline bitfld.long 0x0C 0. "PD_WD_STAT,WD PD status (triggered by Sync Mangers status)" "Expired (PD_WD_EXP event generated),Active or disabled" line.long 0x10 "PRUSS_IEP_WD_EXP_CNT,WD" hexmask.long.word 0x10 16.--31. 1. "RESERVED," newline hexmask.long.byte 0x10 8.--15. 1. "PD_EXP_CNT,WD PD expiration counter" newline hexmask.long.byte 0x10 0.--7. 1. "PDI_EXP_CNT,WD PDI expiration counter" line.long 0x14 "PRUSS_IEP_WD_CTRL,WD" hexmask.long.word 0x14 17.--31. 1. "RESERVED," newline bitfld.long 0x14 16. "PDI_WD_EN,Watchdog PDI" "Disable,Enable" newline hexmask.long.word 0x14 1.--15. 1. "RESERVED," newline bitfld.long 0x14 0. "PD_WD_EN,Watchdog PD" "Disable,Enable" group.long 0x300++0x03 line.long 0x00 "PRUSS_IEP_DIGIO_CTRL,DIGIO" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline bitfld.long 0x00 6.--7. "OUT_MODE,Defines event that triggers data out to be updated" "0,1,2,3" newline bitfld.long 0x00 4.--5. "IN_MODE,Defines event that triggers data in to be sampled" "0,1,2,3" newline bitfld.long 0x00 3. "WD_MODE,Defines Watchdog behavior" "0,1" newline rbitfld.long 0x00 2. "BIDI_MODE,Indicates the digital input/output direction" "0,1" newline bitfld.long 0x00 1. "OUTVALID_MODE,Defines OUTVALID mode" "0,1" newline rbitfld.long 0x00 0. "OUTVALID_POL,Indicates OUTVALID polarity" "0,1" rgroup.long 0x308++0x13 line.long 0x00 "PRUSS_IEP_DIGIO_DATA_IN,DIGIO" line.long 0x04 "PRUSS_IEP_DIGIO_DATA_IN_RAW,DIGIO" line.long 0x08 "PRUSS_IEP_DIGIO_DATA_OUT,DIGIO" line.long 0x0C "PRUSS_IEP_DIGIO_DATA_OUT_EN,DIGIO" line.long 0x10 "PRUSS_IEP_DIGIO_EXP,DIGIO" hexmask.long.tbyte 0x10 14.--31. 1. "RESERVED," newline bitfld.long 0x10 13. "EOF_SEL,Defines which RX_EOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 12. "SOF_SEL,Defines which RX_SOF is used for PR1_EDIO_DATA_IN[31:0] capture" "0,1" newline bitfld.long 0x10 8.--11. "SOF_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay of SOF PR1_EDIO_DATA_IN[31:0] capture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x10 4.--7. "OUTVALID_DLY,Define the number of iep_clk (PRUSS_IEP_CLK) cycle delay on assertion of PR1_EDIO_OUTVALID" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x10 3. "RESERVED," "0,1" newline bitfld.long 0x10 2. "SW_OUTVALID,pr1_edio_outvalid = SW_OUTVALID only if OUTVALID_OVR_EN is set" "0,1" newline bitfld.long 0x10 1. "OUTVALID_OVR_EN,Enable software to control value of pr<k>_edio_data_out [7:0]" "Disable,Enable" newline bitfld.long 0x10 0. "SW_DATA_OUT_UPDATE,Defines the value of pr1_edio_data_out when OUTVALID_OVR_EN = 1.Read" "No Effect,pr1_edio_data_out by.." repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x104)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1k_$1,COMPARE(k) high" repeat.end repeat 8. (list 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x100)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0k_$1,COMPARE(k) low" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x7C)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE1j_$1,COMPARE(j) high" repeat.end repeat 8. (list 0. 1. 2. 3. 4. 5. 6. 7. )(list 0x00 0x08 0x10 0x18 0x20 0x28 0x30 0x38 ) group.long ($2+0x78)++0x03 line.long 0x00 "PRUSS_IEP_COMPARE0j_$1,COMPARE(j) low" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x24)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE1i_$1,CAPTURE RISE(i) high" repeat.end repeat 6. (list 0. 1. 2. 3. 4. 5. )(list 0x00 0x08 0x10 0x18 0x20 0x28 ) rgroup.long ($2+0x20)++0x03 line.long 0x00 "PRUSS_IEP_CAPTURE_RISE0i_$1,CAPTURE RISE(i) low" repeat.end tree.end tree "PRUSS_INTC" base ad:0xA0000 rgroup.long 0x00++0x07 line.long 0x00 "PRUSS_INTC_REVID,Revision ID Register" line.long 0x04 "PRUSS_INTC_CR,The Control Register holds global control parameters and can forces a soft reset on the module" hexmask.long 0x04 5.--31. 1. "RESERVED," bitfld.long 0x04 4. "PRIORITY_HOLD_MODE,Reserved" "0,1" newline bitfld.long 0x04 2.--3. "NEST_MODE,The nesting mode" "no nesting,automatic individual nesting (per host interrupt),automatic global nesting (over all host..,manual nesting" bitfld.long 0x04 1. "WAKEUP_MODE,Reserved" "0,1" newline rbitfld.long 0x04 0. "RESERVED," "0,1" group.long 0x10++0x03 line.long 0x00 "PRUSS_INTC_GER,The Global Host Interrupt Enable Register enables all the host interrupts" hexmask.long 0x00 1.--31. 1. "RESERVED," bitfld.long 0x00 0. "ENABLE_HINT_ANY,The current global enable value when" "0,1" group.long 0x1C++0x13 line.long 0x00 "PRUSS_INTC_GNLR,The Global Nesting Level Register allows the checking and setting of the global nesting level across all host interrupts when automatic global nesting mode is set" bitfld.long 0x00 31. "AUTO_OVERRIDE,Always read as 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "GLB_NEST_LEVEL,The current global nesting level (highest channel that is nested)" line.long 0x04 "PRUSS_INTC_SISR,The System Interrupt Status Indexed Set Register allows setting the status of an interrupt" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "STATUS_SET_INDEX,Writes set the status of the interrupt given in the index value" line.long 0x08 "PRUSS_INTC_SICR,The System Interrupt Status Indexed Clear Register allows clearing the status of an interrupt" hexmask.long.tbyte 0x08 10.--31. 1. "RESERVED," hexmask.long.word 0x08 0.--9. 1. "STATUS_CLR_INDEX,Writes clear the status of the interrupt given in the index value" line.long 0x0C "PRUSS_INTC_EISR,The System Interrupt Enable Indexed Set Register allows enabling an interrupt" hexmask.long.tbyte 0x0C 10.--31. 1. "RESERVED," hexmask.long.word 0x0C 0.--9. 1. "ENABLE_SET_INDEX,Writes set the enable of the interrupt given in the index value" line.long 0x10 "PRUSS_INTC_EICR,The System Interrupt Enable Indexed Clear Register allows disabling an interrupt" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED," hexmask.long.word 0x10 0.--9. 1. "ENABLE_CLR_INDEX,Writes clear the enable of the interrupt given in the index value" group.long 0x34++0x07 line.long 0x00 "PRUSS_INTC_HIEISR,The Host Interrupt Enable Indexed Set Register allows enabling a host interrupt output" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," hexmask.long.word 0x00 0.--9. 1. "HINT_ENABLE_SET_INDEX,Writes set the enable of the host interrupt given in the index value" line.long 0x04 "PRUSS_INTC_HIDISR,The Host Interrupt Enable Indexed Clear Register allows disabling a host interrupt output" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," hexmask.long.word 0x04 0.--9. 1. "HINT_ENABLE_CLR_INDEX,Writes clear the enable of the host interrupt given in the index value" rgroup.long 0x80++0x03 line.long 0x00 "PRUSS_INTC_GPIR,The Global Prioritized Index Register shows the interrupt number of the highest priority interrupt pending across all the host interrupts" bitfld.long 0x00 31. "GLB_NONE,No Interrupt is pending" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "GLB_PRI_INTR,The currently highest priority interrupt index pending across all the host interrupts" group.long 0x200++0x07 line.long 0x00 "PRUSS_INTC_SRSR0,The System Interrupt Status Raw Set Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SRSR1,The System Interrupt Status Raw Set Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x280++0x07 line.long 0x00 "PRUSS_INTC_SECR0,The System Interrupt Status Enabled Clear Register0 show the pending enabled status of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SECR1,The System Interrupt Status Enabled Clear Register1 show the pending enabled status of the system interrupts 32 to 63" group.long 0x300++0x07 line.long 0x00 "PRUSS_INTC_ESR0,The System Interrupt Enable Set Register0 enables system interrupts 0 to 31 to trigger outputs" line.long 0x04 "PRUSS_INTC_ERS1,The System Interrupt Enable Set Register1 enables system interrupts 32 to 63 to trigger outputs" group.long 0x380++0x07 line.long 0x00 "PRUSS_INTC_ECR0,The System Interrupt Enable Clear Register0 disables system interrupts 0 to 31 to map to channels" line.long 0x04 "PRUSS_INTC_ECR1,The System Interrupt Enable Clear Register1 disables system interrupts 32 to 63 to map to channels" group.long 0x800++0x0B line.long 0x00 "PRUSS_INTC_HMR0,The Host Interrupt Map Register0 define the host interrupt for channels 0 to 3" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "HINT_MAP_3,HOST INTERRUPT MAP FOR CHANNEL 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "HINT_MAP_2,HOST INTERRUPT MAP FOR CHANNEL 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "HINT_MAP_1,HOST INTERRUPT MAP FOR CHANNEL 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "HINT_MAP_0,HOST INTERRUPT MAP FOR CHANNEL 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x04 "PRUSS_INTC_HMR1,The Host Interrupt Map Register1 define the host interrupt for channels 4 to 7" rbitfld.long 0x04 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 24.--27. "HINT_MAP_7,HOST INTERRUPT MAP FOR CHANNEL 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 16.--19. "HINT_MAP_6,HOST INTERRUPT MAP FOR CHANNEL 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 8.--11. "HINT_MAP_5,HOST INTERRUPT MAP FOR CHANNEL 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x04 0.--3. "HINT_MAP_4,HOST INTERRUPT MAP FOR CHANNEL 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x08 "PRUSS_INTC_HMR2,The Host Interrupt Map Register2 define the host interrupt for channels 8 to 9" hexmask.long.tbyte 0x08 12.--31. 1. "RESERVED," bitfld.long 0x08 8.--11. "HINT_MAP_9,HOST INTERRUPT MAP FOR CHANNEL 9" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x08 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x08 0.--3. "HINT_MAP_8,HOST INTERRUPT MAP FOR CHANNEL 8" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" group.long 0xD00++0x07 line.long 0x00 "PRUSS_INTC_SIPR0,The System Interrupt Polarity Register0 define the polarity of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SIPR1,The System Interrupt Polarity Register1 define the polarity of the system interrupts 32 to 63" group.long 0xD80++0x07 line.long 0x00 "PRUSS_INTC_SITR0,The System Interrupt Type Register0 define the type of the system interrupts 0 to 31" line.long 0x04 "PRUSS_INTC_SITR1,The System Interrupt Type Register1 define the type of the system interrupts 32 to 63" group.long 0x1500++0x03 line.long 0x00 "PRUSS_INTC_HIER,The Host Interrupt Enable Registers enable or disable individual host interrupts" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," abitfld.long 0x00 0.--9. "ENABLE_HINT,The enable of the host interrupts (one per bit)" "0x000=disabled,0x001=enabled" repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x1100)++0x03 line.long 0x00 "PRUSS_INTC_HINLRj_$1,The Host Interrupt Nesting Level Register_j (where j=0 to 9) display and control the nesting level for host interrupt j" bitfld.long 0x00 31. "AUTO_OVERRIDE,Reads return 0" "0,1" hexmask.long.tbyte 0x00 9.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--8. 1. "NEST_HINT,Reads return the current nesting level for the host interrupt" repeat.end repeat 10. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 ) rgroup.long ($2+0x900)++0x03 line.long 0x00 "PRUSS_INTC_HIPIRj_$1,The Host Interrupt Prioritized Index Register_j (where j=0 to 9) shows the highest priority current pending interrupt for the host interrupt j" bitfld.long 0x00 31. "NONE_HINT,No pending interrupt" "0,1" hexmask.long.tbyte 0x00 10.--30. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "PRI_HINT,HOST INT j PRIORITIZED INTERRUPT" repeat.end repeat 16. (list 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. )(list 0x00 0x04 0x08 0x0C 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x03 line.long 0x00 "PRUSS_INTC_CMRi_$1,There are 16 identical CMR registers (i=0 to 15)" rbitfld.long 0x00 28.--31. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 24.--27. "CH_MAP_3,Sets the channel for the system interrupt (k+3)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 20.--23. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 16.--19. "CH_MAP_2,Sets the channel for the system interrupt (k+2)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 8.--11. "CH_MAP_1,Sets the channel for the system interrupt (k+1)" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" bitfld.long 0x00 0.--3. "CH_MAP_0,Sets the channel for the system interrupt k" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" repeat.end tree.end tree "PRUSS_MII_MDIO" base ad:0xA2400 rgroup.long 0x00++0x17 line.long 0x00 "PRUSS_MII_MDIO_VER,MDIO MODULE VERSION REGISTER" line.long 0x04 "PRUSS_MII_MDIO_CONTROL,MDIO MODULE CONTROL REGISTER" rbitfld.long 0x04 31. "IDLE,MDIO state machine IDLE" "0,1" bitfld.long 0x04 30. "ENABLE,Enable control" "0,1" rbitfld.long 0x04 29. "RESERVED," "0,1" rbitfld.long 0x04 24.--28. "HIGHEST_USER_CHANNEL,Highest user channel" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline rbitfld.long 0x04 21.--23. "RESERVED," "0,1,2,3,4,5,6,7" bitfld.long 0x04 20. "PREAMBLE,Preamble disable" "0,1" bitfld.long 0x04 19. "FAULT,Fault indicator" "0,1" bitfld.long 0x04 18. "FAULT_DETECT_ENABLE,Fault detect enable" "0,1" newline bitfld.long 0x04 17. "INT_TEST_ENABLE,Interrupt test enable" "0,1" rbitfld.long 0x04 16. "RESERVED," "0,1" hexmask.long.word 0x04 0.--15. 1. "CLKDIV,Clock Divider" line.long 0x08 "PRUSS_MII_MDIO_ALIVE,PHY ACKNOWLEDGE STATUS REGISTER" line.long 0x0C "PRUSS_MII_MDIO_LINK,PHY LINK STATUS REGISTER" line.long 0x10 "PRUSS_MII_MDIO_LINKINTRAW,LINK STATUS CHANGE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x10 2.--31. 1. "RESERVED," bitfld.long 0x10 0.--1. "LINKINTRAW,MDIO link change event raw value" "0,1,2,3" line.long 0x14 "PRUSS_MII_MDIO_LINKINTMASKED,LINK STATUS CHANGE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x14 2.--31. 1. "RESERVED," bitfld.long 0x14 0.--1. "LINKINTMASKED,MDIO link change interrupt masked value" "0,1,2,3" group.long 0x20++0x0F line.long 0x00 "PRUSS_MII_MDIO_USERINTRAW,USER COMMAND COMPLETE INTERRUPT REGISTER (RAW VALUE)" hexmask.long 0x00 2.--31. 1. "RESERVED," bitfld.long 0x00 0.--1. "USERINTRAW,Raw value of MDIO user command complete event for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x04 "PRUSS_MII_MDIO_USERINTMASKED,USER COMMAND COMPLETE INTERRUPT REGISTER (MASKED VALUE)" hexmask.long 0x04 2.--31. 1. "RESERVED," bitfld.long 0x04 0.--1. "USERINTMASKED,Masked value of MDIO user command complete interrupt for MDIOUserAccess1 through MDIOUserAccess0 respectively" "0,1,2,3" line.long 0x08 "PRUSS_MII_MDIO_USERINTMASKSET,USER INTERRUPT MASK SET REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," bitfld.long 0x08 0.--1. "USERINTMASKEDSET,MDIO user interrupt mask set for userintmasked[1:0] respectively" "0,1,2,3" line.long 0x0C "PRUSS_MII_MDIO_USERINTMASKCLR,USER INTERRUPT MASK CLEAR REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," bitfld.long 0x0C 0.--1. "USERINTMASKEDCLR,MDIO user command complete interrupt mask clear for userintmasked[1:0] respectively" "0,1,2,3" repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x84)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERPHYSEL$1,USER PHY SELECT REGISTER0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," bitfld.long 0x00 7. "LINKSEL,Link status determination select" "0,1" newline bitfld.long 0x00 6. "LINKINT_ENABLE,Link change interrupt enable" "0,1" rbitfld.long 0x00 5. "RESERVED," "0,1" newline bitfld.long 0x00 0.--4. "PHYADR_MON,PHY address whose link status is to be monitored" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" repeat.end repeat 2. (list 0. 1. )(list 0x00 0x08 ) group.long ($2+0x80)++0x03 line.long 0x00 "PRUSS_MII_MDIO_USERACCESS$1,USER ACCESS REGISTER0" bitfld.long 0x00 31. "GO,Go" "0,1" bitfld.long 0x00 30. "WRITE,Write enable" "0,1" newline bitfld.long 0x00 29. "ACK,Acknowledge" "0,1" rbitfld.long 0x00 26.--28. "RESERVED," "0,1,2,3,4,5,6,7" newline bitfld.long 0x00 21.--25. "REGADR,Register address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" bitfld.long 0x00 16.--20. "PHYADR,PHY address" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31" newline hexmask.long.word 0x00 0.--15. 1. "DATA,User data" repeat.end tree.end tree "PRUSS_MII_RT" base ad:0xA2000 group.long 0x00++0x07 line.long 0x00 "PRUSS_MII_RT_RXCFG0,MII RXCFG 0 REGISTER This register contains the PRU0 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline bitfld.long 0x00 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x00 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x00 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x00 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x00 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x00 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x00 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x00 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x00 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x00 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" line.long 0x04 "PRUSS_MII_RT_RXCFG1,MII RXCFG 1 REGISTER This register contains the PRU1 RXCFG configuration variables () for the RX path" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline bitfld.long 0x04 9. "RX_L2_EOF_SCLR_DIS," "0,1" newline bitfld.long 0x04 8. "RX_ERR_RAW," "0,1" newline bitfld.long 0x04 7. "RX_SFD_RAW," "0,1" newline bitfld.long 0x04 6. "RX_AUTO_FWD_PRE,Enables auto-forward of received preamble" "Disable,Enable it must.." newline bitfld.long 0x04 5. "RX_BYTE_SWAP,Defines the order of Byte0/1 placement for RX R31 and RX L2" "R31 [15:8]/RXL2 [15:8] = Byte1{Nibble3 Nibble2}..,R31 [15:8]/RXL2 [15:8] = Byte0{Nibble1 Nibble0}.." newline bitfld.long 0x04 4. "RX_L2_EN,Enables RX L2 buffer" "Disable (RX L2..,Enable" newline bitfld.long 0x04 3. "RX_MUX_SEL,Selects receive data source" "MII RX Data from Port 0 (default for..,MII RX Data from Port 1 (default for.." newline bitfld.long 0x04 2. "RX_CUT_PREAMBLE,Removes received preamble" "All data from Ethernet PHY are passed on to PRU..,MII interface suppresses preamble and sync frame.." newline bitfld.long 0x04 1. "RX_DATA_RDY_MODE_DIS," "0,1" newline bitfld.long 0x04 0. "RX_ENABLE,Enables the receive traffic currently selected by RX_MUX_SELECT" "Disable,Enable" group.long 0x10++0x07 line.long 0x00 "PRUSS_MII_RT_TXCFG0,MII TXCFG 0 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 0" rbitfld.long 0x00 31. "RESERVED," "0,1" newline bitfld.long 0x00 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x00 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x00 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x00 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 11. "TX_32_MODE_EN," "0,1" newline rbitfld.long 0x00 10. "RESERVED," "0,1" newline bitfld.long 0x00 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x00 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x00 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x00 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If R30[15:8] = Byte1{Nibble3 Nibble2} R30[7:0] =..,If R30[15:8] = Byte0{Nibble1 Nibble0} R30[7:0] =.." newline bitfld.long 0x00 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x00 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x00 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." line.long 0x04 "PRUSS_MII_RT_TXCFG1,MII TXCFG 1 REGISTER This register contains the configuration variables for the transmit path on the MII interface port 1" rbitfld.long 0x04 31. "RESERVED," "0,1" newline bitfld.long 0x04 28.--30. "TX_CLK_DELAY,In order to guarantee the MII_RT IO timing values published in the device data manual the PRUSS_GICLK clock must be configured for 200MHz and TX_CLK_DELAY must be set to 6h" "0,1,2,3,4,5,6,7" newline rbitfld.long 0x04 26.--27. "RESERVED," "0,1,2,3" newline hexmask.long.word 0x04 16.--25. 1. "TX_START_DELAY,Defines the minimum time interval (delay) between receiving the RXDV for the current frame and the start of the transmit interface sending data to the MII interface" newline rbitfld.long 0x04 12.--15. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 11. "TX_32_MODE_EN," "0,1" newline bitfld.long 0x04 10. "RESERVED," "0,1" newline bitfld.long 0x04 9. "TX_AUTO_SEQUENCE,Enables transmit auto-sequence" "Disable,Enable transmit.." newline bitfld.long 0x04 8. "TX_MUX_SEL,Selects transmit data source" "Data from PRU0 (default for PRUSS_MII_RT_TXCFG1),Data from PRU1 (default for PRUSS_MII_RT_TXCFG0)" newline rbitfld.long 0x04 4.--7. "RESERVED," "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" newline bitfld.long 0x04 3. "TX_BYTE_SWAP,Defines the order of Byte0/1 placement for TX R30" "If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0..,If PRUSS_MII_RT_TXCFG0/1 [TX_32_MODE_EN] = 0.." newline bitfld.long 0x04 2. "TX_EN_MODE,Enables transmit self clear on TX_EOF event.Note that iep.cmp[3] must be set before transmission will start for TX0 and iep_cmp[4] for TX1" "Disable,Enable TX_ENABLE.." newline bitfld.long 0x04 1. "TX_AUTO_PREAMBLE,Transmit data auto-preamble" "PRU will provide full preamble,TX FIFO will insert pre-amble automatically" newline bitfld.long 0x04 0. "TX_ENABLE,Enables transmit traffic on TX PORT" "TX PORT is disabled/stopped immediately,TX PORT is enabled and the frame will start once.." rgroup.long 0x20++0x07 line.long 0x00 "PRUSS_MII_RT_TX_CRC0,MII TXCRC 0 REGISTER It contains CRC32 which PRU0 reads" line.long 0x04 "PRUSS_MII_RT_TX_CRC1,MII TXCRC 1 REGISTER It contains CRC32 which PRU1 reads" group.long 0x30++0x27 line.long 0x00 "PRUSS_MII_RT_TX_IPG0,MII TXIPG 0 REGISTER" hexmask.long.tbyte 0x00 10.--31. 1. "RESERVED," newline hexmask.long.word 0x00 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x04 "PRUSS_MII_RT_TX_IPG1,MII TXIPG 1 REGISTER" hexmask.long.tbyte 0x04 10.--31. 1. "RESERVED," newline hexmask.long.word 0x04 0.--9. 1. "TX_IPG,Defines the minimum of transmit Inter Packet Gap (IPG) which is the number of PRUSS_GICLK cycles between the de-assertion of TX_EN and the assertion of TX_EN" line.long 0x08 "PRUSS_MII_RT_PRS0,MII PORT STATUS 0 REGISTER" hexmask.long 0x08 2.--31. 1. "RESERVED," newline bitfld.long 0x08 1. "MII_CRS,Read the current state of pr1_mii0_crs" "0,1" newline bitfld.long 0x08 0. "MII_COL,Read the current state of pr1_mii0_col" "0,1" line.long 0x0C "PRUSS_MII_RT_PRS1,MII PORT STATUS 1 REGISTER" hexmask.long 0x0C 2.--31. 1. "RESERVED," newline bitfld.long 0x0C 1. "MII_CRS,Read the current state of pr1_mii1_crs" "0,1" newline bitfld.long 0x0C 0. "MII_COL,Read the current state of pr1_mii1_col" "0,1" line.long 0x10 "PRUSS_MII_RT_RX_FRMS0,MII RXFRMS 0 REGISTER" hexmask.long.word 0x10 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x10 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x14 "PRUSS_MII_RT_RX_FRMS1,MII RXFRMS 1 REGISTER" hexmask.long.word 0x14 16.--31. 1. "RX_MAX_FRM,Defines the maximum received frame count" newline hexmask.long.word 0x14 0.--15. 1. "RX_MIN_FRM,Defines the minimum received frame count" line.long 0x18 "PRUSS_MII_RT_RX_PCNT0,MII RXPCNT 0 REGISTER" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED," newline bitfld.long 0x18 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x18 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15" line.long 0x1C "PRUSS_MII_RT_RX_PCNT1,MII RXPCNT 1 REGISTER" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED," newline bitfld.long 0x1C 4.--7. "RX_MAX_PCNT,Defines the maximum number of nibbles until the start of frame delimiter (SFD) event occurred (i.e. matches 0xD5)" "Disabled,Reserved,4th nibble needs to have built 0xD5,?,?,?,?,?,?,?,?,?,?,?,16th nibble needs to have built 0xD5 Note the..,?..." newline bitfld.long 0x1C 0.--3. "RX_MIN_PCNT,Defines the minimum number of nibbles until the start of frame delimiter (SFD) event occurred which is matched the value 0xD5" "?,1 0x5 before 0xD5,2 0x5 before 0xD5 N,?..." line.long 0x20 "PRUSS_MII_RT_RX_ERR0,MII RXERR 0 REGISTER" hexmask.long 0x20 4.--31. 1. "RESERVED," newline bitfld.long 0x20 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x20 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" line.long 0x24 "PRUSS_MII_RT_RX_ERR1,MII RXERR 1 REGISTER" hexmask.long 0x24 4.--31. 1. "RESERVED," newline bitfld.long 0x24 3. "RX_MAX_FRM_ERR,Error status of received frame is more than the value of RX_MAX_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 2. "RX_MIN_FRM_ERR,Error status of received frame is less than the value of RX_MIN_FRM_CNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 1. "RX_MAX_PCNT_ERR,Error status of received preamble nibble is more than the value of RX_MAX_PCNT" "No error occurred,Error occurred Write 1 to Clear" newline bitfld.long 0x24 0. "RX_MIN_PCNT_ERR,Error status of received preamble nibble is less than the value of RX_MIN_PCNT" "No error occurred,Error occurred Write 1 to Clear" rgroup.long 0x60++0x0F line.long 0x00 "PRUSS_MII_RT_RXFLV0,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 0" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED," newline abitfld.long 0x00 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x04 "PRUSS_MII_RT_RXFLV1,MII REGISTER This register defines the number of valid bytes in the RX FIFO MII interface port 1" hexmask.long.tbyte 0x04 8.--31. 1. "RESERVED," newline abitfld.long 0x04 0.--7. "RX_FIFO_LEVEL,Define the number of valid bytes in the RX FIFO" "0x00=empty,0x01=1 Byte/ 2 Nibbles,0x02=2 Byte/ 4 Nibble,0x20=32 Bytes/ 64 Nibbles" line.long 0x08 "PRUSS_MII_RT_TXFLV0,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 0" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED," newline abitfld.long 0x08 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" line.long 0x0C "PRUSS_MII_RT_TXFLV1,MII REGISTER This register defines the number of valid bytes in the TX FIFO MII interface port 1" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED," newline abitfld.long 0x0C 0.--7. "TX_FIFO_LEVEL,Define the number of valid bytes in the TX FIFO" "0x00=empty,0x01=1 Nibbles,0x02=1 Byte/ 2 Nibble,0x80=64 Bytes/ 128 Nibbles,0xC0=96 Bytes/ 192 Nibbles" tree.end tree "PRUSS_UART" base ad:0xA8000 group.long 0x00++0x2B line.long 0x00 "PRUSS_UART_RBR_THR_REGISTERS,In the non-FIFO mode. when a character is placed in Receiver buffer register and the receiver data-ready interrupt is enabled (DR = 1 in Interrupt identification register). an interrupt is generated" hexmask.long.tbyte 0x00 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x00 0.--7. 1. "DATA,Read: Read Receive Buffer RegisterWrite: Write Transmitter Holding Register" line.long 0x04 "PRUSS_UART_INTERRUPT_ENABLE_REGISTER,The Interrupt enable register is used to individually enable or disable each type of interrupt request that can be generated by the UART" hexmask.long 0x04 4.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 3. "EDSSI,Enable Modem Status Interrupt" "0,1" newline bitfld.long 0x04 2. "ELSI,Receiver line status interrupt enable" "ELSI_0,ELSI_1" bitfld.long 0x04 1. "ETBEI,Transmitter holding register empty interrupt enable" "ETBEI_0,ETBEI_1" newline bitfld.long 0x04 0. "ERBI,Receiver data available interrupt and character timeout indication interrupt enable" "ERBI_0,ERBI_1" line.long 0x08 "PRUSS_UART_INTERRUPT_IDENTIFICATION_REGISTER_FIFO_CONTROL_REGISTER,The Interrupt identification register is a read-only register at the same address as the FIFO control register. which is a write-only register" hexmask.long.tbyte 0x08 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x08 6.--7. "FIFOEN_RXFIFTL,Read: FIFOs" "FIFOEN_RXFIFTL_0,FIFOEN_RXFIFTL_1,FIFOEN_RXFIFTL_2,FIFOEN_RXFIFTL_3" newline rbitfld.long 0x08 4.--5. "RESERVED,Reserved" "0,1,2,3" bitfld.long 0x08 1.--3. "INTID,Read: Interrupt type" "?,RXCLR,TXCLR,DMAMODE1,?..." newline bitfld.long 0x08 0. "IPEND_FIFOEN,Read: Interrupt pending" "IPEND_FIFOEN_0,IPEND_FIFOEN_1" line.long 0x0C "PRUSS_UART_LINE_CONTROL_REGISTER,The system programmer controls the format of the asynchronous data communication exchange by using Line control register" hexmask.long.tbyte 0x0C 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x0C 7. "DLAB,Divisor latch access bit" "DLAB_0,DLAB_1" newline bitfld.long 0x0C 6. "BC,Break control" "BC_0,BC_1" bitfld.long 0x0C 5. "SP,Stick parity" "SP_0,SP_1" newline bitfld.long 0x0C 4. "EPS,Even parity select" "EPS_0,EPS_1" bitfld.long 0x0C 3. "PEN,Parity enable" "PEN_0,PEN_1" newline bitfld.long 0x0C 2. "STB,Number of STOP bits generated" "STB_0,STB_1" bitfld.long 0x0C 0.--1. "WLS,Word length select" "WLS_0,WLS_1,WLS_2,WLS_3" line.long 0x10 "PRUSS_UART_MODEM_CONTROL_REGISTER,The Modem control register provides the ability to enable/disable the autoflow functions. and enable/disable the loopback function for diagnostic purposes" hexmask.long 0x10 6.--31. 1. "RESERVED,Reserved" bitfld.long 0x10 5. "AFE,Autoflow control enable" "AFE_0,AFE_1" newline bitfld.long 0x10 4. "LOOP,Loop back mode enable" "LOOP_0,LOOP_1" bitfld.long 0x10 3. "OUT2,OUT2 Control Bit" "0,1" newline bitfld.long 0x10 2. "OUT1,OUT1 Control Bit" "0,1" bitfld.long 0x10 1. "RTS,RTS control" "RTS_0,RTS_1" newline rbitfld.long 0x10 0. "RESERVED,Reserved" "0,1" line.long 0x14 "PRUSS_UART_LINE_STATUS_REGISTER,The Line status register provides information to the CPU concerning the status of data transfers" hexmask.long.tbyte 0x14 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x14 7. "RXFIFOE,Receiver FIFO error" "RXFIFOE_0,RXFIFOE_1" newline bitfld.long 0x14 6. "TEMT,Transmitter empty (TEMT) indicator" "TEMT_0,TEMT_1" bitfld.long 0x14 5. "THRE,Transmitter holding register empty (THRE) indicator" "THRE_0,THRE_1" newline bitfld.long 0x14 4. "BI,Break indicator" "BI_0,BI_1" bitfld.long 0x14 3. "FE,Framing error (FE) indicator" "FE_0,FE_1" newline bitfld.long 0x14 2. "PE,Parity error (PE) indicator" "PE_0,PE_1" bitfld.long 0x14 1. "OE,Overrun error (OE) indicator" "OE_0,OE_1" newline bitfld.long 0x14 0. "DR,Data-ready (DR) indicator for the receiver" "DR_0,DR_1" line.long 0x18 "PRUSS_UART_MODEM_STATUS_REGISTER,The Modem status register provides information to the CPU concerning the status of modem control signals" hexmask.long.tbyte 0x18 8.--31. 1. "RESERVED,Reserved" bitfld.long 0x18 7. "CD,Complement of the Carrier Detect input" "0,1" newline bitfld.long 0x18 6. "RI,Complement of the Ring Indicator input" "0,1" bitfld.long 0x18 5. "DSR,Complement of the Data Set Ready input" "0,1" newline bitfld.long 0x18 4. "CTS,Complement of the Clear To Send input" "0,1" bitfld.long 0x18 3. "DCD,Change in DCD indicator bit" "0,1" newline bitfld.long 0x18 2. "TERI,Trailing edge of RI (TERI) indicator bit" "0,1" bitfld.long 0x18 1. "DDSR,Change in DSR indicator bit" "0,1" newline bitfld.long 0x18 0. "DCTS,Change in CTS indicator bit" "0,1" line.long 0x1C "PRUSS_UART_SCRATCH_REGISTER,The Scratch Pad register is intended for programmer's use as a scratch pad" hexmask.long.tbyte 0x1C 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x1C 0.--7. 1. "SCR,These bits are intended for the programmer's use as a scratch pad in the sense that it temporarily holds the programmer's data without affecting any other UART operation" line.long 0x20 "PRUSS_UART_DIVISOR_REGISTER_LSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x20 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x20 0.--7. 1. "DLL,The 8 least-significant bits (LSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x24 "PRUSS_UART_DIVISOR_REGISTER_MSB_,Two 8-bit register fields (DLL and DLH). called divisor latches. store the 16-bit divisor for generation of the baud clock in the baud generator" hexmask.long.tbyte 0x24 8.--31. 1. "RESERVED,Reserved" hexmask.long.byte 0x24 0.--7. 1. "DLH,The 8 most-significant bits (MSBs) of the 16-bit divisor for generation of the baud clock in the baud rate generator" line.long 0x28 "PRUSS_UART_PERIPHERAL_ID_REGISTER,Peripheral Identification register" group.long 0x30++0x07 line.long 0x00 "PRUSS_UART_POWERMANAGEMENT_AND_EMULATION_REGISTER,Power and emulation management register" hexmask.long.word 0x00 16.--31. 1. "RESERVED,Reserved" bitfld.long 0x00 15. "RESERVED,Reserved" "0,1" newline bitfld.long 0x00 14. "UTRST,UART transmitter reset" "UTRST_0,UTRST_1" bitfld.long 0x00 13. "URRST,UART receiver reset" "URRST_0,URRST_1" newline hexmask.long.word 0x00 1.--12. 1. "RESERVED,Reserved" bitfld.long 0x00 0. "FREE,Free-running enable mode bit" "FREE_0,FREE_1" line.long 0x04 "PRUSS_UART_MODE_DEFINITION_REGISTER,The Mode definition register determines the over-sampling mode for the UART" hexmask.long 0x04 1.--31. 1. "RESERVED,Reserved" bitfld.long 0x04 0. "OSM_SEL,Over-Sampling Mode Select" "OSM_SEL_0,OSM_SEL_1" tree.end tree.end endif autoindent.off newline